{(1,290):{'3_1':0.69,'4_1':0.03,'5_1':0.03},(1,289):{'3_1':0.6,'5_1':0.03,'6_2':0.0,'4_1':0.0,'6_3':0.0},(1,288):{'3_1':0.6,'4_1':0.03,'6_2':0.0,'5_1':0.0},(1,287):{'3_1':0.51,'6_2':0.03,'5_1':0.0,'8_9':0.0},(1,286):{'3_1':0.3,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_9':0.0},(1,285):{'3_1':0.27,'5_2':0.0,'5_1':0.0,'6_2':0.0,'4_1':0.0},(1,284):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(1,283):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(1,282):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(1,281):{'4_1':0.03,'6_2':0.0,'3_1':0.0,'5_1':0.0},(1,280):{'3_1':0.06,'5_2':0.06,'6_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(1,279):{'3_1':0.09,'6_1':0.0,'4_1':0.0,'6_2':0.0},(1,278):{'3_1':0.03,'5_1':0.03,'4_1':0.0,'7_7':0.0},(1,277):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(1,276):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(1,275):{'3_1':0.06,'4_1':0.0},(1,274):{'3_1':0.06,'4_1':0.03},(1,273):{'3_1':0.03,'4_1':0.0},(1,272):{'3_1':0.0},(1,271):{'3_1':0.0},(1,270):{'3_1':0.0,'4_1':0.0},(1,269):{'3_1':0.0},(1,268):{'3_1':0.03},(1,267):{'3_1':0.03},(1,266):{'3_1':0.03,'4_1':0.0},(1,265):{'3_1':0.0},(1,264):{'3_1':0.03,'5_2':0.0},(1,263):{'3_1':0.0,'5_2':0.0},(1,262):{'3_1':0.03},(1,261):{'3_1':0.03},(1,260):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(1,259):{'3_1':0.03},(1,258):{'3_1':0.06},(1,257):{'3_1':0.03},(1,256):{'3_1':0.0,'4_1':0.0},(1,255):{'3_1':0.0},(1,254):{'3_1':0.0},(1,253):{'3_1':0.0},(1,252):{'3_1':0.0},(1,251):{'3_1':0.0},(1,249):{'6_2':0.0},(1,248):{'3_1':0.0},(1,247):{'3_1':0.0,'6_2':0.0},(1,246):{'3_1':0.03},(1,245):{'3_1':0.03},(1,244):{'3_1':0.0,'8_20|3_1#3_1':0.0},(1,243):{'3_1':0.03},(1,242):{'3_1':0.0},(1,241):{'3_1':0.0},(1,237):{'3_1':0.0,'5_1':0.0},(1,236):{'3_1':0.0},(1,235):{'3_1':0.0},(1,233):{'3_1':0.0},(1,232):{'3_1':0.0},(1,231):{'3_1':0.0},(1,230):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(1,229):{'3_1':0.06,'5_2':0.0},(1,228):{'3_1':0.0},(1,227):{'3_1':0.0},(1,226):{'3_1':0.03},(1,225):{'3_1':0.03},(1,224):{'3_1':0.03,'4_1':0.0},(1,223):{'3_1':0.0,'6_1':0.0},(1,222):{'3_1':0.03},(1,221):{'3_1':0.0},(1,219):{'3_1':0.0},(1,218):{'3_1':0.0},(1,217):{'3_1':0.0},(1,216):{'3_1':0.0},(1,215):{'3_1':0.0},(1,214):{'3_1':0.0},(1,213):{'3_1':0.0},(1,211):{'3_1':0.0},(1,210):{'3_1':0.0},(1,209):{'3_1':0.0,'4_1':0.0},(1,208):{'4_1':0.0},(1,207):{'5_2':0.0},(1,204):{'3_1':0.0,'8_20|3_1#3_1':0.0},(1,202):{'3_1':0.0},(1,201):{'4_1':0.0},(1,200):{'3_1':0.0},(1,199):{'3_1':0.0},(1,198):{'3_1':0.0},(1,197):{'3_1':0.0},(1,196):{'3_1':0.0},(1,195):{'3_1':0.0},(1,192):{'3_1':0.0,'5_2':0.0},(1,191):{'3_1':0.0},(1,190):{'3_1':0.0},(1,189):{'3_1':0.0},(1,187):{'3_1':0.0},(1,184):{'3_1':0.0},(1,183):{'3_1':0.0},(1,182):{'3_1':0.0},(1,180):{'3_1':0.0},(1,176):{'3_1':0.0},(1,171):{'3_1':0.0},(1,170):{'4_1':0.0},(1,168):{'4_1':0.0},(1,167):{'3_1':0.0},(1,166):{'3_1':0.0},(1,165):{'3_1':0.0},(1,164):{'3_1':0.0},(1,162):{'3_1':0.0,'4_1':0.0},(1,160):{'3_1':0.0},(1,159):{'3_1':0.0},(1,158):{'3_1':0.0},(1,156):{'3_1':0.0},(1,155):{'3_1':0.0},(1,154):{'3_1':0.0},(1,153):{'3_1':0.0},(1,152):{'3_1':0.0},(1,151):{'3_1':0.0},(1,150):{'3_1':0.0},(1,147):{'3_1':0.0},(1,146):{'3_1':0.0},(1,145):{'3_1':0.0},(1,140):{'3_1':0.0},(1,92):{'3_1':0.0},(1,90):{'3_1':0.0},(1,88):{'3_1':0.0},(1,87):{'3_1':0.0},(1,86):{'3_1':0.0},(1,85):{'3_1':0.0},(1,84):{'3_1':0.0},(2,290):{'3_1':0.75,'4_1':0.0,'5_1':0.0},(2,289):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(2,288):{'3_1':0.45,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0},(2,287):{'3_1':0.48,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_9':0.0},(2,286):{'3_1':0.33,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_9':0.0,'8_12':0.0},(2,285):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(2,284):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0},(2,283):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(2,282):{'3_1':0.06,'4_1':0.06,'6_2':0.03,'5_1':0.0},(2,281):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(2,280):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(2,279):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(2,278):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(2,277):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(2,276):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,275):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(2,274):{'3_1':0.06,'4_1':0.0},(2,273):{'3_1':0.0,'4_1':0.0},(2,272):{'3_1':0.06,'4_1':0.0},(2,271):{'3_1':0.0},(2,270):{'3_1':0.03,'4_1':0.0},(2,269):{'3_1':0.0,'6_2':0.0},(2,268):{'3_1':0.0},(2,267):{'3_1':0.0},(2,266):{'3_1':0.03,'4_1':0.0},(2,265):{'3_1':0.0,'4_1':0.0},(2,264):{'3_1':0.03},(2,263):{'3_1':0.03},(2,262):{'3_1':0.03},(2,261):{'3_1':0.0},(2,260):{'3_1':0.03},(2,259):{'3_1':0.06},(2,258):{'3_1':0.12},(2,257):{'3_1':0.03,'4_1':0.0},(2,256):{'3_1':0.03},(2,255):{'3_1':0.0},(2,254):{'3_1':0.0},(2,250):{'3_1':0.0,'5_2':0.0},(2,249):{'3_1':0.0},(2,247):{'3_1':0.0},(2,246):{'3_1':0.0},(2,245):{'3_1':0.03},(2,244):{'3_1':0.03},(2,243):{'3_1':0.0},(2,242):{'3_1':0.03,'5_2':0.0},(2,241):{'3_1':0.0},(2,240):{'3_1':0.0},(2,238):{'3_1':0.0},(2,237):{'3_1':0.0,'5_2':0.0},(2,235):{'3_1':0.0,'4_1':0.0},(2,234):{'3_1':0.0},(2,233):{'3_1':0.0},(2,231):{'3_1':0.0},(2,230):{'3_1':0.0,'6_2':0.0},(2,229):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(2,228):{'3_1':0.0},(2,227):{'3_1':0.09},(2,226):{'3_1':0.03,'4_1':0.0},(2,225):{'3_1':0.0},(2,224):{'3_1':0.0},(2,223):{'3_1':0.03},(2,222):{'3_1':0.03},(2,221):{'3_1':0.0},(2,220):{'3_1':0.0},(2,219):{'3_1':0.0},(2,218):{'3_1':0.0,'5_2':0.0},(2,217):{'3_1':0.0,'4_1':0.0},(2,216):{'3_1':0.0},(2,215):{'3_1':0.0},(2,214):{'4_1':0.0},(2,212):{'6_2':0.0},(2,210):{'3_1':0.0},(2,209):{'3_1':0.0,'5_2':0.0},(2,208):{'3_1':0.0},(2,207):{'3_1':0.0,'4_1':0.0},(2,206):{'3_1':0.0},(2,205):{'3_1':0.0},(2,204):{'3_1':0.0,'4_1':0.0},(2,203):{'3_1':0.0},(2,201):{'3_1':0.0},(2,200):{'3_1':0.0},(2,199):{'3_1':0.0,'4_1':0.0},(2,197):{'4_1':0.0},(2,196):{'3_1':0.0},(2,195):{'3_1':0.0,'4_1':0.0},(2,194):{'3_1':0.0},(2,193):{'3_1':0.0},(2,192):{'3_1':0.0},(2,191):{'5_2':0.0},(2,190):{'3_1':0.0},(2,189):{'3_1':0.0},(2,188):{'3_1':0.0,'4_1':0.0},(2,186):{'3_1':0.03},(2,185):{'3_1':0.0},(2,183):{'3_1':0.0},(2,182):{'4_1':0.0,'6_1':0.0},(2,181):{'3_1':0.0},(2,180):{'4_1':0.0,'3_1':0.0},(2,179):{'3_1':0.0},(2,178):{'3_1':0.0},(2,177):{'3_1':0.0},(2,176):{'3_1':0.0,'4_1':0.0},(2,174):{'3_1':0.0},(2,171):{'4_1':0.0},(2,170):{'3_1':0.0},(2,169):{'3_1':0.0},(2,168):{'4_1':0.0},(2,167):{'3_1':0.0},(2,166):{'3_1':0.0},(2,165):{'4_1':0.0},(2,163):{'4_1':0.0},(2,162):{'4_1':0.0},(2,160):{'3_1':0.0},(2,159):{'3_1':0.0},(2,158):{'3_1':0.0},(2,156):{'3_1':0.0},(2,154):{'3_1':0.0},(2,153):{'3_1':0.0},(2,151):{'3_1':0.0},(2,146):{'3_1':0.0},(2,145):{'3_1':0.0,'4_1':0.0},(2,143):{'3_1':0.0},(2,142):{'4_1':0.0},(2,139):{'3_1':0.0},(2,138):{'3_1':0.0},(2,129):{'3_1':0.0},(2,125):{'3_1':0.0},(2,122):{'3_1':0.0},(2,121):{'3_1':0.0},(2,112):{'3_1':0.0},(2,106):{'3_1':0.0},(2,104):{'3_1':0.0},(2,103):{'3_1':0.0},(2,100):{'3_1':0.0},(2,96):{'3_1':0.0},(2,91):{'3_1':0.0},(2,90):{'3_1':0.0},(2,88):{'3_1':0.0},(2,87):{'3_1':0.0},(2,85):{'3_1':0.0},(2,84):{'3_1':0.0},(2,83):{'3_1':0.0},(2,82):{'3_1':0.0},(3,290):{'3_1':0.78,'4_1':0.0,'5_1':0.0,'7_3':0.0},(3,289):{'3_1':0.63,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(3,288):{'3_1':0.6,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_1':0.0},(3,287):{'3_1':0.45},(3,286):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_9':0.0},(3,285):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(3,284):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(3,283):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(3,282):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(3,281):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(3,280):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0},(3,279):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(3,278):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(3,277):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(3,276):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(3,275):{'3_1':0.03,'4_1':0.03},(3,274):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(3,273):{'3_1':0.0,'8_20|3_1#3_1':0.0},(3,272):{'3_1':0.0,'4_1':0.0},(3,271):{'3_1':0.0},(3,270):{'3_1':0.0,'4_1':0.0},(3,269):{'3_1':0.0,'5_1':0.0},(3,268):{'3_1':0.0,'8_8':0.0},(3,266):{'3_1':0.03,'6_3':0.0},(3,265):{'3_1':0.03,'4_1':0.0},(3,264):{'3_1':0.03},(3,263):{'3_1':0.0},(3,262):{'3_1':0.0},(3,261):{'3_1':0.0},(3,260):{'3_1':0.06},(3,259):{'3_1':0.03,'8_21|3_1#4_1':0.0},(3,258):{'3_1':0.06},(3,257):{'3_1':0.03},(3,256):{'3_1':0.03},(3,255):{'3_1':0.03,'4_1':0.0},(3,254):{'3_1':0.0},(3,253):{'3_1':0.0},(3,252):{'3_1':0.0},(3,251):{'3_1':0.0,'6_3':0.0},(3,250):{'3_1':0.0},(3,249):{'3_1':0.0},(3,248):{'3_1':0.0,'8_20|3_1#3_1':0.0},(3,247):{'3_1':0.0},(3,246):{'3_1':0.0},(3,245):{'3_1':0.03},(3,244):{'3_1':0.0},(3,243):{'3_1':0.0},(3,242):{'3_1':0.0},(3,241):{'3_1':0.0},(3,240):{'3_1':0.0},(3,237):{'5_2':0.0},(3,236):{'3_1':0.0},(3,235):{'3_1':0.0},(3,234):{'3_1':0.0},(3,233):{'3_1':0.0},(3,232):{'3_1':0.0},(3,231):{'3_1':0.0},(3,230):{'3_1':0.03},(3,229):{'3_1':0.03,'4_1':0.0},(3,228):{'3_1':0.0},(3,227):{'3_1':0.0},(3,226):{'3_1':0.06},(3,225):{'3_1':0.03},(3,224):{'3_1':0.06},(3,223):{'3_1':0.06},(3,222):{'3_1':0.0},(3,221):{'3_1':0.0},(3,220):{'3_1':0.0},(3,219):{'3_1':0.0},(3,218):{'3_1':0.0},(3,217):{'3_1':0.03},(3,216):{'3_1':0.0},(3,214):{'3_1':0.0},(3,213):{'3_1':0.0},(3,210):{'3_1':0.0},(3,207):{'3_1':0.0,'4_1':0.0},(3,206):{'3_1':0.0},(3,204):{'3_1':0.0},(3,203):{'3_1':0.0},(3,202):{'3_1':0.0},(3,201):{'3_1':0.0,'6_3':0.0},(3,199):{'3_1':0.0},(3,198):{'3_1':0.0},(3,197):{'3_1':0.0},(3,195):{'3_1':0.0},(3,193):{'3_1':0.0},(3,192):{'3_1':0.0},(3,191):{'3_1':0.0},(3,190):{'3_1':0.0},(3,189):{'3_1':0.03},(3,184):{'3_1':0.0},(3,183):{'3_1':0.0},(3,182):{'4_1':0.0},(3,180):{'3_1':0.0},(3,177):{'3_1':0.0},(3,174):{'3_1':0.0,'4_1':0.0},(3,172):{'4_1':0.0},(3,169):{'3_1':0.0},(3,168):{'3_1':0.0,'4_1':0.0},(3,167):{'3_1':0.0},(3,165):{'4_1':0.0},(3,164):{'3_1':0.0},(3,163):{'3_1':0.0},(3,162):{'3_1':0.0},(3,161):{'3_1':0.0},(3,160):{'3_1':0.03},(3,159):{'4_1':0.0},(3,156):{'4_1':0.0},(3,155):{'4_1':0.0},(3,154):{'3_1':0.0},(3,153):{'3_1':0.0},(3,152):{'4_1':0.0},(3,149):{'4_1':0.0},(3,148):{'3_1':0.0,'4_1':0.0},(3,144):{'3_1':0.0},(3,143):{'3_1':0.0},(3,140):{'3_1':0.0,'4_1':0.0},(3,139):{'4_1':0.0},(3,138):{'6_2':0.0},(3,136):{'3_1':0.0},(3,128):{'3_1':0.0},(3,123):{'3_1':0.0},(3,108):{'3_1':0.0},(3,107):{'3_1':0.0},(3,96):{'3_1':0.0},(3,93):{'3_1':0.0},(3,86):{'3_1':0.0},(3,85):{'3_1':0.0},(3,82):{'3_1':0.0},(4,290):{'3_1':0.66,'5_1':0.03,'5_2':0.0,'4_1':0.0},(4,289):{'3_1':0.54,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(4,288):{'3_1':0.51,'4_1':0.0,'5_1':0.0,'5_2':0.0},(4,287):{'3_1':0.42,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_9':0.0},(4,286):{'3_1':0.24,'4_1':0.03,'5_1':0.0},(4,285):{'3_1':0.12,'5_2':0.0,'6_2':0.0,'5_1':0.0,'4_1':0.0},(4,284):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(4,283):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(4,282):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(4,281):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(4,280):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(4,279):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_1':0.0},(4,278):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(4,277):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'5_1':0.0},(4,276):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(4,275):{'3_1':0.0,'4_1':0.0},(4,274):{'4_1':0.0,'3_1':0.0},(4,273):{'3_1':0.0},(4,272):{'3_1':0.06},(4,271):{'3_1':0.0,'5_2':0.0},(4,270):{'3_1':0.0},(4,269):{'3_1':0.0},(4,268):{'3_1':0.0,'5_2':0.0},(4,267):{'3_1':0.0},(4,266):{'3_1':0.0},(4,265):{'3_1':0.0,'4_1':0.0},(4,264):{'3_1':0.06},(4,263):{'3_1':0.0},(4,262):{'3_1':0.03},(4,261):{'3_1':0.03},(4,260):{'3_1':0.03},(4,259):{'3_1':0.03,'6_3':0.0},(4,258):{'3_1':0.03},(4,257):{'3_1':0.06},(4,256):{'3_1':0.03},(4,255):{'3_1':0.03,'6_2':0.0},(4,254):{'3_1':0.0},(4,252):{'3_1':0.0,'5_2':0.0},(4,250):{'3_1':0.0},(4,249):{'3_1':0.0},(4,248):{'3_1':0.03},(4,246):{'3_1':0.0},(4,245):{'3_1':0.0},(4,244):{'3_1':0.0,'6_2':0.0},(4,243):{'3_1':0.03},(4,242):{'3_1':0.0},(4,239):{'3_1':0.0},(4,237):{'3_1':0.0},(4,235):{'3_1':0.0,'5_1':0.0},(4,234):{'3_1':0.0,'4_1':0.0},(4,233):{'4_1':0.0},(4,232):{'3_1':0.0,'4_1':0.0},(4,231):{'4_1':0.0,'6_2':0.0},(4,230):{'3_1':0.0},(4,229):{'3_1':0.03},(4,228):{'3_1':0.03,'4_1':0.0},(4,227):{'3_1':0.03},(4,226):{'3_1':0.0},(4,225):{'3_1':0.03},(4,224):{'3_1':0.03,'4_1':0.0},(4,223):{'3_1':0.03},(4,222):{'3_1':0.03},(4,221):{'3_1':0.0,'4_1':0.0},(4,220):{'3_1':0.03,'4_1':0.0},(4,219):{'3_1':0.03,'4_1':0.0},(4,218):{'3_1':0.0},(4,217):{'3_1':0.0},(4,214):{'3_1':0.0},(4,213):{'3_1':0.0},(4,210):{'3_1':0.0},(4,208):{'3_1':0.0},(4,207):{'3_1':0.0},(4,206):{'3_1':0.0},(4,204):{'3_1':0.0},(4,203):{'3_1':0.03},(4,202):{'3_1':0.0},(4,201):{'3_1':0.0},(4,199):{'3_1':0.0},(4,197):{'3_1':0.03},(4,195):{'3_1':0.0},(4,194):{'3_1':0.0},(4,192):{'3_1':0.0},(4,191):{'3_1':0.0},(4,190):{'3_1':0.0},(4,189):{'3_1':0.0},(4,187):{'3_1':0.0},(4,185):{'3_1':0.0,'4_1':0.0},(4,184):{'3_1':0.0},(4,182):{'3_1':0.0},(4,181):{'3_1':0.0},(4,179):{'3_1':0.0,'6_2':0.0},(4,177):{'4_1':0.0},(4,171):{'3_1':0.0,'6_1':0.0},(4,170):{'3_1':0.0},(4,167):{'3_1':0.0},(4,166):{'3_1':0.0},(4,164):{'3_1':0.0},(4,163):{'3_1':0.0},(4,162):{'3_1':0.0},(4,160):{'3_1':0.0},(4,159):{'3_1':0.0},(4,157):{'3_1':0.0},(4,153):{'3_1':0.0},(4,152):{'3_1':0.0},(4,151):{'4_1':0.0},(4,149):{'3_1':0.0},(4,145):{'3_1':0.0},(4,144):{'4_1':0.0,'3_1':0.0},(4,140):{'3_1':0.0},(4,136):{'3_1':0.0},(4,128):{'3_1':0.0},(4,125):{'4_1':0.0},(4,123):{'3_1':0.0},(4,114):{'3_1':0.0,'4_1':0.0},(4,113):{'3_1':0.0},(4,112):{'3_1':0.0},(4,111):{'3_1':0.0},(4,110):{'3_1':0.0},(4,109):{'3_1':0.0},(4,108):{'3_1':0.0},(4,107):{'3_1':0.0},(4,101):{'3_1':0.0},(4,99):{'3_1':0.0},(4,97):{'3_1':0.0},(4,95):{'3_1':0.0},(4,93):{'3_1':0.0},(4,90):{'3_1':0.0},(4,89):{'3_1':0.0},(4,88):{'3_1':0.0},(4,85):{'3_1':0.0},(4,82):{'3_1':0.0},(4,81):{'3_1':0.03},(5,290):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_16':0.0},(5,289):{'3_1':0.63,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(5,288):{'3_1':0.48,'4_1':0.06,'6_2':0.03,'5_1':0.0,'7_5':0.0},(5,287):{'3_1':0.48,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_9':0.0},(5,286):{'3_1':0.33,'5_1':0.03,'6_2':0.0,'4_1':0.0},(5,285):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(5,284):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(5,283):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(5,282):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(5,281):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(5,280):{'3_1':0.06,'5_1':0.03,'6_2':0.0,'4_1':0.0},(5,279):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(5,278):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,277):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(5,276):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(5,275):{'3_1':0.0,'4_1':0.0,'8_14':0.0},(5,274):{'3_1':0.03,'4_1':0.0},(5,273):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(5,272):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,271):{'3_1':0.03},(5,270):{'3_1':0.03,'4_1':0.0},(5,269):{'3_1':0.0,'4_1':0.0},(5,268):{'3_1':0.03},(5,267):{'3_1':0.03},(5,266):{'3_1':0.0},(5,265):{'3_1':0.0},(5,264):{'3_1':0.03,'6_2':0.0,'5_1':0.0},(5,263):{'3_1':0.0,'4_1':0.0},(5,262):{'3_1':0.06,'5_2':0.0},(5,261):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(5,260):{'3_1':0.0,'4_1':0.0},(5,259):{'3_1':0.0},(5,258):{'3_1':0.03},(5,257):{'3_1':0.06,'6_3':0.0},(5,256):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(5,255):{'3_1':0.0,'4_1':0.0},(5,254):{'3_1':0.0,'4_1':0.0},(5,253):{'3_1':0.0,'4_1':0.0},(5,252):{'3_1':0.0},(5,251):{'3_1':0.0},(5,250):{'3_1':0.03},(5,248):{'3_1':0.0},(5,247):{'3_1':0.0},(5,246):{'3_1':0.03},(5,245):{'3_1':0.0},(5,244):{'3_1':0.06},(5,243):{'3_1':0.03},(5,242):{'3_1':0.0},(5,240):{'3_1':0.0},(5,239):{'3_1':0.0},(5,238):{'3_1':0.0},(5,236):{'3_1':0.0},(5,235):{'4_1':0.0,'3_1':0.0},(5,233):{'3_1':0.03},(5,232):{'4_1':0.0},(5,231):{'3_1':0.0,'4_1':0.0},(5,230):{'3_1':0.0},(5,229):{'3_1':0.0},(5,228):{'3_1':0.0},(5,227):{'3_1':0.0},(5,226):{'3_1':0.0,'6_1':0.0},(5,225):{'3_1':0.03,'4_1':0.0},(5,224):{'3_1':0.0},(5,223):{'3_1':0.03,'4_1':0.0},(5,222):{'3_1':0.03},(5,221):{'3_1':0.0,'4_1':0.0},(5,220):{'3_1':0.0},(5,219):{'3_1':0.0},(5,218):{'3_1':0.0},(5,217):{'3_1':0.0,'4_1':0.0},(5,216):{'3_1':0.0},(5,214):{'3_1':0.0},(5,213):{'3_1':0.0},(5,212):{'3_1':0.0},(5,209):{'3_1':0.0},(5,208):{'3_1':0.0},(5,207):{'3_1':0.0},(5,206):{'3_1':0.0},(5,204):{'3_1':0.0},(5,203):{'3_1':0.0},(5,202):{'3_1':0.0},(5,198):{'3_1':0.0},(5,197):{'3_1':0.0},(5,196):{'3_1':0.0},(5,195):{'3_1':0.0},(5,194):{'3_1':0.0},(5,193):{'3_1':0.0},(5,192):{'3_1':0.03,'4_1':0.0},(5,191):{'3_1':0.0,'4_1':0.0},(5,190):{'3_1':0.03},(5,189):{'3_1':0.0,'4_1':0.0},(5,188):{'3_1':0.0},(5,185):{'3_1':0.0},(5,184):{'3_1':0.0,'4_1':0.0},(5,183):{'3_1':0.0},(5,182):{'3_1':0.0},(5,181):{'4_1':0.0},(5,180):{'3_1':0.0},(5,179):{'3_1':0.0},(5,178):{'4_1':0.0},(5,172):{'3_1':0.0},(5,171):{'4_1':0.0},(5,170):{'3_1':0.0,'4_1':0.0},(5,169):{'4_1':0.0},(5,167):{'3_1':0.0},(5,165):{'3_1':0.0},(5,164):{'3_1':0.0},(5,162):{'3_1':0.0},(5,161):{'3_1':0.0,'4_1':0.0},(5,160):{'3_1':0.0},(5,159):{'3_1':0.0},(5,158):{'3_1':0.0,'5_2':0.0},(5,157):{'3_1':0.0},(5,156):{'4_1':0.0},(5,155):{'3_1':0.0},(5,154):{'3_1':0.0,'4_1':0.0},(5,152):{'3_1':0.0},(5,149):{'3_1':0.0},(5,147):{'3_1':0.0},(5,145):{'3_1':0.0},(5,144):{'3_1':0.0},(5,139):{'4_1':0.0},(5,138):{'3_1':0.0,'4_1':0.0},(5,137):{'3_1':0.0},(5,129):{'3_1':0.0},(5,126):{'3_1':0.0},(5,125):{'3_1':0.0},(5,123):{'3_1':0.0},(5,122):{'3_1':0.0},(5,114):{'3_1':0.0},(5,112):{'3_1':0.0},(5,111):{'3_1':0.0},(5,109):{'3_1':0.0},(5,101):{'3_1':0.0},(5,97):{'3_1':0.0},(5,95):{'3_1':0.0},(5,94):{'3_1':0.0},(5,93):{'3_1':0.0},(5,90):{'3_1':0.0},(5,88):{'3_1':0.0},(5,86):{'3_1':0.0},(5,84):{'3_1':0.0},(5,82):{'3_1':0.0},(6,290):{'3_1':0.72,'4_1':0.0,'5_1':0.0,'6_1':0.0},(6,289):{'3_1':0.66,'4_1':0.0,'5_1':0.0,'6_2':0.0},(6,288):{'3_1':0.48,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0,'8_3':0.0},(6,287):{'3_1':0.45,'6_2':0.0,'4_1':0.0,'5_1':0.0},(6,286):{'3_1':0.36,'4_1':0.03,'6_2':0.0,'8_11':0.0},(6,285):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'6_2':0.0},(6,284):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'6_2':0.0},(6,283):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(6,282):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'6_1':0.0,'5_2':0.0},(6,281):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(6,280):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_2':0.0},(6,279):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(6,278):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(6,277):{'4_1':0.0,'5_2':0.0,'3_1':0.0,'5_1':0.0},(6,276):{'3_1':0.0},(6,275):{'3_1':0.03},(6,274):{'3_1':0.03,'4_1':0.03},(6,273):{'3_1':0.03,'4_1':0.0},(6,272):{'3_1':0.06,'4_1':0.0},(6,270):{'3_1':0.0,'5_2':0.0},(6,269):{'3_1':0.0},(6,268):{'3_1':0.03,'5_2':0.0},(6,267):{'3_1':0.0},(6,266):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(6,265):{'3_1':0.03},(6,264):{'3_1':0.03},(6,263):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(6,262):{'3_1':0.0},(6,261):{'3_1':0.03,'6_1':0.0},(6,260):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(6,259):{'3_1':0.03,'6_3':0.0},(6,258):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0},(6,257):{'3_1':0.06},(6,256):{'3_1':0.0,'6_1':0.0},(6,255):{'3_1':0.03},(6,254):{'3_1':0.0,'6_1':0.0},(6,253):{'3_1':0.0},(6,252):{'4_1':0.0},(6,250):{'3_1':0.0},(6,249):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(6,248):{'3_1':0.0,'5_2':0.0,'7_5':0.0},(6,247):{'3_1':0.0,'4_1':0.0},(6,246):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(6,245):{'3_1':0.0},(6,243):{'3_1':0.03},(6,242):{'3_1':0.0},(6,241):{'3_1':0.0},(6,240):{'5_2':0.0},(6,239):{'3_1':0.0},(6,237):{'3_1':0.0,'6_2':0.0},(6,236):{'3_1':0.0,'4_1':0.0},(6,235):{'3_1':0.0,'6_1':0.0},(6,234):{'3_1':0.0,'4_1':0.0},(6,233):{'3_1':0.03},(6,232):{'3_1':0.0},(6,231):{'3_1':0.0},(6,230):{'3_1':0.03,'6_2':0.0},(6,229):{'3_1':0.0},(6,228):{'3_1':0.0,'5_2':0.0},(6,227):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(6,226):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(6,225):{'3_1':0.0},(6,224):{'3_1':0.03,'6_2':0.0},(6,223):{'3_1':0.03},(6,222):{'3_1':0.03,'4_1':0.0},(6,221):{'3_1':0.03},(6,220):{'3_1':0.0},(6,219):{'3_1':0.03},(6,218):{'3_1':0.0,'4_1':0.0},(6,217):{'3_1':0.0},(6,216):{'3_1':0.0},(6,215):{'4_1':0.0},(6,214):{'3_1':0.0,'4_1':0.0},(6,213):{'3_1':0.0},(6,212):{'3_1':0.0,'4_1':0.0},(6,211):{'4_1':0.0},(6,210):{'3_1':0.0},(6,209):{'3_1':0.0,'4_1':0.0},(6,208):{'3_1':0.0,'4_1':0.0},(6,206):{'3_1':0.0,'4_1':0.0},(6,205):{'3_1':0.0,'4_1':0.0},(6,204):{'3_1':0.0,'4_1':0.0},(6,202):{'3_1':0.0,'4_1':0.0},(6,201):{'4_1':0.0},(6,199):{'3_1':0.0},(6,198):{'4_1':0.0},(6,196):{'3_1':0.0,'4_1':0.0},(6,195):{'3_1':0.0,'4_1':0.0},(6,194):{'3_1':0.0},(6,192):{'3_1':0.03},(6,191):{'3_1':0.0,'4_1':0.0},(6,190):{'3_1':0.0},(6,189):{'3_1':0.0},(6,187):{'3_1':0.0},(6,185):{'3_1':0.0},(6,184):{'3_1':0.0},(6,181):{'3_1':0.0},(6,173):{'3_1':0.0},(6,172):{'3_1':0.0},(6,170):{'3_1':0.0,'4_1':0.0},(6,166):{'3_1':0.0},(6,165):{'3_1':0.0},(6,164):{'3_1':0.0},(6,163):{'4_1':0.0},(6,162):{'3_1':0.03},(6,161):{'3_1':0.0,'4_1':0.0},(6,154):{'3_1':0.0},(6,152):{'3_1':0.0},(6,151):{'3_1':0.0},(6,150):{'4_1':0.0},(6,149):{'3_1':0.0},(6,148):{'4_1':0.0,'3_1':0.0},(6,146):{'4_1':0.0},(6,126):{'3_1':0.0},(6,125):{'3_1':0.0},(6,121):{'3_1':0.0},(6,114):{'3_1':0.0},(6,113):{'3_1':0.0},(6,111):{'3_1':0.0},(6,110):{'3_1':0.0},(6,109):{'3_1':0.0},(6,108):{'3_1':0.03},(6,103):{'3_1':0.0},(6,102):{'3_1':0.0},(6,101):{'3_1':0.0},(6,100):{'3_1':0.0},(6,99):{'3_1':0.0},(6,97):{'3_1':0.0},(6,96):{'3_1':0.0},(6,95):{'3_1':0.0},(6,94):{'3_1':0.03},(6,91):{'3_1':0.0},(6,90):{'3_1':0.0},(6,89):{'3_1':0.0},(6,88):{'3_1':0.0},(6,87):{'3_1':0.0},(6,86):{'3_1':0.0},(6,85):{'3_1':0.0},(6,84):{'3_1':0.0},(6,83):{'3_1':0.0},(7,290):{'3_1':0.72,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(7,289):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'6_2':0.0},(7,288):{'3_1':0.45,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0},(7,287):{'3_1':0.45,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(7,286):{'3_1':0.36,'5_1':0.0,'6_2':0.0},(7,285):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'6_2':0.0},(7,284):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'7_6':0.0,'-3':0.0},(7,283):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_1':0.0,'-3':0.0},(7,282):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(7,281):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_2':0.0},(7,280):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(7,279):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(7,278):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(7,277):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(7,276):{'3_1':0.03,'5_2':0.0},(7,275):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(7,274):{'3_1':0.0,'4_1':0.0},(7,273):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(7,272):{'3_1':0.03,'4_1':0.0},(7,271):{'3_1':0.0,'5_2':0.0},(7,270):{'3_1':0.0},(7,269):{'3_1':0.06,'4_1':0.0},(7,268):{'3_1':0.0},(7,267):{'3_1':0.0},(7,266):{'3_1':0.03,'4_1':0.0},(7,265):{'3_1':0.03,'5_2':0.0},(7,264):{'3_1':0.06,'4_1':0.0},(7,263):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(7,262):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(7,261):{'3_1':0.03,'7_5':0.0},(7,260):{'3_1':0.06},(7,259):{'3_1':0.03,'8_20|3_1#3_1':0.0},(7,258):{'3_1':0.03},(7,257):{'3_1':0.06},(7,256):{'3_1':0.03,'6_1':0.0},(7,255):{'3_1':0.06},(7,254):{'3_1':0.0},(7,253):{'3_1':0.0,'4_1':0.0},(7,252):{'3_1':0.0,'4_1':0.0},(7,251):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(7,250):{'3_1':0.0},(7,249):{'3_1':0.0},(7,248):{'3_1':0.0},(7,247):{'3_1':0.0},(7,246):{'3_1':0.0,'5_2':0.0},(7,245):{'4_1':0.0},(7,244):{'3_1':0.03},(7,243):{'3_1':0.0,'4_1':0.0,'-3':0.0},(7,242):{'3_1':0.0,'6_2':0.0},(7,241):{'3_1':0.0},(7,240):{'3_1':0.0},(7,238):{'3_1':0.0,'6_3':0.0},(7,237):{'6_2':0.0},(7,236):{'3_1':0.0},(7,235):{'3_1':0.0,'6_1':0.0},(7,234):{'3_1':0.0,'6_2':0.0},(7,233):{'3_1':0.0,'4_1':0.0},(7,231):{'3_1':0.0,'4_1':0.0},(7,230):{'3_1':0.03},(7,229):{'3_1':0.0},(7,228):{'3_1':0.03,'4_1':0.0},(7,227):{'3_1':0.0},(7,226):{'3_1':0.06,'5_2':0.0},(7,225):{'3_1':0.0},(7,224):{'3_1':0.06},(7,223):{'3_1':0.0},(7,222):{'3_1':0.06,'4_1':0.0},(7,221):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(7,220):{'3_1':0.0},(7,219):{'3_1':0.0,'5_2':0.0},(7,218):{'3_1':0.03,'4_1':0.0},(7,217):{'3_1':0.0},(7,216):{'3_1':0.0},(7,215):{'3_1':0.0,'4_1':0.0},(7,213):{'3_1':0.0,'4_1':0.0},(7,212):{'3_1':0.0},(7,210):{'3_1':0.0},(7,209):{'3_1':0.0},(7,208):{'4_1':0.0},(7,207):{'3_1':0.0},(7,206):{'3_1':0.0},(7,205):{'3_1':0.0},(7,204):{'3_1':0.0},(7,203):{'3_1':0.0,'4_1':0.0},(7,201):{'3_1':0.0},(7,198):{'3_1':0.0},(7,196):{'3_1':0.0},(7,195):{'4_1':0.0},(7,194):{'4_1':0.0},(7,193):{'3_1':0.0,'4_1':0.0},(7,192):{'3_1':0.03},(7,191):{'4_1':0.0},(7,190):{'3_1':0.0},(7,189):{'3_1':0.03},(7,187):{'3_1':0.0,'4_1':0.0},(7,185):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(7,183):{'3_1':0.0},(7,182):{'3_1':0.0},(7,180):{'3_1':0.0},(7,179):{'3_1':0.0},(7,178):{'3_1':0.0},(7,176):{'3_1':0.0},(7,174):{'3_1':0.0},(7,173):{'4_1':0.0},(7,171):{'3_1':0.0,'4_1':0.0},(7,169):{'3_1':0.0,'4_1':0.0},(7,168):{'4_1':0.0},(7,167):{'3_1':0.0,'6_1':0.0},(7,163):{'3_1':0.0},(7,162):{'3_1':0.0},(7,160):{'3_1':0.0},(7,157):{'3_1':0.0},(7,156):{'3_1':0.0},(7,154):{'3_1':0.0},(7,153):{'3_1':0.0},(7,152):{'3_1':0.0},(7,150):{'3_1':0.0},(7,149):{'3_1':0.0},(7,148):{'3_1':0.03},(7,147):{'3_1':0.0},(7,146):{'3_1':0.0},(7,144):{'3_1':0.0},(7,143):{'3_1':0.0},(7,142):{'4_1':0.0},(7,140):{'3_1':0.0},(7,138):{'3_1':0.0},(7,137):{'4_1':0.0},(7,134):{'3_1':0.0},(7,133):{'3_1':0.0},(7,132):{'3_1':0.0},(7,131):{'3_1':0.0},(7,130):{'3_1':0.0},(7,129):{'3_1':0.0},(7,128):{'3_1':0.0},(7,126):{'3_1':0.0},(7,125):{'3_1':0.0},(7,124):{'3_1':0.0},(7,122):{'3_1':0.0},(7,117):{'3_1':0.0},(7,114):{'3_1':0.0},(7,109):{'3_1':0.0},(7,108):{'3_1':0.0},(7,107):{'3_1':0.0},(7,106):{'3_1':0.0},(7,104):{'3_1':0.0},(7,100):{'3_1':0.0},(7,97):{'3_1':0.0},(7,95):{'3_1':0.0},(7,94):{'3_1':0.0},(7,92):{'3_1':0.0},(7,90):{'3_1':0.0},(7,89):{'3_1':0.0},(7,88):{'3_1':0.0},(7,87):{'3_1':0.0},(7,86):{'3_1':0.0},(7,85):{'3_1':0.0},(7,84):{'3_1':0.0},(7,82):{'3_1':0.0},(7,81):{'3_1':0.0},(7,72):{'3_1':0.0},(8,290):{'3_1':0.72,'5_1':0.0,'4_1':0.0,'6_2':0.0},(8,289):{'3_1':0.6,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0},(8,288):{'3_1':0.54,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0},(8,287):{'3_1':0.51,'5_1':0.0,'6_2':0.0,'4_1':0.0},(8,286):{'3_1':0.42,'5_1':0.0,'4_1':0.0,'6_2':0.0},(8,285):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.0},(8,284):{'3_1':0.12,'6_2':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_6':0.0},(8,283):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(8,282):{'3_1':0.06,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_7':0.0},(8,281):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(8,280):{'3_1':0.03,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(8,279):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0},(8,278):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(8,277):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0},(8,276):{'3_1':0.0,'4_1':0.0},(8,275):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(8,274):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(8,273):{'3_1':0.06,'4_1':0.0},(8,272):{'3_1':0.06,'4_1':0.0},(8,271):{'3_1':0.03},(8,270):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,269):{'3_1':0.03,'4_1':0.0},(8,268):{'3_1':0.03,'4_1':0.0},(8,266):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(8,265):{'3_1':0.03,'4_1':0.0},(8,264):{'3_1':0.03,'4_1':0.0},(8,263):{'3_1':0.03},(8,262):{'3_1':0.0},(8,261):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(8,260):{'3_1':0.03},(8,259):{'3_1':0.03,'8_20|3_1#3_1':0.0},(8,258):{'3_1':0.03,'4_1':0.0},(8,257):{'3_1':0.09},(8,256):{'3_1':0.06},(8,255):{'3_1':0.03,'6_1':0.0},(8,253):{'3_1':0.03},(8,251):{'3_1':0.0,'6_1':0.0},(8,250):{'3_1':0.03},(8,249):{'3_1':0.0},(8,247):{'3_1':0.0,'6_1':0.0},(8,246):{'3_1':0.0},(8,245):{'3_1':0.0},(8,244):{'3_1':0.0,'8_20|3_1#3_1':0.0},(8,243):{'3_1':0.03},(8,242):{'3_1':0.0,'5_2':0.0},(8,241):{'3_1':0.0},(8,240):{'3_1':0.0},(8,239):{'6_1':0.0},(8,238):{'4_1':0.0},(8,237):{'5_2':0.0,'6_1':0.0},(8,236):{'3_1':0.0},(8,234):{'3_1':0.0},(8,233):{'3_1':0.0},(8,232):{'3_1':0.0,'4_1':0.0},(8,231):{'3_1':0.0},(8,230):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,229):{'3_1':0.03,'5_2':0.0},(8,228):{'3_1':0.03,'4_1':0.0},(8,227):{'3_1':0.03},(8,226):{'3_1':0.03,'4_1':0.0},(8,225):{'3_1':0.03,'8_21|3_1#4_1':0.0},(8,224):{'3_1':0.03},(8,223):{'3_1':0.03,'4_1':0.0},(8,222):{'3_1':0.03},(8,221):{'3_1':0.03,'5_2':0.0},(8,220):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,219):{'3_1':0.0},(8,218):{'3_1':0.0},(8,217):{'3_1':0.03,'4_1':0.0},(8,216):{'3_1':0.0,'4_1':0.0},(8,215):{'3_1':0.0},(8,214):{'3_1':0.0,'4_1':0.0},(8,212):{'3_1':0.0,'4_1':0.0},(8,211):{'3_1':0.0},(8,210):{'3_1':0.0},(8,209):{'3_1':0.0},(8,208):{'3_1':0.0,'4_1':0.0},(8,207):{'3_1':0.0},(8,206):{'3_1':0.0},(8,205):{'3_1':0.0},(8,204):{'3_1':0.0},(8,203):{'3_1':0.0},(8,201):{'4_1':0.0,'3_1':0.0},(8,199):{'3_1':0.0},(8,198):{'3_1':0.0,'5_2':0.0},(8,197):{'3_1':0.0,'4_1':0.0},(8,196):{'3_1':0.0},(8,195):{'3_1':0.03,'4_1':0.0},(8,194):{'3_1':0.0},(8,193):{'3_1':0.0},(8,192):{'3_1':0.0},(8,191):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(8,190):{'3_1':0.0,'4_1':0.0},(8,189):{'3_1':0.0},(8,188):{'3_1':0.0},(8,187):{'3_1':0.0,'4_1':0.0},(8,186):{'3_1':0.0},(8,185):{'3_1':0.0},(8,184):{'3_1':0.0,'4_1':0.0},(8,182):{'3_1':0.0},(8,181):{'6_1':0.0},(8,180):{'3_1':0.0},(8,178):{'3_1':0.0,'6_1':0.0},(8,176):{'3_1':0.0,'6_3':0.0},(8,175):{'3_1':0.0},(8,174):{'3_1':0.0},(8,173):{'3_1':0.0},(8,172):{'3_1':0.0},(8,171):{'4_1':0.0},(8,170):{'3_1':0.0,'4_1':0.0},(8,168):{'3_1':0.0},(8,167):{'3_1':0.0},(8,166):{'3_1':0.0,'4_1':0.0},(8,165):{'3_1':0.0},(8,164):{'3_1':0.0},(8,162):{'3_1':0.0},(8,161):{'3_1':0.0},(8,160):{'3_1':0.0,'4_1':0.0},(8,159):{'3_1':0.0},(8,158):{'3_1':0.0},(8,157):{'3_1':0.0},(8,156):{'3_1':0.03},(8,155):{'3_1':0.0,'4_1':0.0},(8,154):{'3_1':0.0},(8,153):{'3_1':0.0,'4_1':0.0},(8,152):{'3_1':0.0,'4_1':0.0},(8,150):{'3_1':0.03},(8,149):{'3_1':0.0,'4_1':0.0},(8,148):{'3_1':0.0},(8,147):{'3_1':0.0},(8,146):{'3_1':0.0},(8,145):{'3_1':0.0},(8,144):{'3_1':0.0,'4_1':0.0},(8,142):{'3_1':0.0},(8,141):{'3_1':0.0},(8,140):{'3_1':0.0},(8,139):{'3_1':0.0},(8,137):{'3_1':0.0},(8,129):{'3_1':0.0},(8,128):{'3_1':0.0},(8,127):{'3_1':0.0},(8,126):{'3_1':0.0},(8,123):{'3_1':0.0},(8,120):{'3_1':0.0},(8,117):{'3_1':0.0},(8,113):{'3_1':0.0},(8,112):{'3_1':0.0},(8,111):{'3_1':0.0},(8,109):{'3_1':0.0},(8,108):{'3_1':0.0},(8,107):{'3_1':0.0},(8,103):{'3_1':0.0},(8,101):{'3_1':0.0},(8,100):{'3_1':0.0},(8,99):{'3_1':0.0},(8,98):{'3_1':0.0},(8,94):{'3_1':0.0},(8,92):{'3_1':0.0},(8,91):{'3_1':0.0},(8,90):{'3_1':0.0},(8,89):{'3_1':0.0},(8,86):{'3_1':0.0},(8,83):{'3_1':0.0},(8,78):{'3_1':0.0},(8,74):{'3_1':0.0},(9,290):{'3_1':0.63,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(9,289):{'3_1':0.54,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_5':0.0},(9,288):{'3_1':0.51,'4_1':0.03,'6_2':0.03,'5_1':0.03,'7_7':0.0},(9,287):{'3_1':0.42,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(9,286):{'3_1':0.45,'5_1':0.0,'5_2':0.0,'6_2':0.0},(9,285):{'3_1':0.18,'5_2':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0},(9,284):{'3_1':0.27,'4_1':0.0,'6_2':0.0,'6_3':0.0},(9,283):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(9,282):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(9,281):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(9,280):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'8_12':0.0},(9,279):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(9,278):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(9,277):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(9,276):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(9,275):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(9,274):{'4_1':0.06,'3_1':0.03,'6_3':0.0},(9,273):{'3_1':0.03,'4_1':0.0},(9,272):{'3_1':0.03,'4_1':0.03},(9,271):{'3_1':0.06},(9,270):{'3_1':0.03,'4_1':0.0},(9,269):{'3_1':0.0,'3_1#5_1':0.0},(9,268):{'3_1':0.0},(9,267):{'3_1':0.0,'5_2':0.0},(9,266):{'3_1':0.03,'4_1':0.0},(9,265):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(9,264):{'3_1':0.06,'6_1':0.0},(9,263):{'3_1':0.06,'4_1':0.0},(9,262):{'3_1':0.06,'4_1':0.0},(9,261):{'3_1':0.09,'5_2':0.0},(9,260):{'3_1':0.0,'6_1':0.0,'6_3':0.0},(9,259):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(9,258):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(9,257):{'3_1':0.09,'5_2':0.0},(9,256):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0},(9,255):{'3_1':0.06,'4_1':0.0},(9,254):{'3_1':0.03,'4_1':0.0},(9,253):{'3_1':0.0},(9,251):{'3_1':0.0,'5_2':0.0},(9,250):{'3_1':0.03,'6_1':0.0},(9,249):{'3_1':0.0,'4_1':0.0},(9,248):{'3_1':0.0},(9,247):{'3_1':0.0,'4_1':0.0},(9,246):{'3_1':0.0},(9,245):{'3_1':0.03},(9,244):{'3_1':0.03},(9,243):{'3_1':0.0},(9,242):{'3_1':0.03},(9,241):{'3_1':0.0},(9,240):{'3_1':0.0},(9,238):{'3_1':0.0},(9,237):{'3_1':0.03},(9,235):{'3_1':0.03},(9,234):{'3_1':0.0},(9,233):{'3_1':0.0},(9,232):{'3_1':0.0},(9,231):{'3_1':0.03,'4_1':0.0},(9,230):{'3_1':0.03},(9,229):{'3_1':0.0},(9,228):{'3_1':0.0,'4_1':0.0},(9,227):{'3_1':0.0},(9,226):{'3_1':0.0,'4_1':0.0},(9,225):{'3_1':0.0,'4_1':0.0},(9,224):{'3_1':0.03,'4_1':0.0},(9,223):{'3_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0},(9,222):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(9,221):{'3_1':0.0},(9,220):{'3_1':0.03,'4_1':0.0},(9,219):{'3_1':0.0,'4_1':0.0},(9,218):{'4_1':0.0,'3_1':0.0},(9,217):{'3_1':0.0,'4_1':0.0},(9,216):{'4_1':0.0},(9,214):{'3_1':0.0},(9,212):{'3_1':0.0},(9,211):{'3_1':0.0},(9,210):{'3_1':0.0},(9,209):{'4_1':0.0},(9,208):{'3_1':0.0},(9,207):{'3_1':0.0,'4_1':0.0},(9,206):{'3_1':0.0,'5_2':0.0},(9,205):{'3_1':0.0},(9,204):{'3_1':0.03,'4_1':0.0},(9,203):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(9,202):{'3_1':0.0},(9,199):{'3_1':0.03},(9,197):{'3_1':0.0},(9,196):{'3_1':0.0},(9,195):{'4_1':0.0},(9,194):{'3_1':0.0},(9,193):{'3_1':0.0},(9,192):{'3_1':0.0,'5_2':0.0},(9,191):{'3_1':0.0},(9,190):{'3_1':0.0,'5_2':0.0},(9,189):{'3_1':0.0},(9,188):{'3_1':0.0},(9,187):{'3_1':0.0},(9,186):{'3_1':0.0},(9,185):{'3_1':0.0,'4_1':0.0},(9,183):{'3_1':0.0},(9,182):{'3_1':0.0},(9,181):{'3_1':0.0,'4_1':0.0},(9,180):{'3_1':0.0,'4_1':0.0},(9,179):{'3_1':0.0},(9,172):{'3_1':0.0},(9,171):{'3_1':0.0},(9,169):{'3_1':0.0},(9,166):{'4_1':0.0,'6_1':0.0},(9,164):{'3_1':0.0},(9,163):{'3_1':0.0},(9,162):{'3_1':0.0},(9,161):{'4_1':0.0},(9,160):{'3_1':0.0,'4_1':0.0},(9,159):{'3_1':0.0},(9,157):{'3_1':0.0},(9,156):{'3_1':0.0},(9,154):{'3_1':0.0,'4_1':0.0},(9,153):{'3_1':0.0},(9,151):{'3_1':0.0},(9,150):{'3_1':0.0,'4_1':0.0},(9,149):{'3_1':0.0},(9,148):{'3_1':0.0},(9,147):{'3_1':0.0,'4_1':0.0},(9,145):{'3_1':0.0},(9,144):{'3_1':0.0},(9,143):{'3_1':0.0},(9,138):{'3_1':0.0},(9,137):{'3_1':0.0},(9,133):{'3_1':0.0},(9,132):{'3_1':0.0},(9,131):{'3_1':0.0},(9,130):{'4_1':0.0},(9,128):{'3_1':0.0},(9,127):{'3_1':0.0},(9,125):{'3_1':0.0},(9,123):{'3_1':0.0},(9,122):{'3_1':0.0},(9,121):{'3_1':0.0,'4_1':0.0},(9,119):{'3_1':0.0},(9,118):{'3_1':0.0},(9,117):{'3_1':0.0},(9,114):{'3_1':0.0},(9,113):{'3_1':0.0},(9,110):{'3_1':0.0},(9,109):{'3_1':0.0,'4_1':0.0},(9,108):{'3_1':0.0},(9,107):{'3_1':0.0},(9,103):{'3_1':0.0},(9,102):{'3_1':0.0},(9,101):{'3_1':0.0},(9,100):{'3_1':0.0},(9,97):{'3_1':0.0},(9,96):{'3_1':0.0},(9,95):{'3_1':0.0},(9,93):{'3_1':0.0},(9,90):{'3_1':0.0},(9,89):{'3_1':0.0},(9,86):{'3_1':0.03},(9,85):{'3_1':0.0},(9,84):{'3_1':0.0},(9,76):{'3_1':0.0},(9,73):{'3_1':0.0},(9,71):{'3_1':0.0},(10,290):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'-3':0.0},(10,289):{'3_1':0.6,'5_1':0.06,'6_2':0.0},(10,288):{'3_1':0.48,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0},(10,287):{'3_1':0.45,'4_1':0.03,'5_1':0.03},(10,286):{'3_1':0.36,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(10,285):{'3_1':0.18,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0},(10,284):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0},(10,283):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0},(10,282):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(10,281):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(10,280):{'3_1':0.06,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0},(10,279):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(10,278):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(10,277):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,276):{'3_1':0.0,'7_6':0.0,'5_2':0.0},(10,275):{'4_1':0.0,'3_1':0.0},(10,274):{'3_1':0.03,'4_1':0.0},(10,273):{'3_1':0.03,'4_1':0.0},(10,272):{'3_1':0.06},(10,271):{'3_1':0.03},(10,270):{'3_1':0.03},(10,269):{'3_1':0.06,'8_20|3_1#3_1':0.0},(10,268):{'3_1':0.03},(10,267):{'3_1':0.03},(10,266):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,265):{'3_1':0.0},(10,264):{'3_1':0.06},(10,263):{'3_1':0.09},(10,262):{'3_1':0.03},(10,261):{'3_1':0.06,'4_1':0.0},(10,260):{'3_1':0.06,'5_2':0.0},(10,259):{'3_1':0.03,'4_1':0.0},(10,258):{'3_1':0.03},(10,257):{'3_1':0.06},(10,256):{'3_1':0.03,'4_1':0.0},(10,255):{'3_1':0.0,'4_1':0.0},(10,254):{'3_1':0.03,'6_1':0.0},(10,253):{'3_1':0.03,'6_3':0.0},(10,251):{'3_1':0.0,'5_2':0.0},(10,250):{'3_1':0.0,'4_1':0.0},(10,249):{'3_1':0.0,'4_1':0.0},(10,248):{'4_1':0.0,'3_1':0.0},(10,247):{'3_1':0.03,'4_1':0.0},(10,246):{'3_1':0.06},(10,245):{'3_1':0.0,'4_1':0.0},(10,244):{'3_1':0.03},(10,243):{'3_1':0.0},(10,242):{'3_1':0.0},(10,241):{'3_1':0.0},(10,240):{'3_1':0.03},(10,239):{'3_1':0.0,'5_2':0.0},(10,238):{'3_1':0.0},(10,237):{'4_1':0.0},(10,236):{'3_1':0.0},(10,235):{'5_2':0.0},(10,234):{'3_1':0.0,'6_1':0.0},(10,233):{'3_1':0.03},(10,231):{'4_1':0.0,'3_1':0.0},(10,230):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(10,229):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,228):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(10,227):{'3_1':0.0,'4_1':0.0},(10,226):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(10,225):{'3_1':0.0,'4_1':0.0},(10,224):{'3_1':0.03},(10,223):{'3_1':0.0,'4_1':0.0},(10,222):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(10,221):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(10,220):{'3_1':0.0,'5_2':0.0},(10,219):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(10,218):{'3_1':0.03},(10,217):{'3_1':0.03,'5_2':0.0},(10,216):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(10,215):{'3_1':0.0},(10,214):{'3_1':0.0},(10,213):{'4_1':0.0},(10,212):{'4_1':0.0},(10,211):{'3_1':0.0},(10,210):{'3_1':0.0},(10,209):{'3_1':0.0},(10,207):{'3_1':0.0},(10,206):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(10,205):{'3_1':0.03},(10,204):{'3_1':0.0},(10,203):{'5_2':0.0},(10,202):{'3_1':0.0,'4_1':0.0},(10,201):{'3_1':0.0,'5_2':0.0},(10,199):{'3_1':0.0,'4_1':0.0},(10,197):{'3_1':0.0},(10,196):{'3_1':0.0},(10,195):{'3_1':0.03},(10,194):{'3_1':0.0,'4_1':0.0},(10,193):{'3_1':0.03},(10,192):{'3_1':0.0},(10,190):{'3_1':0.03,'4_1':0.0},(10,189):{'3_1':0.0,'5_2':0.0},(10,188):{'3_1':0.0,'4_1':0.0},(10,187):{'4_1':0.0},(10,186):{'3_1':0.0,'4_1':0.0},(10,185):{'4_1':0.0},(10,184):{'3_1':0.0},(10,183):{'3_1':0.0,'6_1':0.0},(10,182):{'3_1':0.0},(10,181):{'3_1':0.0},(10,180):{'3_1':0.0,'4_1':0.0},(10,179):{'3_1':0.0},(10,178):{'3_1':0.0},(10,177):{'4_1':0.0},(10,176):{'3_1':0.0},(10,174):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(10,173):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(10,172):{'3_1':0.0,'6_1':0.0},(10,171):{'3_1':0.0},(10,169):{'3_1':0.0},(10,168):{'5_2':0.0},(10,167):{'3_1':0.0,'4_1':0.0},(10,165):{'3_1':0.0},(10,164):{'3_1':0.0},(10,163):{'4_1':0.0,'3_1':0.0},(10,162):{'3_1':0.0},(10,161):{'3_1':0.0},(10,160):{'3_1':0.0},(10,159):{'3_1':0.03},(10,158):{'3_1':0.0},(10,157):{'3_1':0.0},(10,156):{'5_2':0.0},(10,155):{'3_1':0.0},(10,154):{'3_1':0.0},(10,151):{'3_1':0.0},(10,150):{'3_1':0.0},(10,149):{'3_1':0.0,'4_1':0.0},(10,148):{'3_1':0.0},(10,147):{'3_1':0.0},(10,146):{'3_1':0.0,'4_1':0.0},(10,145):{'3_1':0.03},(10,143):{'3_1':0.03},(10,141):{'3_1':0.0},(10,140):{'3_1':0.0},(10,139):{'3_1':0.0,'4_1':0.0},(10,137):{'3_1':0.0},(10,136):{'3_1':0.0},(10,134):{'3_1':0.0},(10,133):{'3_1':0.0},(10,132):{'3_1':0.0},(10,131):{'3_1':0.03},(10,130):{'3_1':0.0},(10,129):{'3_1':0.0,'4_1':0.0},(10,128):{'3_1':0.0},(10,127):{'3_1':0.0},(10,126):{'3_1':0.0},(10,124):{'3_1':0.03},(10,123):{'3_1':0.0},(10,120):{'3_1':0.0},(10,118):{'3_1':0.0},(10,116):{'3_1':0.0},(10,111):{'3_1':0.03},(10,110):{'3_1':0.0},(10,108):{'3_1':0.0},(10,104):{'3_1':0.0},(10,101):{'3_1':0.0},(10,100):{'3_1':0.0},(10,99):{'3_1':0.0},(10,98):{'3_1':0.0},(10,96):{'3_1':0.0},(10,95):{'3_1':0.0},(10,91):{'3_1':0.0},(10,89):{'3_1':0.0},(10,86):{'3_1':0.0},(10,85):{'3_1':0.0},(10,82):{'3_1':0.0},(10,81):{'3_1':0.0},(10,72):{'3_1':0.0},(11,290):{'3_1':0.66,'5_1':0.03,'4_1':0.0,'5_2':0.0},(11,289):{'3_1':0.63,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(11,288):{'3_1':0.51,'6_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(11,287):{'3_1':0.42,'6_2':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_9':0.0},(11,286):{'3_1':0.33,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(11,285):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(11,284):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(11,283):{'3_1':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(11,282):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_1':0.0},(11,281):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(11,280):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(11,279):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(11,278):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_3':0.0},(11,277):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(11,276):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(11,275):{'3_1':0.0},(11,274):{'4_1':0.03,'3_1':0.03},(11,273):{'3_1':0.03,'4_1':0.0},(11,272):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(11,271):{'3_1':0.03},(11,270):{'3_1':0.03,'6_1':0.0},(11,269):{'3_1':0.0},(11,268):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(11,267):{'3_1':0.06},(11,266):{'3_1':0.03},(11,265):{'3_1':0.09,'4_1':0.0},(11,264):{'3_1':0.0,'4_1':0.0},(11,263):{'3_1':0.0,'6_1':0.0},(11,262):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(11,261):{'3_1':0.06,'6_3':0.0},(11,260):{'3_1':0.03},(11,259):{'3_1':0.06},(11,258):{'3_1':0.06},(11,257):{'3_1':0.03},(11,256):{'3_1':0.0},(11,255):{'3_1':0.03},(11,254):{'3_1':0.06},(11,253):{'3_1':0.06},(11,252):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(11,251):{'3_1':0.03,'4_1':0.0},(11,250):{'3_1':0.0,'8_9':0.0},(11,249):{'4_1':0.0},(11,248):{'3_1':0.03,'4_1':0.0},(11,247):{'3_1':0.0},(11,246):{'3_1':0.0},(11,245):{'3_1':0.03,'4_1':0.0},(11,244):{'3_1':0.0},(11,243):{'3_1':0.0,'4_1':0.0},(11,242):{'3_1':0.03},(11,241):{'3_1':0.0,'5_2':0.0},(11,240):{'3_1':0.0,'4_1':0.0},(11,239):{'4_1':0.0,'3_1':0.0},(11,238):{'3_1':0.0},(11,237):{'3_1':0.0},(11,236):{'3_1':0.0,'4_1':0.0},(11,235):{'3_1':0.0},(11,234):{'6_3':0.0},(11,233):{'3_1':0.0,'5_2':0.0},(11,232):{'3_1':0.0},(11,231):{'3_1':0.06},(11,230):{'3_1':0.0,'5_2':0.0},(11,229):{'3_1':0.03,'4_1':0.0},(11,228):{'4_1':0.0,'3_1':0.0},(11,227):{'3_1':0.0,'4_1':0.0},(11,226):{'3_1':0.03,'5_2':0.0},(11,225):{'3_1':0.03},(11,224):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(11,223):{'3_1':0.06,'7_6':0.0},(11,222):{'3_1':0.06},(11,221):{'3_1':0.0,'5_2':0.0},(11,220):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(11,219):{'3_1':0.0,'5_2':0.0},(11,218):{'3_1':0.0,'6_3':0.0},(11,216):{'4_1':0.0,'6_2':0.0},(11,215):{'3_1':0.0},(11,211):{'3_1':0.0},(11,210):{'3_1':0.0,'6_3':0.0},(11,209):{'3_1':0.03},(11,208):{'3_1':0.0,'4_1':0.0},(11,207):{'4_1':0.0},(11,206):{'4_1':0.0},(11,205):{'5_2':0.0},(11,204):{'3_1':0.0},(11,203):{'3_1':0.0,'4_1':0.0},(11,202):{'3_1':0.0},(11,201):{'3_1':0.0},(11,199):{'3_1':0.0},(11,198):{'3_1':0.0},(11,197):{'3_1':0.0},(11,196):{'3_1':0.0},(11,195):{'3_1':0.03,'5_2':0.0},(11,194):{'3_1':0.0,'4_1':0.0},(11,193):{'3_1':0.0},(11,192):{'3_1':0.0,'4_1':0.0},(11,191):{'3_1':0.03},(11,190):{'3_1':0.0},(11,189):{'4_1':0.0,'6_2':0.0},(11,188):{'3_1':0.0,'5_2':0.0},(11,187):{'3_1':0.0},(11,185):{'3_1':0.0},(11,184):{'3_1':0.0},(11,183):{'3_1':0.0,'4_1':0.0},(11,182):{'3_1':0.0,'4_1':0.0},(11,181):{'3_1':0.0},(11,180):{'3_1':0.03},(11,179):{'3_1':0.0,'4_1':0.0},(11,176):{'3_1':0.03},(11,175):{'3_1':0.0,'4_1':0.0},(11,172):{'3_1':0.0},(11,171):{'3_1':0.0},(11,170):{'3_1':0.0,'4_1':0.0},(11,169):{'3_1':0.0},(11,167):{'3_1':0.0,'4_1':0.0},(11,166):{'3_1':0.0},(11,165):{'3_1':0.0,'4_1':0.0},(11,162):{'4_1':0.0},(11,161):{'3_1':0.0},(11,160):{'3_1':0.0,'4_1':0.0},(11,159):{'4_1':0.0},(11,158):{'3_1':0.0},(11,157):{'3_1':0.0,'4_1':0.0},(11,156):{'4_1':0.0},(11,155):{'3_1':0.0,'4_1':0.0},(11,154):{'3_1':0.0},(11,153):{'3_1':0.0},(11,152):{'3_1':0.0},(11,151):{'3_1':0.0},(11,150):{'3_1':0.0,'5_1':0.0},(11,149):{'3_1':0.0},(11,148):{'3_1':0.0},(11,147):{'3_1':0.0,'4_1':0.0},(11,146):{'3_1':0.0},(11,145):{'3_1':0.0},(11,142):{'3_1':0.0},(11,141):{'3_1':0.0},(11,140):{'4_1':0.0},(11,133):{'3_1':0.0},(11,132):{'3_1':0.0},(11,131):{'3_1':0.0},(11,130):{'3_1':0.0},(11,129):{'3_1':0.0},(11,128):{'3_1':0.0},(11,127):{'3_1':0.0},(11,126):{'3_1':0.0},(11,121):{'3_1':0.0},(11,120):{'3_1':0.0},(11,119):{'3_1':0.0},(11,116):{'3_1':0.0},(11,101):{'3_1':0.0},(11,100):{'3_1':0.0},(11,98):{'3_1':0.0},(11,96):{'3_1':0.0},(11,93):{'3_1':0.0},(11,92):{'3_1':0.0},(11,90):{'3_1':0.0,'4_1':0.0},(11,88):{'3_1':0.03},(11,87):{'3_1':0.0},(11,85):{'3_1':0.0},(11,84):{'3_1':0.0},(11,83):{'3_1':0.0},(11,80):{'3_1':0.0},(11,79):{'3_1':0.0},(11,75):{'3_1':0.0},(11,71):{'3_1':0.0},(12,290):{'3_1':0.57,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(12,289):{'3_1':0.6,'5_1':0.03,'6_2':0.0,'4_1':0.0},(12,288):{'3_1':0.39,'4_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0},(12,287):{'3_1':0.48,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(12,286):{'3_1':0.39,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0},(12,285):{'3_1':0.27,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0},(12,284):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(12,283):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_1':0.0},(12,282):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(12,281):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0},(12,280):{'3_1':0.06,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(12,279):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(12,278):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(12,277):{'3_1':0.0,'5_2':0.0},(12,276):{'3_1':0.0,'4_1':0.0},(12,275):{'3_1':0.06,'4_1':0.0},(12,274):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(12,273):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(12,272):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(12,271):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(12,270):{'3_1':0.03,'4_1':0.0},(12,269):{'3_1':0.03},(12,268):{'3_1':0.03,'5_2':0.0},(12,267):{'3_1':0.0},(12,266):{'3_1':0.03,'4_1':0.0},(12,265):{'3_1':0.03,'4_1':0.0},(12,264):{'3_1':0.06,'4_1':0.0},(12,263):{'3_1':0.03,'4_1':0.0},(12,262):{'3_1':0.03},(12,261):{'3_1':0.06},(12,260):{'3_1':0.03,'8_20|3_1#3_1':0.0},(12,259):{'3_1':0.03,'6_2':0.0,'6_3':0.0},(12,258):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(12,257):{'3_1':0.09},(12,256):{'3_1':0.06,'4_1':0.0},(12,255):{'3_1':0.06,'4_1':0.0},(12,254):{'3_1':0.0,'4_1':0.0},(12,253):{'3_1':0.0,'4_1':0.0},(12,252):{'3_1':0.0,'4_1':0.0},(12,251):{'3_1':0.0},(12,250):{'3_1':0.0,'5_2':0.0},(12,249):{'3_1':0.0,'4_1':0.0},(12,248):{'3_1':0.0},(12,247):{'3_1':0.03},(12,246):{'3_1':0.0},(12,245):{'3_1':0.06},(12,244):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(12,243):{'3_1':0.03,'4_1':0.0},(12,242):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(12,241):{'3_1':0.0,'4_1':0.0},(12,240):{'3_1':0.0,'4_1':0.0},(12,239):{'3_1':0.0,'4_1':0.0},(12,238):{'3_1':0.0},(12,237):{'3_1':0.03},(12,236):{'3_1':0.0},(12,234):{'3_1':0.0},(12,233):{'3_1':0.0,'5_2':0.0},(12,232):{'5_2':0.0},(12,231):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(12,230):{'3_1':0.0,'4_1':0.0},(12,229):{'3_1':0.03,'6_2':0.0},(12,228):{'3_1':0.06,'5_2':0.0},(12,227):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(12,226):{'3_1':0.03},(12,225):{'3_1':0.03,'4_1':0.0},(12,224):{'3_1':0.03,'4_1':0.0},(12,223):{'3_1':0.09,'4_1':0.0},(12,222):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(12,221):{'3_1':0.03,'4_1':0.0},(12,220):{'3_1':0.06,'4_1':0.0},(12,219):{'3_1':0.03},(12,218):{'3_1':0.0},(12,217):{'4_1':0.0,'3_1':0.0},(12,216):{'3_1':0.0},(12,215):{'3_1':0.0},(12,214):{'4_1':0.0,'3_1':0.0},(12,213):{'3_1':0.0},(12,212):{'3_1':0.0},(12,211):{'4_1':0.0,'5_2':0.0},(12,210):{'3_1':0.0},(12,209):{'3_1':0.03,'4_1':0.0},(12,208):{'3_1':0.0},(12,206):{'3_1':0.0,'4_1':0.0},(12,205):{'3_1':0.0,'4_1':0.0},(12,204):{'3_1':0.0},(12,203):{'3_1':0.0},(12,202):{'3_1':0.0},(12,201):{'3_1':0.03,'4_1':0.0},(12,200):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(12,199):{'3_1':0.0,'6_2':0.0},(12,198):{'3_1':0.0},(12,196):{'3_1':0.0},(12,195):{'3_1':0.0,'4_1':0.0},(12,193):{'3_1':0.0},(12,192):{'3_1':0.03},(12,191):{'3_1':0.0,'4_1':0.0},(12,190):{'3_1':0.0},(12,189):{'3_1':0.0},(12,188):{'3_1':0.0},(12,187):{'3_1':0.0,'6_3':0.0},(12,186):{'4_1':0.0},(12,185):{'3_1':0.0},(12,184):{'3_1':0.0},(12,183):{'3_1':0.0,'5_2':0.0},(12,182):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(12,181):{'3_1':0.0,'6_1':0.0},(12,180):{'3_1':0.0},(12,179):{'3_1':0.0},(12,178):{'4_1':0.0},(12,177):{'3_1':0.0},(12,176):{'3_1':0.0,'6_1':0.0},(12,175):{'3_1':0.0,'4_1':0.0},(12,172):{'3_1':0.0},(12,171):{'3_1':0.0},(12,170):{'3_1':0.0},(12,169):{'6_1':0.0},(12,167):{'3_1':0.0},(12,166):{'3_1':0.0},(12,165):{'6_1':0.0},(12,164):{'3_1':0.0},(12,163):{'3_1':0.0},(12,162):{'3_1':0.0},(12,161):{'3_1':0.0},(12,160):{'3_1':0.0},(12,159):{'3_1':0.0},(12,157):{'3_1':0.0},(12,155):{'3_1':0.0},(12,153):{'3_1':0.0},(12,152):{'3_1':0.0},(12,151):{'3_1':0.0},(12,150):{'3_1':0.0},(12,149):{'3_1':0.0},(12,148):{'3_1':0.0},(12,147):{'3_1':0.0},(12,146):{'3_1':0.03},(12,145):{'3_1':0.0},(12,143):{'3_1':0.0},(12,141):{'3_1':0.0},(12,139):{'3_1':0.0},(12,133):{'3_1':0.0},(12,131):{'3_1':0.0},(12,130):{'3_1':0.03},(12,129):{'3_1':0.0},(12,128):{'3_1':0.0},(12,127):{'3_1':0.0},(12,126):{'3_1':0.0},(12,122):{'4_1':0.0},(12,121):{'3_1':0.0},(12,120):{'3_1':0.0},(12,118):{'3_1':0.0},(12,116):{'3_1':0.0},(12,115):{'3_1':0.0},(12,114):{'3_1':0.0,'4_1':0.0},(12,113):{'3_1':0.0},(12,112):{'3_1':0.0},(12,111):{'3_1':0.0},(12,110):{'3_1':0.0},(12,107):{'3_1':0.0},(12,106):{'3_1':0.0,'4_1':0.0},(12,104):{'3_1':0.0},(12,101):{'3_1':0.0},(12,100):{'3_1':0.0},(12,98):{'3_1':0.0},(12,96):{'3_1':0.0},(12,95):{'3_1':0.0},(12,94):{'3_1':0.0},(12,93):{'3_1':0.0},(12,89):{'3_1':0.0},(12,88):{'3_1':0.0},(12,87):{'3_1':0.03},(12,84):{'3_1':0.0},(12,83):{'3_1':0.0},(12,82):{'3_1':0.0},(12,79):{'3_1':0.0},(13,290):{'3_1':0.6,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(13,289):{'3_1':0.51,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0},(13,288):{'3_1':0.45,'4_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0},(13,287):{'3_1':0.42,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0,'8_9':0.0,'-3':0.0},(13,286):{'3_1':0.36,'4_1':0.03,'6_2':0.0,'5_2':0.0},(13,285):{'3_1':0.21,'5_2':0.03,'6_2':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0},(13,284):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(13,283):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(13,282):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(13,281):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'6_3':0.0},(13,280):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(13,279):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(13,278):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(13,277):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_6':0.0},(13,276):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(13,275):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,274):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,273):{'3_1':0.09,'4_1':0.0},(13,272):{'3_1':0.03,'4_1':0.0},(13,271):{'3_1':0.09,'4_1':0.0},(13,270):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,269):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(13,268):{'3_1':0.03,'4_1':0.0},(13,267):{'3_1':0.06,'5_2':0.0},(13,266):{'3_1':0.06,'5_2':0.0},(13,265):{'3_1':0.09,'4_1':0.0},(13,264):{'3_1':0.03,'5_2':0.0},(13,263):{'3_1':0.03,'6_3':0.0},(13,262):{'3_1':0.06,'6_2':0.0},(13,261):{'3_1':0.03},(13,260):{'3_1':0.03},(13,259):{'3_1':0.06},(13,258):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(13,257):{'3_1':0.03,'5_2':0.0},(13,256):{'3_1':0.03,'4_1':0.0},(13,255):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(13,254):{'3_1':0.03},(13,253):{'3_1':0.0},(13,252):{'3_1':0.0},(13,251):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(13,250):{'3_1':0.0},(13,249):{'3_1':0.03,'4_1':0.0},(13,248):{'3_1':0.03},(13,247):{'3_1':0.0},(13,246):{'3_1':0.0},(13,245):{'3_1':0.0},(13,244):{'3_1':0.03},(13,243):{'3_1':0.03,'4_1':0.0},(13,242):{'3_1':0.0},(13,241):{'3_1':0.0},(13,240):{'3_1':0.0,'4_1':0.0},(13,239):{'4_1':0.0,'3_1':0.0},(13,238):{'4_1':0.0,'3_1':0.0},(13,237):{'3_1':0.0},(13,235):{'3_1':0.0},(13,234):{'3_1':0.03},(13,233):{'3_1':0.03,'4_1':0.0},(13,232):{'3_1':0.0},(13,231):{'3_1':0.06},(13,230):{'3_1':0.03,'4_1':0.0},(13,229):{'3_1':0.0},(13,228):{'3_1':0.03,'4_1':0.0},(13,227):{'3_1':0.03},(13,226):{'3_1':0.03,'4_1':0.0},(13,225):{'3_1':0.0},(13,224):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(13,223):{'3_1':0.0},(13,222):{'3_1':0.06},(13,221):{'3_1':0.06},(13,220):{'3_1':0.0,'4_1':0.0},(13,219):{'3_1':0.0},(13,218):{'4_1':0.0},(13,217):{'3_1':0.0,'4_1':0.0},(13,216):{'3_1':0.03},(13,214):{'3_1':0.0},(13,213):{'3_1':0.0},(13,212):{'3_1':0.03,'6_3':0.0},(13,211):{'3_1':0.0,'4_1':0.0},(13,210):{'3_1':0.0},(13,209):{'5_2':0.0},(13,208):{'3_1':0.0,'5_1':0.0,'6_3':0.0},(13,207):{'3_1':0.0},(13,206):{'4_1':0.0},(13,205):{'5_2':0.0},(13,204):{'3_1':0.0},(13,203):{'4_1':0.0},(13,202):{'3_1':0.0},(13,201):{'4_1':0.0,'3_1':0.0},(13,200):{'3_1':0.0},(13,199):{'3_1':0.03},(13,198):{'3_1':0.0},(13,197):{'3_1':0.0,'4_1':0.0},(13,196):{'3_1':0.0},(13,194):{'3_1':0.0},(13,193):{'3_1':0.0},(13,192):{'3_1':0.03,'4_1':0.0},(13,191):{'3_1':0.0,'4_1':0.0},(13,190):{'3_1':0.03,'4_1':0.0},(13,188):{'4_1':0.0},(13,187):{'3_1':0.0},(13,186):{'3_1':0.0},(13,185):{'3_1':0.0},(13,184):{'3_1':0.0},(13,183):{'3_1':0.0},(13,182):{'3_1':0.0},(13,180):{'3_1':0.0},(13,179):{'3_1':0.0,'4_1':0.0},(13,178):{'3_1':0.0},(13,177):{'3_1':0.0},(13,176):{'3_1':0.0},(13,175):{'3_1':0.0},(13,174):{'6_1':0.0},(13,173):{'3_1':0.0,'6_3':0.0},(13,169):{'6_1':0.0},(13,168):{'3_1':0.0},(13,167):{'3_1':0.0},(13,166):{'3_1':0.0},(13,165):{'3_1':0.0,'4_1':0.0},(13,163):{'3_1':0.0,'4_1':0.0},(13,162):{'3_1':0.03},(13,161):{'3_1':0.0,'4_1':0.0},(13,160):{'3_1':0.0},(13,159):{'3_1':0.0},(13,158):{'3_1':0.03},(13,157):{'3_1':0.0,'4_1':0.0},(13,155):{'3_1':0.0},(13,153):{'3_1':0.0},(13,152):{'3_1':0.0},(13,151):{'3_1':0.0},(13,150):{'3_1':0.0},(13,149):{'3_1':0.0},(13,148):{'3_1':0.0},(13,147):{'3_1':0.0},(13,145):{'3_1':0.0},(13,144):{'3_1':0.0},(13,143):{'3_1':0.0},(13,141):{'3_1':0.0},(13,139):{'3_1':0.0},(13,138):{'3_1':0.0},(13,137):{'3_1':0.0},(13,134):{'3_1':0.0,'4_1':0.0},(13,133):{'3_1':0.0},(13,132):{'3_1':0.03},(13,131):{'3_1':0.0},(13,130):{'3_1':0.0},(13,128):{'3_1':0.0},(13,127):{'3_1':0.0},(13,126):{'3_1':0.0},(13,123):{'3_1':0.0},(13,122):{'3_1':0.0},(13,118):{'3_1':0.03,'4_1':0.0},(13,117):{'3_1':0.0},(13,116):{'3_1':0.0},(13,115):{'3_1':0.0},(13,113):{'3_1':0.0},(13,112):{'3_1':0.0},(13,111):{'3_1':0.0},(13,109):{'3_1':0.0},(13,106):{'3_1':0.0},(13,105):{'3_1':0.0},(13,104):{'3_1':0.0},(13,103):{'3_1':0.0},(13,102):{'3_1':0.0},(13,100):{'3_1':0.0},(13,99):{'3_1':0.03},(13,96):{'3_1':0.0},(13,95):{'3_1':0.0},(13,93):{'3_1':0.0},(13,92):{'3_1':0.0},(13,91):{'3_1':0.0},(13,89):{'3_1':0.0},(13,88):{'3_1':0.0},(13,87):{'3_1':0.0},(13,85):{'3_1':0.03},(13,84):{'3_1':0.0},(13,83):{'3_1':0.0},(13,82):{'3_1':0.0},(14,290):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0},(14,289):{'3_1':0.63,'5_1':0.03,'5_2':0.0},(14,288):{'3_1':0.42,'6_2':0.03,'4_1':0.03,'5_1':0.0},(14,287):{'3_1':0.36,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(14,286):{'3_1':0.42,'6_2':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(14,285):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'-3':0.0},(14,284):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0},(14,283):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(14,282):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0},(14,281):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(14,280):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(14,279):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(14,278):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_16':0.0},(14,277):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(14,276):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(14,275):{'3_1':0.06,'4_1':0.0},(14,274):{'3_1':0.03,'4_1':0.0},(14,273):{'3_1':0.06},(14,272):{'3_1':0.03,'4_1':0.0},(14,271):{'3_1':0.0,'4_1':0.0},(14,270):{'3_1':0.06,'5_2':0.0,'8_16':0.0},(14,269):{'3_1':0.06},(14,268):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0},(14,267):{'3_1':0.0,'4_1':0.0},(14,266):{'3_1':0.06,'4_1':0.0},(14,265):{'3_1':0.0,'4_1':0.0},(14,264):{'3_1':0.03,'4_1':0.0},(14,263):{'3_1':0.0},(14,262):{'3_1':0.06},(14,261):{'3_1':0.06},(14,260):{'3_1':0.03,'5_2':0.0},(14,259):{'3_1':0.0,'8_20|3_1#3_1':0.0},(14,258):{'3_1':0.06,'8_20|3_1#3_1':0.0},(14,257):{'3_1':0.03,'4_1':0.0},(14,256):{'3_1':0.06,'5_2':0.0},(14,255):{'4_1':0.03,'3_1':0.0},(14,254):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(14,253):{'3_1':0.03,'6_1':0.0},(14,252):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(14,251):{'3_1':0.0},(14,250):{'4_1':0.0,'3_1':0.0},(14,249):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(14,248):{'3_1':0.0,'6_2':0.0},(14,247):{'3_1':0.03},(14,246):{'3_1':0.03,'4_1':0.0},(14,245):{'3_1':0.06},(14,244):{'3_1':0.0},(14,243):{'6_2':0.0,'3_1':0.0},(14,242):{'3_1':0.0,'4_1':0.0},(14,241):{'3_1':0.0},(14,240):{'3_1':0.0,'4_1':0.0},(14,239):{'4_1':0.0},(14,237):{'3_1':0.0,'4_1':0.0},(14,236):{'3_1':0.0},(14,235):{'3_1':0.0},(14,234):{'5_2':0.0},(14,233):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(14,232):{'3_1':0.0,'6_1':0.0},(14,231):{'3_1':0.03},(14,230):{'4_1':0.03,'3_1':0.0,'6_3':0.0},(14,229):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(14,228):{'3_1':0.03,'5_2':0.0},(14,227):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(14,226):{'4_1':0.0,'3_1':0.0},(14,225):{'3_1':0.06},(14,224):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(14,223):{'3_1':0.0,'4_1':0.0},(14,222):{'3_1':0.03},(14,221):{'3_1':0.03},(14,220):{'7_2':0.0},(14,219):{'3_1':0.03,'4_1':0.0},(14,217):{'3_1':0.0,'4_1':0.0},(14,216):{'3_1':0.03,'4_1':0.0},(14,215):{'3_1':0.0,'4_1':0.0},(14,214):{'3_1':0.0},(14,213):{'3_1':0.0},(14,212):{'3_1':0.0,'5_2':0.0},(14,211):{'3_1':0.0},(14,210):{'3_1':0.0,'4_1':0.0},(14,209):{'3_1':0.0},(14,208):{'3_1':0.0},(14,207):{'3_1':0.0},(14,206):{'3_1':0.0,'5_2':0.0},(14,205):{'3_1':0.03},(14,204):{'3_1':0.0,'4_1':0.0},(14,203):{'3_1':0.0},(14,201):{'3_1':0.0,'4_1':0.0},(14,199):{'4_1':0.0,'6_2':0.0},(14,198):{'3_1':0.0},(14,197):{'3_1':0.0},(14,196):{'3_1':0.0,'4_1':0.0},(14,195):{'3_1':0.0},(14,194):{'3_1':0.03},(14,193):{'3_1':0.03,'4_1':0.0},(14,192):{'3_1':0.03,'4_1':0.0},(14,191):{'3_1':0.0},(14,190):{'3_1':0.0},(14,189):{'3_1':0.0},(14,188):{'3_1':0.0},(14,187):{'3_1':0.0,'8_21|3_1#4_1':0.0},(14,186):{'3_1':0.0},(14,184):{'3_1':0.0,'5_2':0.0},(14,183):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(14,182):{'3_1':0.0,'4_1':0.0},(14,180):{'3_1':0.0},(14,179):{'3_1':0.03},(14,178):{'3_1':0.0},(14,177):{'4_1':0.0},(14,176):{'3_1':0.0},(14,175):{'3_1':0.0},(14,174):{'4_1':0.0},(14,173):{'3_1':0.0},(14,172):{'3_1':0.0},(14,170):{'3_1':0.0,'4_1':0.0},(14,168):{'3_1':0.0},(14,167):{'3_1':0.03},(14,166):{'3_1':0.0},(14,165):{'3_1':0.0},(14,164):{'4_1':0.0},(14,163):{'3_1':0.03},(14,162):{'3_1':0.0},(14,160):{'3_1':0.03},(14,159):{'3_1':0.0},(14,158):{'3_1':0.0},(14,157):{'3_1':0.0},(14,156):{'3_1':0.0},(14,155):{'3_1':0.03},(14,154):{'3_1':0.0},(14,153):{'3_1':0.0},(14,152):{'3_1':0.0,'4_1':0.0},(14,151):{'3_1':0.0},(14,150):{'3_1':0.0},(14,148):{'3_1':0.0},(14,147):{'3_1':0.03},(14,146):{'3_1':0.0},(14,144):{'3_1':0.0},(14,143):{'3_1':0.0},(14,142):{'3_1':0.0},(14,139):{'3_1':0.03},(14,138):{'4_1':0.0},(14,136):{'3_1':0.0},(14,134):{'3_1':0.0},(14,133):{'3_1':0.0},(14,132):{'3_1':0.0},(14,131):{'3_1':0.0},(14,130):{'3_1':0.0},(14,129):{'3_1':0.0},(14,128):{'3_1':0.0},(14,127):{'3_1':0.0},(14,126):{'3_1':0.0},(14,124):{'3_1':0.0},(14,123):{'3_1':0.0},(14,122):{'3_1':0.0},(14,120):{'3_1':0.0},(14,119):{'3_1':0.0},(14,118):{'3_1':0.0},(14,117):{'3_1':0.0},(14,116):{'3_1':0.0},(14,115):{'3_1':0.0,'4_1':0.0},(14,114):{'3_1':0.0,'4_1':0.0},(14,113):{'3_1':0.0},(14,112):{'3_1':0.0},(14,110):{'3_1':0.0},(14,109):{'3_1':0.0},(14,103):{'3_1':0.0},(14,102):{'3_1':0.0},(14,101):{'3_1':0.0},(14,100):{'3_1':0.0},(14,99):{'3_1':0.0},(14,98):{'3_1':0.0},(14,96):{'3_1':0.0},(14,95):{'3_1':0.0},(14,94):{'3_1':0.0},(14,93):{'3_1':0.0},(14,92):{'3_1':0.0},(14,91):{'3_1':0.0},(14,90):{'3_1':0.0},(14,89):{'3_1':0.0},(14,88):{'3_1':0.0},(14,87):{'3_1':0.0},(14,86):{'3_1':0.0},(14,85):{'3_1':0.0},(14,83):{'3_1':0.0},(14,82):{'3_1':0.0},(14,81):{'3_1':0.0},(14,78):{'3_1':0.0},(14,77):{'3_1':0.0},(14,75):{'3_1':0.0},(14,58):{'3_1':0.0},(15,290):{'3_1':0.45,'5_1':0.09,'6_2':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0},(15,289):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(15,288):{'3_1':0.48,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(15,287):{'3_1':0.33,'5_1':0.06,'6_2':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0},(15,286):{'3_1':0.3,'4_1':0.03,'6_2':0.0,'5_2':0.0},(15,285):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(15,284):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(15,283):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'8_1':0.0,'-3':0.0},(15,282):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0},(15,281):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(15,280):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_2':0.0,'6_3':0.0},(15,279):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(15,278):{'3_1':0.03,'4_1':0.03,'5_2':0.03,'5_1':0.0},(15,277):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(15,276):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(15,275):{'3_1':0.12,'4_1':0.0},(15,274):{'3_1':0.06,'4_1':0.06},(15,273):{'3_1':0.06,'4_1':0.0},(15,272):{'3_1':0.06,'4_1':0.0},(15,271):{'3_1':0.03},(15,270):{'3_1':0.0},(15,269):{'3_1':0.03,'5_2':0.0},(15,268):{'3_1':0.06},(15,267):{'3_1':0.0,'6_3':0.0},(15,266):{'3_1':0.06},(15,265):{'3_1':0.03,'4_1':0.0},(15,264):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,263):{'3_1':0.09,'4_1':0.0},(15,262):{'3_1':0.03},(15,261):{'3_1':0.03},(15,260):{'3_1':0.0},(15,259):{'3_1':0.06,'4_1':0.0},(15,258):{'3_1':0.06,'8_20|3_1#3_1':0.0},(15,257):{'3_1':0.06,'4_1':0.0},(15,256):{'3_1':0.06,'4_1':0.03},(15,255):{'3_1':0.09,'4_1':0.0},(15,254):{'3_1':0.03,'4_1':0.0},(15,253):{'3_1':0.0,'6_3':0.0},(15,252):{'3_1':0.0,'4_1':0.0},(15,251):{'3_1':0.0,'4_1':0.0},(15,250):{'3_1':0.0},(15,249):{'3_1':0.0,'5_2':0.0},(15,248):{'3_1':0.0,'4_1':0.0},(15,247):{'3_1':0.0,'4_1':0.0},(15,246):{'3_1':0.0},(15,245):{'3_1':0.03},(15,244):{'3_1':0.0},(15,243):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(15,242):{'3_1':0.03,'4_1':0.0},(15,241):{'3_1':0.0,'6_2':0.0},(15,240):{'3_1':0.0},(15,239):{'3_1':0.0,'4_1':0.0},(15,238):{'3_1':0.0,'5_2':0.0},(15,237):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(15,236):{'3_1':0.0,'4_1':0.0},(15,235):{'3_1':0.0},(15,234):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(15,233):{'3_1':0.03,'4_1':0.0},(15,232):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(15,231):{'3_1':0.0,'4_1':0.0},(15,230):{'3_1':0.03,'4_1':0.0},(15,229):{'3_1':0.0,'4_1':0.0,'-3':0.0},(15,228):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(15,227):{'3_1':0.06,'4_1':0.0},(15,226):{'3_1':0.06,'4_1':0.0},(15,225):{'3_1':0.0},(15,224):{'3_1':0.03},(15,223):{'3_1':0.03},(15,222):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(15,221):{'3_1':0.03,'4_1':0.0},(15,220):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,219):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,218):{'3_1':0.03,'4_1':0.0},(15,217):{'3_1':0.0},(15,215):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,214):{'3_1':0.0,'4_1':0.0},(15,213):{'3_1':0.0,'4_1':0.0},(15,212):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(15,211):{'3_1':0.0},(15,210):{'3_1':0.03},(15,209):{'3_1':0.0},(15,208):{'4_1':0.0},(15,207):{'3_1':0.0,'4_1':0.0},(15,206):{'4_1':0.0,'3_1':0.0},(15,205):{'4_1':0.0,'3_1':0.0},(15,204):{'3_1':0.03},(15,203):{'3_1':0.0,'6_3':0.0},(15,202):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(15,201):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(15,200):{'3_1':0.0,'4_1':0.0},(15,199):{'3_1':0.0},(15,198):{'3_1':0.0,'4_1':0.0},(15,197):{'3_1':0.0,'4_1':0.0},(15,196):{'3_1':0.0,'4_1':0.0},(15,195):{'3_1':0.03},(15,194):{'3_1':0.03},(15,193):{'3_1':0.0},(15,192):{'3_1':0.03},(15,191):{'3_1':0.0,'4_1':0.0},(15,190):{'3_1':0.0},(15,189):{'3_1':0.0},(15,188):{'3_1':0.03},(15,187):{'3_1':0.0,'5_2':0.0},(15,186):{'3_1':0.03},(15,184):{'3_1':0.0},(15,183):{'3_1':0.0},(15,182):{'3_1':0.0},(15,181):{'4_1':0.0},(15,180):{'3_1':0.0},(15,179):{'3_1':0.0,'6_1':0.0},(15,178):{'3_1':0.0},(15,176):{'3_1':0.0,'6_3':0.0},(15,175):{'3_1':0.03},(15,174):{'3_1':0.0},(15,173):{'3_1':0.0},(15,172):{'3_1':0.0},(15,171):{'6_1':0.0},(15,170):{'3_1':0.0},(15,169):{'3_1':0.0,'5_2':0.0},(15,168):{'3_1':0.0},(15,167):{'3_1':0.0,'4_1':0.0},(15,166):{'3_1':0.06},(15,165):{'3_1':0.0},(15,164):{'3_1':0.0},(15,163):{'3_1':0.0},(15,162):{'3_1':0.0},(15,161):{'3_1':0.0},(15,160):{'3_1':0.0},(15,159):{'3_1':0.0},(15,158):{'3_1':0.0,'6_3':0.0},(15,157):{'3_1':0.0},(15,156):{'3_1':0.0},(15,155):{'3_1':0.0},(15,154):{'3_1':0.0},(15,153):{'3_1':0.0,'5_2':0.0},(15,152):{'3_1':0.03},(15,151):{'3_1':0.0},(15,150):{'3_1':0.0},(15,147):{'3_1':0.0},(15,146):{'3_1':0.0},(15,144):{'3_1':0.0},(15,143):{'3_1':0.0},(15,142):{'3_1':0.03},(15,141):{'3_1':0.0},(15,140):{'3_1':0.0},(15,139):{'3_1':0.0},(15,134):{'3_1':0.0},(15,133):{'3_1':0.0},(15,132):{'3_1':0.03},(15,131):{'3_1':0.0},(15,130):{'3_1':0.0},(15,129):{'3_1':0.0},(15,128):{'3_1':0.0},(15,127):{'3_1':0.0},(15,126):{'3_1':0.0},(15,125):{'3_1':0.0},(15,124):{'3_1':0.0},(15,123):{'3_1':0.0},(15,122):{'3_1':0.0},(15,121):{'4_1':0.0},(15,120):{'3_1':0.0},(15,117):{'3_1':0.0},(15,116):{'3_1':0.03},(15,115):{'3_1':0.0},(15,113):{'3_1':0.0},(15,112):{'3_1':0.0,'4_1':0.0},(15,111):{'3_1':0.03},(15,110):{'3_1':0.0},(15,109):{'3_1':0.0},(15,108):{'3_1':0.0},(15,107):{'3_1':0.0},(15,106):{'3_1':0.0},(15,105):{'3_1':0.0},(15,104):{'3_1':0.0,'4_1':0.0},(15,103):{'3_1':0.0},(15,102):{'3_1':0.0},(15,101):{'3_1':0.03},(15,100):{'3_1':0.0},(15,98):{'3_1':0.0},(15,96):{'3_1':0.0},(15,95):{'3_1':0.0},(15,94):{'3_1':0.0},(15,92):{'3_1':0.0},(15,90):{'3_1':0.0},(15,89):{'3_1':0.03},(15,88):{'3_1':0.0},(15,87):{'3_1':0.0},(15,86):{'3_1':0.0},(15,85):{'3_1':0.0},(15,84):{'3_1':0.0},(15,83):{'3_1':0.0},(15,82):{'3_1':0.0},(15,81):{'3_1':0.0},(15,80):{'3_1':0.0},(16,290):{'3_1':0.63,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0},(16,289):{'3_1':0.54,'5_1':0.06,'4_1':0.03,'6_2':0.0},(16,288):{'3_1':0.42,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_1':0.0,'5_2':0.0,'7_6':0.0},(16,287):{'3_1':0.39,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0},(16,286):{'3_1':0.33,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(16,285):{'3_1':0.3,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_16':0.0},(16,284):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0},(16,283):{'3_1':0.09,'4_1':0.06,'6_2':0.03,'7_6':0.0,'-3':0.0},(16,282):{'3_1':0.06,'6_2':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0},(16,281):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0},(16,280):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(16,279):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_12':0.0},(16,278):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(16,277):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(16,276):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(16,275):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_12':0.0},(16,274):{'3_1':0.03,'4_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(16,273):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(16,272):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'8_21|3_1#4_1':0.0},(16,271):{'3_1':0.03},(16,270):{'3_1':0.09},(16,269):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(16,268):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(16,267):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(16,266):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(16,265):{'3_1':0.0,'4_1':0.0},(16,264):{'3_1':0.06},(16,263):{'3_1':0.06,'4_1':0.0},(16,262):{'3_1':0.03},(16,261):{'3_1':0.09,'4_1':0.0},(16,260):{'3_1':0.06,'4_1':0.0},(16,259):{'3_1':0.09,'8_20|3_1#3_1':0.0},(16,258):{'3_1':0.12,'8_20|3_1#3_1':0.0},(16,257):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(16,256):{'3_1':0.18},(16,255):{'3_1':0.03},(16,254):{'3_1':0.06,'5_1':0.0},(16,253):{'3_1':0.03},(16,252):{'3_1':0.03,'4_1':0.0},(16,251):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(16,250):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(16,249):{'3_1':0.03,'4_1':0.03},(16,248):{'3_1':0.03,'8_20|3_1#3_1':0.0},(16,247):{'3_1':0.03},(16,246):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(16,245):{'3_1':0.03,'5_2':0.0,'-3':0.0},(16,244):{'3_1':0.03,'4_1':0.0},(16,243):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(16,242):{'3_1':0.03},(16,241):{'3_1':0.0,'4_1':0.0},(16,240):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(16,239):{'3_1':0.0},(16,238):{'3_1':0.03},(16,237):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(16,236):{'3_1':0.03,'4_1':0.0},(16,235):{'3_1':0.06,'6_3':0.0},(16,234):{'3_1':0.0,'5_2':0.0},(16,233):{'3_1':0.06},(16,232):{'3_1':0.03,'4_1':0.0},(16,231):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(16,230):{'3_1':0.03,'4_1':0.0},(16,229):{'3_1':0.03,'4_1':0.0},(16,228):{'3_1':0.06,'4_1':0.0},(16,227):{'3_1':0.03,'4_1':0.0},(16,226):{'3_1':0.03,'5_2':0.0},(16,225):{'3_1':0.03,'-3':0.0},(16,224):{'3_1':0.03},(16,223):{'3_1':0.06},(16,222):{'3_1':0.03,'4_1':0.0},(16,221):{'3_1':0.06},(16,220):{'4_1':0.0,'3_1':0.0},(16,219):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,218):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,217):{'3_1':0.0,'5_2':0.0},(16,216):{'3_1':0.0,'6_2':0.0},(16,214):{'3_1':0.0},(16,213):{'3_1':0.0,'4_1':0.0},(16,212):{'3_1':0.0},(16,211):{'3_1':0.0,'4_1':0.0},(16,210):{'3_1':0.0},(16,209):{'3_1':0.0},(16,208):{'3_1':0.0,'4_1':0.0},(16,207):{'3_1':0.06,'4_1':0.0},(16,206):{'3_1':0.0,'4_1':0.0},(16,205):{'3_1':0.03},(16,204):{'3_1':0.03,'4_1':0.0},(16,203):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(16,202):{'3_1':0.0,'4_1':0.0},(16,200):{'4_1':0.0,'8_7':0.0},(16,199):{'3_1':0.0},(16,198):{'3_1':0.0,'6_1':0.0,'6_2':0.0},(16,197):{'3_1':0.0,'4_1':0.0},(16,196):{'3_1':0.0,'6_1':0.0},(16,195):{'3_1':0.0},(16,194):{'3_1':0.0},(16,193):{'3_1':0.0},(16,192):{'3_1':0.0,'4_1':0.0},(16,191):{'3_1':0.03},(16,190):{'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_2':0.0},(16,189):{'3_1':0.0},(16,188):{'3_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0},(16,187):{'3_1':0.03},(16,186):{'3_1':0.0},(16,185):{'3_1':0.0},(16,184):{'3_1':0.0},(16,183):{'5_2':0.0},(16,182):{'3_1':0.0},(16,181):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(16,180):{'3_1':0.0,'6_1':0.0},(16,179):{'3_1':0.0,'4_1':0.0},(16,178):{'3_1':0.0,'6_1':0.0},(16,177):{'3_1':0.0},(16,176):{'3_1':0.0},(16,175):{'3_1':0.03},(16,174):{'3_1':0.0},(16,173):{'3_1':0.0},(16,172):{'5_1':0.0,'6_1':0.0},(16,171):{'6_1':0.0},(16,169):{'3_1':0.0,'4_1':0.0},(16,168):{'3_1':0.0},(16,167):{'4_1':0.0,'3_1':0.0},(16,165):{'3_1':0.0},(16,164):{'3_1':0.0},(16,163):{'4_1':0.0},(16,162):{'3_1':0.03,'4_1':0.0},(16,161):{'3_1':0.0},(16,160):{'3_1':0.0},(16,159):{'3_1':0.0},(16,158):{'3_1':0.03},(16,157):{'3_1':0.0,'5_2':0.0},(16,155):{'3_1':0.0},(16,154):{'3_1':0.0},(16,153):{'3_1':0.0},(16,152):{'3_1':0.0},(16,151):{'3_1':0.0},(16,150):{'3_1':0.0,'4_1':0.0},(16,149):{'3_1':0.03},(16,148):{'3_1':0.0},(16,147):{'3_1':0.03},(16,146):{'3_1':0.0},(16,145):{'3_1':0.0},(16,144):{'3_1':0.0},(16,143):{'3_1':0.0},(16,142):{'3_1':0.0},(16,141):{'3_1':0.0},(16,129):{'3_1':0.0},(16,127):{'3_1':0.0},(16,125):{'3_1':0.0},(16,124):{'3_1':0.0},(16,119):{'3_1':0.0},(16,118):{'3_1':0.0},(16,117):{'3_1':0.0},(16,116):{'3_1':0.0},(16,115):{'3_1':0.0},(16,114):{'3_1':0.0},(16,113):{'3_1':0.0},(16,112):{'3_1':0.0},(16,111):{'3_1':0.0},(16,110):{'3_1':0.03},(16,109):{'3_1':0.0},(16,108):{'3_1':0.0},(16,107):{'3_1':0.0},(16,106):{'3_1':0.0},(16,105):{'3_1':0.0},(16,104):{'3_1':0.0},(16,103):{'3_1':0.0},(16,102):{'3_1':0.0},(16,101):{'3_1':0.0},(16,99):{'3_1':0.03},(16,98):{'3_1':0.0},(16,97):{'3_1':0.0},(16,96):{'3_1':0.0},(16,95):{'3_1':0.0},(16,93):{'3_1':0.0},(16,92):{'3_1':0.03},(16,91):{'3_1':0.0},(16,90):{'3_1':0.03},(16,88):{'3_1':0.0},(16,87):{'3_1':0.03},(16,86):{'3_1':0.0},(16,83):{'3_1':0.0},(16,82):{'3_1':0.0},(16,81):{'3_1':0.0},(16,80):{'3_1':0.0},(16,79):{'3_1':0.0},(16,78):{'3_1':0.0},(16,60):{'5_2':0.0},(17,290):{'3_1':0.57,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(17,289):{'3_1':0.48,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0},(17,288):{'3_1':0.51,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(17,287):{'3_1':0.45,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_9':0.0},(17,286):{'3_1':0.36,'6_2':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_17':0.0},(17,285):{'3_1':0.3,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(17,284):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0},(17,283):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(17,282):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0},(17,281):{'3_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0},(17,280):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0},(17,279):{'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(17,278):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(17,277):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(17,276):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(17,275):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(17,274):{'3_1':0.09,'4_1':0.0},(17,273):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_8':0.0},(17,272):{'3_1':0.12,'6_2':0.0,'8_8':0.0},(17,271):{'3_1':0.03,'4_1':0.0},(17,270):{'3_1':0.0,'5_2':0.0},(17,269):{'3_1':0.03},(17,268):{'3_1':0.06},(17,267):{'3_1':0.06},(17,266):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(17,265):{'3_1':0.03,'5_2':0.0},(17,264):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(17,263):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(17,262):{'3_1':0.06,'6_3':0.0,'4_1':0.0},(17,261):{'3_1':0.06},(17,260):{'3_1':0.03},(17,259):{'3_1':0.09,'4_1':0.0},(17,258):{'3_1':0.03,'8_10':0.0},(17,257):{'3_1':0.06},(17,256):{'3_1':0.03,'4_1':0.0},(17,255):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(17,254):{'3_1':0.0,'6_3':0.0},(17,253):{'3_1':0.06},(17,252):{'3_1':0.03},(17,251):{'3_1':0.03,'4_1':0.0},(17,250):{'3_1':0.0,'4_1':0.0},(17,249):{'3_1':0.0},(17,248):{'3_1':0.03},(17,247):{'3_1':0.06},(17,246):{'3_1':0.06,'4_1':0.0},(17,245):{'3_1':0.03},(17,244):{'3_1':0.0,'4_1':0.0},(17,243):{'3_1':0.03},(17,242):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,241):{'3_1':0.0,'4_1':0.0},(17,240):{'3_1':0.03,'4_1':0.0},(17,239):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(17,238):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(17,237):{'3_1':0.0,'4_1':0.0},(17,236):{'3_1':0.0},(17,235):{'3_1':0.0,'4_1':0.0},(17,234):{'4_1':0.0},(17,233):{'3_1':0.03,'4_1':0.0},(17,232):{'3_1':0.0,'5_2':0.0},(17,231):{'3_1':0.03,'5_2':0.0},(17,230):{'3_1':0.06},(17,229):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(17,228):{'3_1':0.06},(17,227):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(17,226):{'3_1':0.03,'4_1':0.0},(17,225):{'3_1':0.03},(17,224):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(17,223):{'3_1':0.03,'5_2':0.0},(17,222):{'3_1':0.06,'4_1':0.0},(17,221):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(17,220):{'3_1':0.0,'5_2':0.0},(17,219):{'3_1':0.03,'4_1':0.0},(17,218):{'3_1':0.03},(17,217):{'3_1':0.0,'4_1':0.0},(17,216):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(17,215):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(17,214):{'3_1':0.0},(17,213):{'6_1':0.0},(17,212):{'3_1':0.03},(17,211):{'3_1':0.03,'4_1':0.0},(17,210):{'3_1':0.0,'4_1':0.0},(17,209):{'3_1':0.0},(17,208):{'3_1':0.0,'5_2':0.0},(17,207):{'3_1':0.06},(17,206):{'3_1':0.0},(17,205):{'3_1':0.03,'4_1':0.0},(17,204):{'3_1':0.0,'4_1':0.0},(17,203):{'3_1':0.0,'4_1':0.0},(17,202):{'3_1':0.0,'4_1':0.0},(17,201):{'3_1':0.03},(17,200):{'3_1':0.0},(17,199):{'3_1':0.03},(17,198):{'3_1':0.0,'4_1':0.0},(17,197):{'3_1':0.0},(17,196):{'3_1':0.0},(17,195):{'3_1':0.0,'4_1':0.0},(17,194):{'3_1':0.03},(17,193):{'3_1':0.03},(17,192):{'3_1':0.06},(17,191):{'3_1':0.03},(17,190):{'3_1':0.03,'4_1':0.0},(17,189):{'3_1':0.03},(17,188):{'3_1':0.0},(17,187):{'3_1':0.0},(17,186):{'3_1':0.0},(17,185):{'3_1':0.06,'4_1':0.0},(17,184):{'4_1':0.0,'3_1':0.0},(17,183):{'3_1':0.0},(17,182):{'3_1':0.0},(17,181):{'3_1':0.0},(17,180):{'3_1':0.03,'4_1':0.0},(17,179):{'3_1':0.0},(17,178):{'4_1':0.0,'3_1':0.0},(17,177):{'3_1':0.0,'4_1':0.0},(17,176):{'3_1':0.0},(17,175):{'3_1':0.0},(17,174):{'3_1':0.0},(17,172):{'3_1':0.0,'4_1':0.0},(17,171):{'3_1':0.0,'5_2':0.0},(17,170):{'3_1':0.0},(17,169):{'3_1':0.0},(17,168):{'3_1':0.03,'4_1':0.0},(17,167):{'3_1':0.0},(17,166):{'3_1':0.0},(17,165):{'3_1':0.03},(17,164):{'3_1':0.0},(17,163):{'3_1':0.0,'8_3':0.0},(17,162):{'3_1':0.03},(17,161):{'3_1':0.0,'4_1':0.0},(17,160):{'3_1':0.0},(17,159):{'3_1':0.03},(17,158):{'3_1':0.03},(17,157):{'3_1':0.0,'4_1':0.0},(17,156):{'3_1':0.03},(17,155):{'3_1':0.03},(17,154):{'3_1':0.03},(17,153):{'3_1':0.0,'4_1':0.0},(17,152):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,151):{'3_1':0.03},(17,150):{'3_1':0.03},(17,149):{'3_1':0.0},(17,148):{'3_1':0.03},(17,147):{'3_1':0.0,'4_1':0.0},(17,146):{'4_1':0.0},(17,145):{'3_1':0.0,'4_1':0.0},(17,144):{'3_1':0.0},(17,143):{'3_1':0.0},(17,142):{'3_1':0.0,'4_1':0.0},(17,141):{'3_1':0.0},(17,140):{'3_1':0.03},(17,139):{'3_1':0.0},(17,138):{'3_1':0.0},(17,137):{'3_1':0.0},(17,136):{'3_1':0.0},(17,135):{'3_1':0.0},(17,134):{'3_1':0.0},(17,133):{'3_1':0.0},(17,132):{'3_1':0.03},(17,131):{'3_1':0.0},(17,130):{'3_1':0.0},(17,129):{'3_1':0.0},(17,128):{'3_1':0.0},(17,127):{'3_1':0.0},(17,126):{'3_1':0.0},(17,125):{'3_1':0.0},(17,124):{'3_1':0.0},(17,123):{'3_1':0.0},(17,122):{'3_1':0.0},(17,120):{'3_1':0.03},(17,119):{'3_1':0.0,'4_1':0.0},(17,117):{'3_1':0.03},(17,116):{'3_1':0.03},(17,115):{'3_1':0.0},(17,114):{'3_1':0.0},(17,113):{'3_1':0.0},(17,112):{'3_1':0.0},(17,111):{'5_2':0.0},(17,110):{'3_1':0.0},(17,109):{'3_1':0.0},(17,108):{'3_1':0.0},(17,107):{'3_1':0.0},(17,106):{'3_1':0.0},(17,105):{'3_1':0.0},(17,102):{'3_1':0.0},(17,101):{'3_1':0.0},(17,99):{'3_1':0.0},(17,97):{'3_1':0.0},(17,96):{'3_1':0.0},(17,95):{'3_1':0.0},(17,94):{'3_1':0.0},(17,93):{'3_1':0.0},(17,92):{'3_1':0.0},(17,91):{'3_1':0.0},(17,90):{'3_1':0.0},(17,89):{'3_1':0.0},(17,87):{'3_1':0.0},(17,86):{'3_1':0.0},(17,85):{'3_1':0.0},(17,84):{'3_1':0.0},(17,82):{'3_1':0.0},(17,80):{'3_1':0.0},(17,77):{'3_1':0.0},(17,52):{'3_1':0.0},(17,49):{'3_1':0.0},(18,290):{'3_1':0.63,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(18,289):{'3_1':0.51,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0},(18,288):{'3_1':0.48,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0},(18,287):{'3_1':0.42,'4_1':0.03,'5_1':0.0,'6_2':0.0},(18,286):{'3_1':0.3,'4_1':0.06,'5_1':0.03,'6_2':0.0},(18,285):{'3_1':0.36,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_17':0.0},(18,284):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0},(18,283):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(18,282):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(18,281):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(18,280):{'3_1':0.12,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(18,279):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(18,278):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(18,277):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0,'-3':0.0},(18,276):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'7_3':0.0},(18,275):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(18,274):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(18,273):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(18,272):{'3_1':0.06,'4_1':0.0},(18,271):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(18,270):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(18,269):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(18,268):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(18,267):{'3_1':0.09,'4_1':0.0},(18,266):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(18,265):{'3_1':0.03,'4_1':0.0},(18,264):{'3_1':0.09,'4_1':0.0},(18,263):{'3_1':0.06,'6_3':0.0},(18,262):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(18,261):{'3_1':0.06,'8_20|3_1#3_1':0.0},(18,260):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(18,259):{'3_1':0.06,'5_2':0.0,'7_6':0.0},(18,258):{'3_1':0.09,'4_1':0.0},(18,257):{'3_1':0.06,'5_2':0.0},(18,256):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(18,255):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(18,254):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(18,253):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(18,252):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(18,251):{'3_1':0.0,'4_1':0.0},(18,250):{'3_1':0.06,'4_1':0.0},(18,249):{'3_1':0.06},(18,248):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(18,247):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(18,246):{'3_1':0.0,'4_1':0.0},(18,245):{'3_1':0.0,'4_1':0.0},(18,244):{'3_1':0.0,'4_1':0.0},(18,243):{'3_1':0.0,'4_1':0.0},(18,242):{'3_1':0.03},(18,241):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(18,240):{'3_1':0.03,'4_1':0.0},(18,239):{'4_1':0.0,'5_2':0.0},(18,238):{'3_1':0.0,'5_2':0.0},(18,237):{'4_1':0.0},(18,236):{'3_1':0.0},(18,235):{'3_1':0.0,'4_1':0.0},(18,234):{'4_1':0.03,'3_1':0.0},(18,233):{'3_1':0.03,'4_1':0.0},(18,232):{'3_1':0.03,'4_1':0.0},(18,231):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(18,230):{'3_1':0.03,'6_2':0.0},(18,229):{'3_1':0.0,'4_1':0.0},(18,228):{'3_1':0.0},(18,227):{'3_1':0.03,'4_1':0.0},(18,226):{'3_1':0.0,'4_1':0.0},(18,225):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(18,224):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(18,223):{'3_1':0.03,'4_1':0.0},(18,222):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(18,221):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_6':0.0},(18,220):{'3_1':0.06,'4_1':0.0},(18,219):{'3_1':0.0,'4_1':0.0},(18,218):{'3_1':0.03,'4_1':0.0},(18,217):{'4_1':0.0,'3_1':0.0},(18,216):{'3_1':0.0,'4_1':0.0},(18,215):{'3_1':0.0,'4_1':0.0},(18,214):{'3_1':0.0,'6_3':0.0},(18,213):{'3_1':0.03,'4_1':0.0},(18,212):{'3_1':0.0},(18,211):{'3_1':0.0,'4_1':0.0},(18,210):{'3_1':0.03},(18,209):{'3_1':0.0,'6_3':0.0},(18,208):{'3_1':0.0,'4_1':0.0},(18,207):{'3_1':0.03,'4_1':0.0},(18,206):{'3_1':0.0,'4_1':0.0},(18,205):{'3_1':0.03,'4_1':0.0},(18,204):{'3_1':0.0,'4_1':0.0},(18,203):{'3_1':0.0,'4_1':0.0},(18,202):{'3_1':0.0},(18,201):{'3_1':0.0,'4_1':0.0},(18,200):{'3_1':0.0},(18,199):{'3_1':0.03,'4_1':0.0},(18,198):{'4_1':0.0},(18,196):{'3_1':0.0},(18,195):{'3_1':0.03,'4_1':0.0},(18,194):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(18,193):{'3_1':0.0,'4_1':0.0},(18,192):{'3_1':0.03,'4_1':0.0},(18,191):{'3_1':0.03},(18,190):{'3_1':0.0,'6_2':0.0},(18,189):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,188):{'4_1':0.03,'3_1':0.0},(18,187):{'3_1':0.0},(18,186):{'3_1':0.0},(18,185):{'3_1':0.0,'4_1':0.0},(18,184):{'3_1':0.0},(18,183):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(18,182):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(18,181):{'3_1':0.0},(18,180):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(18,179):{'3_1':0.0},(18,178):{'3_1':0.0,'6_3':0.0},(18,177):{'3_1':0.03},(18,176):{'3_1':0.0,'4_1':0.0},(18,174):{'3_1':0.0},(18,173):{'3_1':0.0},(18,172):{'4_1':0.0},(18,171):{'3_1':0.0},(18,170):{'3_1':0.03,'4_1':0.0},(18,169):{'4_1':0.0},(18,168):{'4_1':0.0,'3_1':0.0},(18,167):{'3_1':0.0},(18,166):{'3_1':0.0,'4_1':0.0},(18,165):{'3_1':0.03},(18,164):{'3_1':0.03},(18,163):{'3_1':0.0,'4_1':0.0},(18,162):{'3_1':0.03,'4_1':0.0},(18,161):{'3_1':0.0},(18,160):{'3_1':0.06},(18,159):{'3_1':0.03},(18,158):{'3_1':0.03},(18,157):{'3_1':0.0,'4_1':0.0},(18,156):{'3_1':0.0},(18,155):{'3_1':0.0,'4_1':0.0},(18,154):{'3_1':0.0,'4_1':0.0},(18,153):{'3_1':0.0},(18,152):{'3_1':0.0},(18,151):{'3_1':0.0},(18,150):{'3_1':0.0},(18,149):{'3_1':0.03},(18,148):{'3_1':0.03},(18,147):{'3_1':0.03},(18,146):{'3_1':0.03},(18,145):{'3_1':0.0},(18,144):{'3_1':0.03},(18,141):{'3_1':0.0},(18,140):{'3_1':0.03},(18,139):{'6_2':0.0},(18,138):{'3_1':0.0},(18,137):{'3_1':0.0},(18,136):{'3_1':0.0},(18,135):{'3_1':0.0},(18,134):{'3_1':0.0,'4_1':0.0},(18,133):{'3_1':0.0},(18,132):{'3_1':0.03},(18,131):{'3_1':0.03},(18,130):{'3_1':0.0},(18,128):{'3_1':0.0},(18,127):{'3_1':0.0},(18,126):{'3_1':0.0},(18,125):{'3_1':0.0},(18,124):{'3_1':0.0},(18,123):{'3_1':0.0},(18,122):{'3_1':0.0,'4_1':0.0},(18,121):{'3_1':0.0},(18,120):{'3_1':0.0},(18,119):{'3_1':0.0},(18,117):{'3_1':0.0},(18,116):{'3_1':0.0},(18,115):{'3_1':0.0},(18,114):{'3_1':0.0},(18,113):{'3_1':0.0},(18,112):{'3_1':0.03},(18,111):{'3_1':0.03},(18,110):{'3_1':0.03},(18,109):{'3_1':0.03},(18,108):{'3_1':0.0},(18,107):{'3_1':0.0},(18,105):{'3_1':0.0},(18,104):{'3_1':0.0},(18,103):{'3_1':0.0},(18,102):{'3_1':0.0},(18,101):{'3_1':0.03},(18,100):{'3_1':0.0},(18,99):{'3_1':0.0},(18,98):{'3_1':0.0},(18,97):{'3_1':0.03},(18,96):{'3_1':0.0},(18,95):{'3_1':0.0},(18,94):{'3_1':0.0},(18,93):{'3_1':0.0},(18,91):{'3_1':0.0},(18,90):{'3_1':0.0},(18,89):{'3_1':0.0},(18,88):{'3_1':0.0},(18,87):{'3_1':0.03},(18,85):{'3_1':0.0},(18,84):{'3_1':0.03},(18,83):{'3_1':0.0},(18,82):{'3_1':0.0},(18,81):{'3_1':0.0},(18,80):{'3_1':0.0},(18,79):{'3_1':0.0},(18,53):{'3_1':0.0},(18,52):{'3_1':0.0},(18,48):{'3_1':0.0},(19,290):{'3_1':0.54,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(19,289):{'3_1':0.42,'5_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0},(19,288):{'3_1':0.42,'4_1':0.06,'6_2':0.03,'5_1':0.03,'6_1':0.0},(19,287):{'3_1':0.45,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_4':0.0,'8_17':0.0,'-3':0.0},(19,286):{'3_1':0.33,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(19,285):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0},(19,284):{'3_1':0.33,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0},(19,283):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(19,282):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0},(19,281):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(19,280):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(19,279):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(19,278):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(19,277):{'3_1':0.06,'6_3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(19,276):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(19,275):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(19,274):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(19,273):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(19,272):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(19,271):{'3_1':0.06,'4_1':0.0},(19,270):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(19,269):{'3_1':0.06,'4_1':0.0},(19,268):{'3_1':0.03},(19,267):{'3_1':0.03,'4_1':0.0},(19,266):{'3_1':0.03,'4_1':0.0,'-3':0.0},(19,265):{'3_1':0.09,'6_1':0.0,'5_2':0.0},(19,264):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(19,263):{'3_1':0.09,'4_1':0.0},(19,262):{'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_3':0.0},(19,261):{'3_1':0.09,'4_1':0.0,'7_7':0.0},(19,260):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(19,259):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(19,258):{'3_1':0.09,'4_1':0.03,'7_6':0.0},(19,257):{'3_1':0.09,'4_1':0.0,'8_9':0.0},(19,256):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(19,255):{'3_1':0.06,'4_1':0.03,'8_21|3_1#4_1':0.0},(19,254):{'3_1':0.06,'6_3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(19,253):{'3_1':0.0},(19,252):{'3_1':0.06,'4_1':0.0},(19,251):{'3_1':0.03,'4_1':0.0},(19,250):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(19,249):{'3_1':0.06,'4_1':0.0},(19,248):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(19,247):{'3_1':0.03,'6_3':0.0},(19,246):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(19,245):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(19,244):{'3_1':0.06,'4_1':0.0},(19,243):{'4_1':0.03,'3_1':0.0,'8_21|3_1#4_1':0.0},(19,242):{'3_1':0.03},(19,241):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(19,240):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(19,239):{'4_1':0.03,'3_1':0.0},(19,238):{'4_1':0.03,'3_1':0.0},(19,237):{'4_1':0.03,'3_1':0.0},(19,236):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(19,235):{'3_1':0.0,'4_1':0.0,'8_7':0.0},(19,234):{'3_1':0.0,'4_1':0.0},(19,233):{'3_1':0.03,'4_1':0.0},(19,232):{'3_1':0.0,'4_1':0.0},(19,231):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,230):{'3_1':0.0,'6_1':0.0,'4_1':0.0},(19,229):{'3_1':0.03,'4_1':0.0},(19,228):{'3_1':0.03,'4_1':0.0},(19,227):{'3_1':0.03,'4_1':0.0},(19,226):{'3_1':0.06,'4_1':0.0},(19,225):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(19,224):{'3_1':0.0,'4_1':0.0},(19,223):{'3_1':0.03,'4_1':0.0,'-3':0.0},(19,222):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(19,221):{'3_1':0.09,'4_1':0.03},(19,220):{'3_1':0.0,'4_1':0.0},(19,219):{'3_1':0.03},(19,218):{'4_1':0.03,'3_1':0.0},(19,217):{'3_1':0.03,'4_1':0.0},(19,216):{'3_1':0.0,'4_1':0.0},(19,215):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(19,214):{'3_1':0.0,'4_1':0.0},(19,213):{'3_1':0.0,'4_1':0.0},(19,212):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(19,211):{'3_1':0.03,'4_1':0.0},(19,210):{'3_1':0.0},(19,209):{'3_1':0.0,'4_1':0.0},(19,208):{'3_1':0.0},(19,207):{'4_1':0.0,'3_1':0.0},(19,206):{'3_1':0.03},(19,205):{'3_1':0.0,'6_2':0.0},(19,204):{'4_1':0.0,'6_3':0.0},(19,203):{'3_1':0.03,'4_1':0.0},(19,202):{'3_1':0.0,'4_1':0.0},(19,201):{'3_1':0.0},(19,200):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(19,199):{'6_3':0.0},(19,198):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(19,197):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(19,196):{'3_1':0.03},(19,195):{'3_1':0.0},(19,194):{'3_1':0.03},(19,193):{'3_1':0.06,'5_2':0.0},(19,192):{'3_1':0.03,'4_1':0.0},(19,191):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(19,190):{'3_1':0.0,'4_1':0.0},(19,189):{'3_1':0.0,'4_1':0.0},(19,188):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(19,187):{'3_1':0.0},(19,186):{'3_1':0.0},(19,185):{'3_1':0.0},(19,184):{'3_1':0.0},(19,183):{'3_1':0.0,'5_2':0.0},(19,182):{'4_1':0.0},(19,181):{'3_1':0.0},(19,180):{'3_1':0.03},(19,179):{'3_1':0.0},(19,178):{'3_1':0.0,'4_1':0.0},(19,177):{'3_1':0.0},(19,176):{'3_1':0.03},(19,175):{'3_1':0.0,'4_1':0.0},(19,174):{'3_1':0.0,'4_1':0.0},(19,173):{'3_1':0.06},(19,171):{'3_1':0.0},(19,170):{'3_1':0.0},(19,169):{'3_1':0.0,'4_1':0.0},(19,168):{'3_1':0.0,'4_1':0.0},(19,167):{'3_1':0.03},(19,166):{'4_1':0.0},(19,165):{'3_1':0.0},(19,164):{'3_1':0.06},(19,163):{'3_1':0.0},(19,162):{'3_1':0.03},(19,161):{'3_1':0.0,'4_1':0.0},(19,160):{'3_1':0.03},(19,159):{'3_1':0.03,'6_2':0.0},(19,158):{'3_1':0.0},(19,157):{'3_1':0.0},(19,156):{'3_1':0.03},(19,155):{'3_1':0.0},(19,154):{'3_1':0.0},(19,153):{'3_1':0.0},(19,152):{'3_1':0.03},(19,151):{'3_1':0.03,'5_2':0.0},(19,150):{'3_1':0.03},(19,149):{'3_1':0.03},(19,148):{'3_1':0.0,'4_1':0.0},(19,147):{'3_1':0.03},(19,146):{'3_1':0.03,'5_2':0.0},(19,145):{'3_1':0.0,'4_1':0.0},(19,144):{'3_1':0.0,'4_1':0.0},(19,143):{'3_1':0.03},(19,142):{'3_1':0.0,'4_1':0.0},(19,141):{'3_1':0.0},(19,140):{'3_1':0.0,'4_1':0.0},(19,139):{'3_1':0.0},(19,138):{'3_1':0.0},(19,137):{'3_1':0.03},(19,136):{'3_1':0.0},(19,135):{'3_1':0.0},(19,134):{'3_1':0.0},(19,133):{'3_1':0.0},(19,132):{'3_1':0.0},(19,131):{'3_1':0.03},(19,130):{'3_1':0.0},(19,129):{'3_1':0.03},(19,128):{'3_1':0.0},(19,127):{'3_1':0.0},(19,126):{'3_1':0.0},(19,125):{'3_1':0.0,'4_1':0.0},(19,124):{'4_1':0.0,'3_1':0.0},(19,122):{'3_1':0.03},(19,120):{'3_1':0.0},(19,119):{'3_1':0.03},(19,117):{'3_1':0.0},(19,116):{'3_1':0.0},(19,115):{'3_1':0.0},(19,114):{'3_1':0.0},(19,113):{'3_1':0.0},(19,112):{'3_1':0.0},(19,111):{'3_1':0.0},(19,110):{'3_1':0.0},(19,109):{'3_1':0.0},(19,107):{'3_1':0.0},(19,106):{'3_1':0.0},(19,105):{'3_1':0.03},(19,104):{'3_1':0.0},(19,103):{'3_1':0.03},(19,102):{'3_1':0.0},(19,101):{'3_1':0.03},(19,100):{'3_1':0.0},(19,99):{'3_1':0.0},(19,98):{'3_1':0.0},(19,97):{'3_1':0.0},(19,96):{'3_1':0.0},(19,95):{'3_1':0.0},(19,94):{'3_1':0.03},(19,93):{'3_1':0.0},(19,92):{'3_1':0.0},(19,91):{'3_1':0.03},(19,90):{'3_1':0.0},(19,89):{'3_1':0.0},(19,88):{'3_1':0.03},(19,87):{'3_1':0.03},(19,86):{'3_1':0.0},(19,85):{'3_1':0.0},(19,84):{'3_1':0.0},(19,83):{'3_1':0.0},(19,82):{'3_1':0.0},(19,81):{'3_1':0.0},(19,80):{'3_1':0.0},(19,79):{'3_1':0.0},(19,77):{'3_1':0.0},(19,58):{'3_1':0.0},(19,56):{'3_1':0.0},(19,46):{'3_1':0.0},(20,290):{'3_1':0.57,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0},(20,289):{'3_1':0.48,'5_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_4':0.0},(20,288):{'3_1':0.42,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(20,287):{'3_1':0.48,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(20,286):{'3_1':0.27,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(20,285):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'6_2':0.03,'5_1':0.0},(20,284):{'3_1':0.21,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(20,283):{'3_1':0.15,'4_1':0.09,'6_2':0.03,'5_1':0.0,'6_1':0.0},(20,282):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'6_1':0.0},(20,281):{'3_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_17':0.0},(20,280):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'-3':0.0},(20,279):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(20,278):{'3_1':0.09,'4_1':0.0,'8_16':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(20,277):{'4_1':0.06,'3_1':0.03,'5_1':0.0},(20,276):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0},(20,275):{'3_1':0.06,'4_1':0.0},(20,274):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(20,273):{'3_1':0.03,'4_1':0.03,'8_8':0.0},(20,272):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(20,271):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(20,270):{'3_1':0.09,'4_1':0.0},(20,269):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(20,268):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(20,267):{'3_1':0.06,'4_1':0.0,'8_9':0.0},(20,266):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(20,265):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,264):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(20,263):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(20,262):{'3_1':0.0,'6_3':0.0},(20,261):{'3_1':0.09,'4_1':0.0},(20,260):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(20,259):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_3':0.0},(20,258):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(20,257):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(20,256):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(20,255):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(20,254):{'3_1':0.06,'6_3':0.0},(20,253):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(20,252):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(20,251):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(20,250):{'3_1':0.06,'4_1':0.0},(20,249):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,248):{'3_1':0.06,'6_2':0.0},(20,247):{'3_1':0.06,'4_1':0.0},(20,246):{'3_1':0.0},(20,245):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,244):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(20,243):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(20,242):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(20,241):{'3_1':0.0},(20,240):{'3_1':0.03},(20,239):{'3_1':0.06,'4_1':0.0},(20,238):{'3_1':0.0,'4_1':0.0},(20,237):{'3_1':0.0,'5_2':0.0},(20,236):{'3_1':0.0,'4_1':0.0},(20,235):{'3_1':0.0,'4_1':0.0},(20,234):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(20,233):{'3_1':0.03,'4_1':0.0},(20,232):{'3_1':0.03},(20,231):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(20,230):{'3_1':0.03},(20,229):{'3_1':0.09,'4_1':0.0},(20,228):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(20,227):{'3_1':0.03,'4_1':0.0},(20,226):{'3_1':0.06,'4_1':0.0},(20,225):{'3_1':0.03,'4_1':0.0},(20,224):{'3_1':0.03},(20,223):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(20,222):{'3_1':0.09,'8_20|3_1#3_1':0.0},(20,221):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(20,220):{'3_1':0.03,'4_1':0.03},(20,219):{'3_1':0.0,'4_1':0.0},(20,218):{'3_1':0.03,'4_1':0.0},(20,217):{'3_1':0.03,'4_1':0.0},(20,216):{'3_1':0.03,'5_2':0.0},(20,215):{'3_1':0.0,'4_1':0.0},(20,214):{'3_1':0.03},(20,213):{'3_1':0.0},(20,212):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(20,211):{'4_1':0.0,'3_1':0.0},(20,210):{'3_1':0.03,'4_1':0.0},(20,209):{'3_1':0.0,'4_1':0.0},(20,208):{'3_1':0.0},(20,207):{'4_1':0.03,'8_21|3_1#4_1':0.0},(20,206):{'3_1':0.06,'4_1':0.0},(20,205):{'3_1':0.0,'4_1':0.0},(20,204):{'3_1':0.06,'4_1':0.0},(20,203):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(20,202):{'3_1':0.0},(20,201):{'3_1':0.0},(20,200):{'3_1':0.03,'6_2':0.0,'6_3':0.0},(20,199):{'4_1':0.0},(20,198):{'3_1':0.03,'4_1':0.0},(20,197):{'3_1':0.0},(20,196):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_3':0.0},(20,195):{'3_1':0.03},(20,194):{'3_1':0.0,'4_1':0.0},(20,193):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(20,192):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(20,191):{'3_1':0.03,'5_2':0.0},(20,190):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,189):{'3_1':0.09},(20,188):{'3_1':0.03,'4_1':0.0},(20,187):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(20,186):{'3_1':0.0,'4_1':0.0},(20,185):{'3_1':0.0,'4_1':0.0},(20,184):{'3_1':0.0},(20,183):{'3_1':0.03,'4_1':0.0},(20,182):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(20,181):{'3_1':0.03},(20,180):{'3_1':0.03,'8_21|3_1#4_1':0.0,'4_1':0.0},(20,179):{'3_1':0.0,'4_1':0.0},(20,178):{'3_1':0.0,'4_1':0.0},(20,177):{'3_1':0.0,'4_1':0.0},(20,175):{'3_1':0.0,'4_1':0.0},(20,174):{'3_1':0.03,'4_1':0.0},(20,173):{'3_1':0.03},(20,172):{'3_1':0.0},(20,171):{'3_1':0.0,'4_1':0.0},(20,170):{'3_1':0.03,'4_1':0.0},(20,169):{'3_1':0.0},(20,168):{'3_1':0.03},(20,167):{'3_1':0.03},(20,166):{'3_1':0.0},(20,165):{'3_1':0.03},(20,164):{'3_1':0.0,'4_1':0.0},(20,163):{'3_1':0.03},(20,162):{'3_1':0.06},(20,161):{'3_1':0.03},(20,160):{'3_1':0.03},(20,159):{'3_1':0.0,'5_2':0.0},(20,158):{'3_1':0.03},(20,157):{'3_1':0.0},(20,156):{'3_1':0.0},(20,155):{'3_1':0.03},(20,154):{'3_1':0.03},(20,153):{'3_1':0.0},(20,152):{'3_1':0.03},(20,151):{'3_1':0.03,'4_1':0.0},(20,150):{'3_1':0.0},(20,149):{'3_1':0.03},(20,148):{'3_1':0.03},(20,147):{'3_1':0.0},(20,146):{'3_1':0.0},(20,145):{'3_1':0.0},(20,144):{'3_1':0.0,'5_2':0.0},(20,143):{'3_1':0.03},(20,142):{'3_1':0.0,'6_3':0.0},(20,141):{'3_1':0.03},(20,140):{'3_1':0.0,'4_1':0.0},(20,139):{'3_1':0.0,'4_1':0.0},(20,138):{'3_1':0.03},(20,137):{'3_1':0.03},(20,136):{'3_1':0.03},(20,135):{'3_1':0.03},(20,134):{'3_1':0.0},(20,133):{'3_1':0.0},(20,132):{'3_1':0.03},(20,131):{'3_1':0.0},(20,129):{'3_1':0.03},(20,128):{'3_1':0.0},(20,127):{'3_1':0.0},(20,126):{'3_1':0.03},(20,125):{'3_1':0.0},(20,124):{'3_1':0.0},(20,121):{'3_1':0.0},(20,120):{'3_1':0.03},(20,119):{'3_1':0.0},(20,118):{'3_1':0.0},(20,117):{'3_1':0.0},(20,116):{'3_1':0.0},(20,115):{'3_1':0.0},(20,114):{'3_1':0.03},(20,113):{'3_1':0.0},(20,112):{'3_1':0.0},(20,111):{'3_1':0.0},(20,110):{'3_1':0.0},(20,109):{'3_1':0.0},(20,107):{'3_1':0.03},(20,106):{'3_1':0.0},(20,105):{'3_1':0.0},(20,104):{'3_1':0.0},(20,103):{'3_1':0.0},(20,102):{'3_1':0.0},(20,101):{'3_1':0.03},(20,100):{'3_1':0.0},(20,99):{'3_1':0.03},(20,97):{'3_1':0.03},(20,96):{'3_1':0.0},(20,95):{'3_1':0.0},(20,94):{'3_1':0.0},(20,93):{'3_1':0.03},(20,92):{'3_1':0.03},(20,91):{'3_1':0.0},(20,90):{'3_1':0.06},(20,89):{'3_1':0.03},(20,88):{'3_1':0.03},(20,87):{'3_1':0.0},(20,86):{'3_1':0.0},(20,85):{'3_1':0.0},(20,84):{'3_1':0.0},(20,83):{'3_1':0.0},(20,82):{'3_1':0.0},(20,80):{'3_1':0.0},(20,79):{'3_1':0.0},(20,53):{'3_1':0.0},(20,50):{'3_1':0.0},(21,290):{'3_1':0.48,'5_1':0.09,'4_1':0.03,'6_2':0.03,'5_2':0.0},(21,289):{'3_1':0.48,'5_1':0.06,'5_2':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'6_2':0.0,'8_13':0.0},(21,288):{'3_1':0.39,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_16':0.0},(21,287):{'3_1':0.36,'5_1':0.03,'6_2':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(21,286):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0},(21,285):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(21,284):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_16':0.0,'-3':0.0},(21,283):{'3_1':0.21,'4_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(21,282):{'3_1':0.18,'4_1':0.06,'5_1':0.06,'6_2':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(21,281):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'6_2':0.0},(21,280):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0},(21,279):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_1':0.0},(21,278):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(21,277):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_16':0.0},(21,276):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(21,275):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'5_1':0.0},(21,274):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(21,273):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'7_6':0.0},(21,272):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_6':0.0},(21,271):{'3_1':0.06,'4_1':0.0},(21,270):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(21,269):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(21,268):{'3_1':0.09,'4_1':0.0},(21,267):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(21,266):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(21,265):{'3_1':0.09,'4_1':0.0},(21,264):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_6':0.0},(21,263):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(21,262):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(21,261):{'3_1':0.09,'4_1':0.0},(21,260):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0},(21,259):{'3_1':0.12,'4_1':0.0},(21,258):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(21,257):{'3_1':0.12,'4_1':0.0},(21,256):{'3_1':0.09,'4_1':0.0,'8_7':0.0},(21,255):{'3_1':0.06,'5_2':0.0,'8_9':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(21,254):{'3_1':0.03,'4_1':0.0},(21,253):{'3_1':0.03,'7_6':0.0,'8_7':0.0},(21,252):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(21,251):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(21,250):{'3_1':0.03,'6_3':0.0},(21,249):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(21,248):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0},(21,247):{'3_1':0.03,'6_2':0.0},(21,246):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(21,245):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(21,244):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(21,243):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(21,242):{'3_1':0.09,'6_3':0.0,'4_1':0.0},(21,241):{'3_1':0.03,'4_1':0.0},(21,240):{'3_1':0.03,'4_1':0.0},(21,239):{'3_1':0.03,'4_1':0.0},(21,238):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(21,237):{'3_1':0.03,'4_1':0.0},(21,236):{'3_1':0.03,'4_1':0.0},(21,235):{'3_1':0.03,'4_1':0.0},(21,234):{'3_1':0.03,'4_1':0.0},(21,233):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(21,232):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(21,231):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(21,230):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(21,229):{'3_1':0.06,'4_1':0.0},(21,228):{'3_1':0.09,'4_1':0.0},(21,227):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(21,226):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(21,225):{'3_1':0.06,'4_1':0.0},(21,224):{'3_1':0.06,'6_3':0.0,'4_1':0.0,'6_1':0.0},(21,223):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(21,222):{'3_1':0.06,'4_1':0.0},(21,221):{'3_1':0.06,'4_1':0.0},(21,220):{'3_1':0.09,'4_1':0.0},(21,219):{'3_1':0.03,'4_1':0.0},(21,218):{'3_1':0.03,'4_1':0.0},(21,217):{'3_1':0.0,'4_1':0.0},(21,216):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(21,215):{'3_1':0.03,'4_1':0.0},(21,214):{'3_1':0.0},(21,213):{'3_1':0.0,'6_3':0.0},(21,212):{'3_1':0.03,'4_1':0.0},(21,211):{'3_1':0.03},(21,210):{'6_3':0.0},(21,209):{'3_1':0.03},(21,208):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(21,207):{'3_1':0.0,'4_1':0.0},(21,206):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(21,205):{'3_1':0.03,'4_1':0.0},(21,204):{'3_1':0.0},(21,203):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(21,202):{'3_1':0.0,'4_1':0.0},(21,201):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(21,200):{'3_1':0.0,'6_3':0.0},(21,199):{'3_1':0.06,'4_1':0.0},(21,198):{'3_1':0.0,'6_3':0.0},(21,197):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(21,196):{'3_1':0.0},(21,195):{'3_1':0.03},(21,194):{'3_1':0.0},(21,193):{'3_1':0.03,'4_1':0.0},(21,192):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(21,191):{'3_1':0.03},(21,190):{'3_1':0.03,'5_2':0.0},(21,189):{'3_1':0.03},(21,188):{'3_1':0.0,'4_1':0.0},(21,187):{'3_1':0.03},(21,186):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(21,185):{'3_1':0.0,'4_1':0.0},(21,184):{'3_1':0.0,'4_1':0.0},(21,183):{'3_1':0.03,'4_1':0.0},(21,182):{'3_1':0.0,'4_1':0.0},(21,181):{'3_1':0.03},(21,180):{'3_1':0.0,'4_1':0.0},(21,179):{'3_1':0.03},(21,178):{'3_1':0.0,'4_1':0.0},(21,177):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(21,176):{'3_1':0.03,'8_20|3_1#3_1':0.0},(21,175):{'3_1':0.03,'4_1':0.0},(21,174):{'3_1':0.0,'4_1':0.0},(21,173):{'3_1':0.0,'4_1':0.0},(21,172):{'3_1':0.03,'4_1':0.0},(21,170):{'3_1':0.0},(21,169):{'3_1':0.0},(21,168):{'3_1':0.03},(21,167):{'3_1':0.03,'4_1':0.0},(21,166):{'3_1':0.03},(21,165):{'3_1':0.03},(21,164):{'3_1':0.03},(21,163):{'3_1':0.0},(21,162):{'3_1':0.0},(21,161):{'3_1':0.06},(21,160):{'3_1':0.0,'5_2':0.0},(21,159):{'3_1':0.06,'4_1':0.0},(21,158):{'3_1':0.03},(21,157):{'3_1':0.03,'4_1':0.0},(21,156):{'3_1':0.03,'4_1':0.0},(21,155):{'3_1':0.06,'4_1':0.0},(21,154):{'3_1':0.03,'4_1':0.0},(21,153):{'3_1':0.03},(21,152):{'3_1':0.03,'6_2':0.0},(21,151):{'3_1':0.03,'4_1':0.0},(21,150):{'3_1':0.03,'5_2':0.0},(21,149):{'3_1':0.03},(21,147):{'3_1':0.0},(21,146):{'3_1':0.0},(21,145):{'3_1':0.0},(21,144):{'3_1':0.0},(21,143):{'3_1':0.0,'4_1':0.0},(21,142):{'3_1':0.0},(21,141):{'3_1':0.03,'4_1':0.0},(21,140):{'3_1':0.0},(21,139):{'3_1':0.0},(21,138):{'3_1':0.06},(21,137):{'3_1':0.03},(21,136):{'3_1':0.03},(21,135):{'3_1':0.03},(21,134):{'4_1':0.0},(21,133):{'3_1':0.03},(21,131):{'3_1':0.03,'4_1':0.0},(21,130):{'3_1':0.03},(21,129):{'3_1':0.03},(21,128):{'3_1':0.0},(21,127):{'3_1':0.03},(21,126):{'3_1':0.0},(21,125):{'3_1':0.0},(21,124):{'3_1':0.03},(21,123):{'3_1':0.0},(21,122):{'3_1':0.0},(21,121):{'3_1':0.0},(21,120):{'3_1':0.0},(21,119):{'3_1':0.03},(21,118):{'3_1':0.03},(21,117):{'3_1':0.0},(21,116):{'3_1':0.03},(21,115):{'3_1':0.03},(21,114):{'3_1':0.06},(21,113):{'3_1':0.0},(21,112):{'3_1':0.0},(21,110):{'3_1':0.0},(21,109):{'3_1':0.0},(21,108):{'3_1':0.0},(21,107):{'3_1':0.09},(21,106):{'3_1':0.0},(21,105):{'3_1':0.03},(21,104):{'3_1':0.0},(21,103):{'3_1':0.0},(21,102):{'3_1':0.03},(21,101):{'3_1':0.0},(21,100):{'3_1':0.03},(21,99):{'3_1':0.0},(21,98):{'3_1':0.0},(21,97):{'3_1':0.03},(21,96):{'3_1':0.03},(21,95):{'3_1':0.0},(21,94):{'3_1':0.03},(21,93):{'3_1':0.0},(21,91):{'3_1':0.0},(21,90):{'3_1':0.0},(21,89):{'3_1':0.03},(21,88):{'3_1':0.03},(21,87):{'3_1':0.0},(21,86):{'3_1':0.06},(21,85):{'3_1':0.0},(21,84):{'3_1':0.0},(21,83):{'3_1':0.0},(21,82):{'3_1':0.0},(21,81):{'3_1':0.0},(21,50):{'3_1':0.0},(21,49):{'3_1':0.0},(22,290):{'3_1':0.48,'5_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(22,289):{'3_1':0.42,'5_1':0.06,'6_2':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'-3':0.0},(22,288):{'3_1':0.36,'5_1':0.06,'6_2':0.03,'4_1':0.0,'8_17':0.0},(22,287):{'3_1':0.36,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_6':0.0},(22,286):{'3_1':0.33,'4_1':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0},(22,285):{'3_1':0.12,'4_1':0.06,'5_1':0.06,'5_2':0.06,'6_2':0.03,'-3':0.0,'7_6':0.0,'8_19':0.0},(22,284):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(22,283):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0},(22,282):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0},(22,281):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_14':0.0},(22,280):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(22,279):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(22,278):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(22,277):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.0,'-3':0.0},(22,276):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_2':0.0},(22,275):{'4_1':0.12,'3_1':0.09,'-3':0.0},(22,274):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(22,273):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(22,272):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(22,271):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(22,270):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(22,269):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0},(22,268):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(22,267):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(22,266):{'3_1':0.09,'4_1':0.0},(22,265):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(22,264):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(22,263):{'3_1':0.12,'4_1':0.0},(22,262):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(22,261):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(22,260):{'3_1':0.15,'4_1':0.0,'7_6':0.0,'-3':0.0},(22,259):{'3_1':0.15,'8_20|3_1#3_1':0.0},(22,258):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(22,257):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(22,256):{'3_1':0.09,'4_1':0.0},(22,255):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(22,254):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'8_9':0.0},(22,253):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(22,252):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'6_3':0.0},(22,251):{'4_1':0.0,'3_1':0.0},(22,250):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(22,249):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(22,248):{'3_1':0.09,'4_1':0.0},(22,247):{'3_1':0.09,'4_1':0.0},(22,246):{'3_1':0.06},(22,245):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(22,244):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(22,243):{'3_1':0.06,'5_2':0.0},(22,242):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(22,241):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(22,240):{'3_1':0.0,'4_1':0.0},(22,239):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(22,238):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(22,237):{'3_1':0.0,'4_1':0.0},(22,236):{'4_1':0.03,'3_1':0.03,'8_21|3_1#4_1':0.0},(22,235):{'3_1':0.03,'4_1':0.0},(22,234):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(22,233):{'4_1':0.06,'3_1':0.03,'6_3':0.0},(22,232):{'3_1':0.03,'4_1':0.0},(22,231):{'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(22,230):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(22,229):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(22,228):{'3_1':0.03},(22,227):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(22,226):{'4_1':0.06,'3_1':0.06},(22,225):{'3_1':0.03,'4_1':0.0},(22,224):{'3_1':0.03,'4_1':0.0},(22,223):{'3_1':0.03,'4_1':0.0},(22,222):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,221):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(22,220):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(22,219):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(22,218):{'3_1':0.06,'4_1':0.0},(22,217):{'3_1':0.06},(22,216):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(22,215):{'3_1':0.0,'4_1':0.0},(22,214):{'3_1':0.0,'6_1':0.0},(22,213):{'4_1':0.0},(22,212):{'3_1':0.03,'4_1':0.0},(22,211):{'3_1':0.03,'4_1':0.0},(22,210):{'4_1':0.0},(22,209):{'3_1':0.0,'4_1':0.0},(22,208):{'3_1':0.0,'4_1':0.0},(22,207):{'3_1':0.03},(22,206):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(22,205):{'3_1':0.0,'4_1':0.0},(22,204):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(22,203):{'3_1':0.03,'5_2':0.0},(22,202):{'3_1':0.0},(22,201):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(22,200):{'3_1':0.0,'4_1':0.0},(22,198):{'3_1':0.0,'6_3':0.0},(22,197):{'3_1':0.0,'4_1':0.0},(22,196):{'3_1':0.0,'6_2':0.0},(22,195):{'3_1':0.0},(22,194):{'4_1':0.0},(22,193):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,192):{'3_1':0.03,'5_2':0.0},(22,191):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(22,190):{'3_1':0.03,'6_2':0.0},(22,189):{'3_1':0.0,'4_1':0.0},(22,188):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(22,187):{'3_1':0.0},(22,186):{'3_1':0.0},(22,185):{'3_1':0.03},(22,184):{'3_1':0.0},(22,183):{'3_1':0.0},(22,182):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(22,181):{'3_1':0.0,'4_1':0.0},(22,180):{'3_1':0.0,'4_1':0.0},(22,179):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(22,178):{'4_1':0.0,'6_3':0.0},(22,177):{'3_1':0.0,'4_1':0.0},(22,176):{'3_1':0.0},(22,175):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,174):{'3_1':0.03},(22,173):{'3_1':0.03},(22,172):{'3_1':0.0,'6_2':0.0},(22,171):{'3_1':0.03},(22,170):{'3_1':0.0},(22,169):{'3_1':0.03},(22,168):{'3_1':0.03,'4_1':0.0},(22,167):{'3_1':0.0},(22,166):{'3_1':0.03,'5_2':0.0},(22,165):{'3_1':0.03,'4_1':0.0},(22,164):{'3_1':0.0,'4_1':0.0},(22,163):{'3_1':0.03},(22,162):{'3_1':0.03},(22,161):{'3_1':0.03},(22,160):{'3_1':0.0},(22,159):{'3_1':0.03},(22,158):{'3_1':0.06},(22,157):{'3_1':0.03,'4_1':0.0},(22,156):{'3_1':0.03,'4_1':0.0},(22,155):{'3_1':0.03,'4_1':0.0},(22,154):{'3_1':0.06},(22,153):{'3_1':0.03},(22,152):{'3_1':0.0},(22,151):{'3_1':0.0,'4_1':0.0},(22,150):{'3_1':0.03},(22,149):{'3_1':0.0},(22,148):{'3_1':0.0,'5_2':0.0},(22,147):{'3_1':0.03},(22,146):{'3_1':0.06},(22,145):{'3_1':0.03},(22,144):{'3_1':0.0},(22,143):{'3_1':0.03},(22,142):{'3_1':0.0},(22,141):{'3_1':0.0,'4_1':0.0},(22,140):{'3_1':0.0},(22,139):{'3_1':0.03},(22,138):{'3_1':0.03},(22,137):{'3_1':0.0},(22,136):{'3_1':0.03,'4_1':0.0},(22,135):{'3_1':0.0},(22,134):{'3_1':0.0},(22,133):{'3_1':0.0},(22,132):{'3_1':0.06},(22,131):{'3_1':0.0},(22,130):{'3_1':0.0},(22,129):{'3_1':0.06},(22,128):{'3_1':0.06},(22,127):{'3_1':0.0},(22,125):{'3_1':0.03},(22,124):{'3_1':0.06},(22,123):{'3_1':0.0},(22,122):{'3_1':0.03},(22,121):{'3_1':0.0},(22,120):{'3_1':0.03},(22,119):{'3_1':0.03},(22,118):{'3_1':0.0},(22,117):{'3_1':0.03},(22,116):{'3_1':0.0},(22,115):{'3_1':0.0},(22,114):{'3_1':0.0},(22,113):{'3_1':0.0},(22,112):{'3_1':0.0},(22,111):{'3_1':0.0},(22,110):{'3_1':0.0},(22,109):{'3_1':0.0},(22,107):{'3_1':0.0},(22,106):{'3_1':0.0},(22,105):{'3_1':0.03},(22,103):{'3_1':0.0},(22,102):{'3_1':0.0},(22,101):{'3_1':0.0},(22,100):{'3_1':0.0},(22,99):{'3_1':0.0},(22,98):{'3_1':0.03},(22,97):{'3_1':0.03},(22,96):{'3_1':0.0},(22,95):{'3_1':0.0},(22,94):{'3_1':0.0},(22,93):{'3_1':0.0},(22,92):{'3_1':0.0},(22,91):{'3_1':0.03},(22,90):{'3_1':0.03},(22,89):{'3_1':0.0},(22,88):{'3_1':0.03},(22,87):{'3_1':0.06},(22,85):{'3_1':0.03},(22,84):{'3_1':0.0},(22,83):{'3_1':0.03},(22,82):{'3_1':0.0},(22,81):{'3_1':0.0},(22,78):{'3_1':0.0},(22,74):{'3_1':0.0},(22,73):{'3_1':0.0},(22,71):{'3_1':0.0,'4_1':0.0},(22,54):{'3_1':0.0},(22,53):{'3_1':0.0},(22,52):{'3_1':0.0},(22,51):{'3_1':0.0},(22,50):{'3_1':0.0},(22,47):{'3_1':0.0},(22,43):{'3_1':0.0},(23,290):{'3_1':0.57,'6_2':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(23,289):{'3_1':0.48,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(23,288):{'3_1':0.45,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_1':0.0,'5_2':0.0},(23,287):{'3_1':0.33,'4_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(23,286):{'3_1':0.33,'5_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.0,'7_5':0.0,'-3':0.0},(23,285):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(23,284):{'3_1':0.15,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(23,283):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(23,282):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(23,281):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0},(23,280):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0},(23,279):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(23,278):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_13':0.0},(23,277):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0,'6_1':0.0},(23,276):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(23,275):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(23,274):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(23,273):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_3':0.0},(23,272):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(23,271):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(23,270):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(23,269):{'3_1':0.09,'5_2':0.03},(23,268):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,267):{'3_1':0.09,'4_1':0.0},(23,266):{'3_1':0.12,'4_1':0.06},(23,265):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0},(23,264):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(23,263):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(23,262):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(23,261):{'3_1':0.06},(23,260):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(23,259):{'3_1':0.06,'4_1':0.0},(23,258):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'-3':0.0},(23,257):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(23,256):{'3_1':0.12,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(23,255):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(23,254):{'3_1':0.12,'6_3':0.0,'4_1':0.0},(23,253):{'3_1':0.09,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(23,252):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(23,251):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(23,250):{'3_1':0.09,'8_20|3_1#3_1':0.0},(23,249):{'3_1':0.06,'4_1':0.0},(23,248):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,247):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(23,246):{'3_1':0.06,'4_1':0.0},(23,245):{'3_1':0.06,'8_9':0.0},(23,244):{'3_1':0.12,'4_1':0.0},(23,243):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(23,242):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(23,241):{'3_1':0.0,'4_1':0.0},(23,240):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(23,239):{'3_1':0.0,'4_1':0.0},(23,238):{'3_1':0.03,'4_1':0.0},(23,237):{'4_1':0.03,'3_1':0.0},(23,236):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(23,235):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,234):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(23,233):{'3_1':0.06,'4_1':0.03},(23,232):{'4_1':0.03,'3_1':0.0},(23,231):{'3_1':0.06,'4_1':0.03},(23,230):{'3_1':0.03,'4_1':0.0},(23,229):{'3_1':0.09,'4_1':0.0},(23,228):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(23,227):{'3_1':0.03,'4_1':0.03},(23,226):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(23,225):{'3_1':0.12,'4_1':0.0},(23,224):{'3_1':0.06,'4_1':0.0},(23,223):{'3_1':0.09,'4_1':0.0},(23,222):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(23,221):{'3_1':0.06,'4_1':0.03},(23,220):{'4_1':0.03,'3_1':0.0},(23,219):{'3_1':0.06,'4_1':0.0},(23,218):{'3_1':0.03,'4_1':0.0},(23,217):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(23,216):{'3_1':0.0,'4_1':0.0},(23,215):{'3_1':0.03},(23,214):{'3_1':0.0,'4_1':0.0},(23,213):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(23,212):{'3_1':0.0,'4_1':0.0},(23,211):{'3_1':0.0,'4_1':0.0},(23,210):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(23,209):{'3_1':0.06,'4_1':0.0},(23,208):{'3_1':0.03,'4_1':0.0},(23,207):{'3_1':0.0,'4_1':0.0},(23,206):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(23,205):{'3_1':0.0,'4_1':0.0},(23,204):{'3_1':0.03,'4_1':0.0},(23,203):{'3_1':0.0,'4_1':0.0},(23,202):{'3_1':0.0},(23,201):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(23,200):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(23,199):{'3_1':0.03,'4_1':0.0},(23,198):{'4_1':0.03,'3_1':0.0},(23,197):{'3_1':0.0},(23,196):{'3_1':0.0},(23,195):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(23,194):{'4_1':0.0},(23,193):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(23,192):{'3_1':0.03,'8_21|3_1#4_1':0.0},(23,191):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0},(23,190):{'3_1':0.03,'4_1':0.0},(23,189):{'3_1':0.06,'6_2':0.0},(23,188):{'3_1':0.06,'4_1':0.0},(23,187):{'3_1':0.06,'4_1':0.0},(23,186):{'3_1':0.06,'4_1':0.0},(23,185):{'4_1':0.03,'3_1':0.0},(23,184):{'3_1':0.0,'4_1':0.0},(23,183):{'3_1':0.06},(23,182):{'3_1':0.03,'4_1':0.0},(23,181):{'3_1':0.03,'4_1':0.0},(23,180):{'3_1':0.03,'4_1':0.0},(23,179):{'3_1':0.06,'5_2':0.0},(23,178):{'3_1':0.03,'4_1':0.0},(23,177):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,176):{'3_1':0.03,'4_1':0.0},(23,175):{'3_1':0.06},(23,174):{'3_1':0.06,'4_1':0.0},(23,173):{'3_1':0.03},(23,172):{'3_1':0.0,'4_1':0.0},(23,171):{'3_1':0.0,'4_1':0.0},(23,170):{'3_1':0.03},(23,169):{'3_1':0.06,'4_1':0.0},(23,168):{'3_1':0.03,'4_1':0.0},(23,167):{'3_1':0.0},(23,166):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,165):{'3_1':0.03},(23,164):{'3_1':0.06,'4_1':0.0},(23,163):{'3_1':0.03},(23,162):{'3_1':0.0},(23,161):{'3_1':0.03},(23,160):{'3_1':0.03},(23,159):{'3_1':0.06},(23,158):{'3_1':0.03},(23,157):{'3_1':0.03,'5_2':0.0},(23,156):{'3_1':0.03},(23,155):{'3_1':0.06},(23,154):{'3_1':0.09},(23,153):{'3_1':0.03},(23,152):{'3_1':0.03},(23,151):{'3_1':0.06,'4_1':0.0},(23,150):{'3_1':0.03},(23,149):{'3_1':0.09},(23,148):{'3_1':0.03},(23,147):{'3_1':0.09},(23,146):{'3_1':0.03},(23,145):{'3_1':0.06},(23,144):{'3_1':0.03},(23,143):{'3_1':0.09},(23,142):{'3_1':0.03},(23,141):{'3_1':0.0},(23,140):{'3_1':0.0},(23,139):{'3_1':0.03},(23,138):{'3_1':0.0},(23,137):{'3_1':0.0},(23,136):{'3_1':0.0},(23,135):{'3_1':0.0},(23,134):{'3_1':0.03},(23,133):{'3_1':0.03},(23,132):{'3_1':0.03},(23,131):{'3_1':0.0},(23,130):{'3_1':0.06},(23,129):{'3_1':0.03},(23,128):{'3_1':0.03},(23,127):{'3_1':0.03},(23,126):{'3_1':0.03},(23,125):{'3_1':0.0},(23,124):{'3_1':0.03},(23,123):{'3_1':0.0},(23,122):{'3_1':0.0},(23,121):{'3_1':0.03},(23,120):{'3_1':0.06},(23,119):{'3_1':0.06},(23,118):{'3_1':0.06},(23,117):{'3_1':0.06},(23,116):{'3_1':0.0},(23,115):{'3_1':0.0},(23,114):{'3_1':0.03},(23,113):{'3_1':0.03},(23,112):{'3_1':0.03},(23,111):{'3_1':0.03},(23,110):{'3_1':0.03},(23,109):{'3_1':0.0},(23,108):{'3_1':0.0},(23,107):{'3_1':0.03,'4_1':0.0},(23,106):{'3_1':0.03},(23,105):{'3_1':0.03},(23,104):{'3_1':0.03},(23,103):{'3_1':0.03},(23,102):{'3_1':0.0},(23,101):{'3_1':0.06},(23,100):{'3_1':0.0},(23,99):{'3_1':0.0},(23,98):{'3_1':0.0},(23,97):{'3_1':0.0,'5_2':0.0},(23,96):{'3_1':0.03},(23,95):{'3_1':0.0},(23,94):{'3_1':0.03,'5_2':0.0},(23,93):{'3_1':0.0},(23,92):{'3_1':0.0},(23,91):{'3_1':0.0},(23,90):{'3_1':0.03},(23,89):{'3_1':0.06},(23,88):{'3_1':0.0},(23,87):{'3_1':0.0},(23,86):{'3_1':0.03},(23,85):{'3_1':0.03},(23,84):{'3_1':0.0},(23,83):{'3_1':0.0},(23,80):{'3_1':0.0},(23,79):{'3_1':0.0},(23,72):{'3_1':0.0},(23,56):{'3_1':0.0},(23,53):{'3_1':0.0},(23,51):{'3_1':0.0},(23,50):{'4_1':0.0},(23,45):{'3_1':0.0},(24,290):{'3_1':0.48,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(24,289):{'3_1':0.42,'5_1':0.03,'6_2':0.03,'7_3':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(24,288):{'3_1':0.36,'6_2':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_16':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(24,287):{'3_1':0.48,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(24,286):{'3_1':0.36,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(24,285):{'3_1':0.27,'5_2':0.06,'5_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0},(24,284):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0},(24,283):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_7':0.0},(24,282):{'3_1':0.09,'4_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_4':0.0},(24,281):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'6_3':0.0,'6_1':0.0,'-3':0.0},(24,280):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(24,279):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_6':0.0},(24,278):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(24,277):{'3_1':0.15,'4_1':0.03},(24,276):{'3_1':0.12,'4_1':0.06,'6_3':0.0,'5_2':0.0,'8_10':0.0,'8_12':0.0,'8_20|3_1#3_1':0.0},(24,275):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(24,274):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(24,273):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_6':0.0},(24,272):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'5_1':0.0},(24,271):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(24,270):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(24,269):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(24,268):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(24,267):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_6':0.0},(24,266):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(24,265):{'3_1':0.12,'4_1':0.0},(24,264):{'3_1':0.09,'4_1':0.0},(24,263):{'3_1':0.12,'4_1':0.0},(24,262):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'6_3':0.0},(24,261):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(24,260):{'3_1':0.09,'4_1':0.0},(24,259):{'3_1':0.15,'4_1':0.0,'-3':0.0},(24,258):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(24,257):{'3_1':0.09,'6_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(24,256):{'3_1':0.12,'6_2':0.0,'6_3':0.0},(24,255):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(24,254):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(24,253):{'3_1':0.06,'4_1':0.0},(24,252):{'3_1':0.06,'6_2':0.0,'6_3':0.0},(24,251):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(24,250):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(24,249):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(24,248):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(24,247):{'3_1':0.03,'4_1':0.03},(24,246):{'3_1':0.12,'4_1':0.03},(24,245):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(24,244):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(24,243):{'3_1':0.09,'8_20|3_1#3_1':0.0},(24,242):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(24,241):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(24,240):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(24,239):{'3_1':0.03,'4_1':0.0},(24,238):{'3_1':0.03,'4_1':0.0},(24,237):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(24,236):{'3_1':0.03,'4_1':0.0},(24,235):{'3_1':0.06,'5_2':0.0},(24,234):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(24,233):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(24,232):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(24,231):{'3_1':0.09,'4_1':0.03},(24,230):{'3_1':0.06,'6_1':0.0,'4_1':0.0,'5_2':0.0},(24,229):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(24,228):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(24,227):{'3_1':0.06,'4_1':0.03},(24,226):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(24,225):{'3_1':0.12,'4_1':0.03},(24,224):{'3_1':0.06,'4_1':0.0},(24,223):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(24,222):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(24,221):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(24,220):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(24,219):{'3_1':0.06,'4_1':0.0},(24,218):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(24,217):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(24,216):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(24,215):{'3_1':0.03,'4_1':0.0},(24,214):{'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(24,213):{'3_1':0.03,'4_1':0.0},(24,211):{'4_1':0.0,'3_1':0.0},(24,210):{'3_1':0.06,'4_1':0.0},(24,209):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(24,208):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(24,207):{'3_1':0.09,'4_1':0.0},(24,206):{'3_1':0.06,'4_1':0.0},(24,205):{'3_1':0.03,'4_1':0.0},(24,204):{'3_1':0.06,'4_1':0.0},(24,203):{'3_1':0.03,'4_1':0.0},(24,202):{'3_1':0.03,'6_2':0.0,'6_3':0.0},(24,201):{'3_1':0.0,'4_1':0.0},(24,200):{'3_1':0.0,'4_1':0.0},(24,199):{'3_1':0.0},(24,198):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(24,197):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(24,196):{'3_1':0.0},(24,195):{'3_1':0.0,'4_1':0.0},(24,194):{'3_1':0.0,'4_1':0.0},(24,193):{'3_1':0.03},(24,192):{'3_1':0.06},(24,191):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(24,190):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(24,189):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(24,188):{'3_1':0.0},(24,187):{'3_1':0.03},(24,186):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(24,185):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0},(24,184):{'3_1':0.0,'6_2':0.0},(24,183):{'4_1':0.0,'3_1':0.0},(24,182):{'4_1':0.0,'3_1':0.0},(24,181):{'3_1':0.0},(24,180):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(24,179):{'3_1':0.03,'4_1':0.0},(24,178):{'3_1':0.03,'4_1':0.0},(24,177):{'3_1':0.03,'4_1':0.0},(24,176):{'3_1':0.03,'4_1':0.0},(24,175):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(24,174):{'3_1':0.03,'4_1':0.0},(24,173):{'3_1':0.0},(24,172):{'3_1':0.03},(24,171):{'3_1':0.03,'4_1':0.0},(24,170):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(24,169):{'3_1':0.03},(24,168):{'3_1':0.03},(24,167):{'4_1':0.0,'6_1':0.0},(24,166):{'3_1':0.0},(24,165):{'3_1':0.06,'4_1':0.0},(24,164):{'3_1':0.03},(24,163):{'3_1':0.06,'4_1':0.0},(24,162):{'3_1':0.03,'4_1':0.0},(24,161):{'3_1':0.03,'4_1':0.0},(24,160):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(24,159):{'3_1':0.03,'5_1':0.0},(24,158):{'3_1':0.03,'4_1':0.0},(24,157):{'3_1':0.03},(24,156):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(24,155):{'3_1':0.06,'5_1':0.0},(24,154):{'3_1':0.03},(24,153):{'3_1':0.03},(24,152):{'3_1':0.03},(24,151):{'3_1':0.03},(24,150):{'3_1':0.06,'4_1':0.0},(24,149):{'3_1':0.06},(24,148):{'3_1':0.06},(24,147):{'3_1':0.03},(24,146):{'3_1':0.06},(24,145):{'3_1':0.03},(24,144):{'3_1':0.03},(24,143):{'3_1':0.06},(24,142):{'3_1':0.03},(24,141):{'3_1':0.06,'4_1':0.0},(24,140):{'3_1':0.03,'4_1':0.0},(24,139):{'3_1':0.06},(24,138):{'3_1':0.03,'4_1':0.0},(24,137):{'3_1':0.03},(24,136):{'3_1':0.06},(24,135):{'3_1':0.03},(24,134):{'3_1':0.0},(24,133):{'3_1':0.0},(24,132):{'3_1':0.03,'4_1':0.0},(24,131):{'3_1':0.03},(24,130):{'3_1':0.0},(24,129):{'3_1':0.06},(24,128):{'3_1':0.0},(24,127):{'3_1':0.03},(24,126):{'3_1':0.06},(24,125):{'3_1':0.03},(24,124):{'3_1':0.0},(24,123):{'3_1':0.03},(24,122):{'3_1':0.03},(24,121):{'3_1':0.03},(24,120):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(24,119):{'3_1':0.0,'4_1':0.0},(24,118):{'3_1':0.06},(24,117):{'3_1':0.03},(24,116):{'3_1':0.0,'4_1':0.0},(24,115):{'3_1':0.0},(24,114):{'3_1':0.03},(24,113):{'3_1':0.0},(24,112):{'3_1':0.03},(24,111):{'3_1':0.06},(24,110):{'3_1':0.0},(24,108):{'3_1':0.0},(24,107):{'3_1':0.0},(24,106):{'3_1':0.0},(24,105):{'3_1':0.0},(24,104):{'3_1':0.0,'5_2':0.0},(24,103):{'3_1':0.0},(24,102):{'3_1':0.03},(24,101):{'3_1':0.0},(24,100):{'3_1':0.03,'5_2':0.0},(24,99):{'3_1':0.0},(24,98):{'3_1':0.03},(24,97):{'3_1':0.0},(24,96):{'3_1':0.0},(24,95):{'3_1':0.0},(24,94):{'3_1':0.0},(24,93):{'3_1':0.0},(24,92):{'3_1':0.03},(24,91):{'3_1':0.0},(24,90):{'3_1':0.0},(24,89):{'3_1':0.0},(24,88):{'3_1':0.06},(24,87):{'3_1':0.0},(24,86):{'3_1':0.0},(24,85):{'3_1':0.03},(24,84):{'3_1':0.0},(24,83):{'3_1':0.03},(24,82):{'3_1':0.0},(24,81):{'3_1':0.0},(24,80):{'3_1':0.0},(24,77):{'3_1':0.0},(24,75):{'3_1':0.0},(24,72):{'3_1':0.0},(24,56):{'3_1':0.0},(24,53):{'3_1':0.0},(24,52):{'3_1':0.0},(24,50):{'3_1':0.0},(24,49):{'3_1':0.0},(24,48):{'5_2':0.0},(24,44):{'3_1':0.0},(25,290):{'3_1':0.39,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_1':0.0,'-3':0.0},(25,289):{'3_1':0.39,'5_1':0.06,'6_2':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(25,288):{'3_1':0.48,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(25,287):{'3_1':0.3,'5_1':0.06,'6_2':0.0,'5_2':0.0,'4_1':0.0},(25,286):{'3_1':0.33,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0},(25,285):{'3_1':0.24,'6_2':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_17':0.0},(25,284):{'3_1':0.21,'4_1':0.06,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(25,283):{'3_1':0.21,'4_1':0.06,'5_1':0.06,'6_2':0.03,'6_1':0.0,'8_19':0.0},(25,282):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0},(25,281):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_2':0.0,'-3':0.0},(25,280):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(25,279):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_3':0.0},(25,278):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(25,277):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0,'6_2':0.0},(25,276):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0},(25,275):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(25,274):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(25,273):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(25,272):{'3_1':0.15,'4_1':0.0},(25,271):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(25,270):{'3_1':0.06,'4_1':0.0},(25,269):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(25,268):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(25,267):{'3_1':0.09,'4_1':0.0},(25,266):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(25,265):{'3_1':0.12,'8_21|3_1#4_1':0.0},(25,264):{'3_1':0.12},(25,263):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0,'-3':0.0},(25,262):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(25,261):{'3_1':0.06,'4_1':0.0},(25,260):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(25,259):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0},(25,258):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(25,257):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(25,256):{'3_1':0.09},(25,255):{'3_1':0.06,'4_1':0.03,'7_6':0.0},(25,254):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(25,253):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(25,252):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(25,251):{'4_1':0.06,'3_1':0.03,'6_3':0.0},(25,250):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(25,249):{'3_1':0.06,'6_3':0.0,'8_21|3_1#4_1':0.0},(25,248):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(25,247):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(25,246):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(25,245):{'3_1':0.12,'4_1':0.0},(25,244):{'3_1':0.06,'4_1':0.03},(25,243):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(25,242):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(25,241):{'3_1':0.0,'4_1':0.0},(25,240):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(25,239):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(25,238):{'3_1':0.03,'4_1':0.0},(25,237):{'4_1':0.0,'3_1':0.0,'6_3':0.0},(25,236):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(25,235):{'3_1':0.09,'6_2':0.0},(25,234):{'3_1':0.06,'4_1':0.0},(25,233):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(25,232):{'3_1':0.09,'4_1':0.03},(25,231):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0},(25,230):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(25,229):{'3_1':0.09,'4_1':0.0},(25,228):{'3_1':0.09,'4_1':0.03},(25,227):{'3_1':0.09,'4_1':0.0},(25,226):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(25,225):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(25,224):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0},(25,223):{'3_1':0.09,'6_3':0.0},(25,222):{'3_1':0.09,'4_1':0.0},(25,221):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(25,220):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(25,219):{'3_1':0.09,'4_1':0.0},(25,218):{'3_1':0.06,'4_1':0.0},(25,217):{'3_1':0.03,'4_1':0.0},(25,216):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(25,215):{'4_1':0.0,'3_1':0.0},(25,214):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(25,213):{'3_1':0.03,'4_1':0.0},(25,212):{'3_1':0.03,'4_1':0.0},(25,211):{'3_1':0.03},(25,210):{'3_1':0.03,'4_1':0.0},(25,209):{'3_1':0.03,'4_1':0.0},(25,208):{'3_1':0.03,'4_1':0.0},(25,207):{'3_1':0.03},(25,206):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(25,205):{'3_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(25,204):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(25,203):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(25,202):{'4_1':0.03,'3_1':0.03},(25,201):{'3_1':0.0,'4_1':0.0},(25,200):{'3_1':0.0,'4_1':0.0},(25,199):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(25,198):{'4_1':0.03,'3_1':0.0},(25,197):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(25,196):{'3_1':0.0,'4_1':0.0},(25,195):{'3_1':0.0,'5_2':0.0},(25,194):{'3_1':0.0},(25,193):{'3_1':0.03},(25,192):{'3_1':0.03,'8_21|3_1#4_1':0.0},(25,191):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(25,190):{'3_1':0.06},(25,189):{'3_1':0.03},(25,188):{'3_1':0.03,'4_1':0.0},(25,187):{'3_1':0.03,'4_1':0.0},(25,186):{'3_1':0.0},(25,185):{'3_1':0.0,'4_1':0.0},(25,184):{'3_1':0.03,'4_1':0.0},(25,183):{'3_1':0.03,'6_2':0.0},(25,182):{'3_1':0.03,'5_2':0.0},(25,181):{'3_1':0.06,'6_2':0.0},(25,180):{'3_1':0.03},(25,179):{'3_1':0.03},(25,178):{'3_1':0.03,'6_3':0.0},(25,177):{'3_1':0.06},(25,176):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(25,175):{'3_1':0.03},(25,174):{'3_1':0.0},(25,173):{'3_1':0.03},(25,172):{'3_1':0.03,'4_1':0.0},(25,171):{'3_1':0.03,'4_1':0.0},(25,170):{'3_1':0.0},(25,169):{'3_1':0.03,'4_1':0.0},(25,168):{'3_1':0.03},(25,167):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(25,166):{'3_1':0.12},(25,165):{'3_1':0.0},(25,164):{'3_1':0.06},(25,163):{'3_1':0.0},(25,162):{'3_1':0.06},(25,161):{'3_1':0.03},(25,160):{'3_1':0.0,'4_1':0.0},(25,159):{'3_1':0.0},(25,158):{'3_1':0.06,'4_1':0.0},(25,157):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(25,156):{'3_1':0.06},(25,155):{'3_1':0.09},(25,154):{'3_1':0.03,'5_2':0.0},(25,153):{'3_1':0.03},(25,152):{'3_1':0.03,'5_2':0.0},(25,151):{'3_1':0.09},(25,150):{'3_1':0.03,'5_2':0.0},(25,149):{'3_1':0.03},(25,148):{'3_1':0.03},(25,147):{'3_1':0.06},(25,146):{'3_1':0.06},(25,145):{'3_1':0.03,'4_1':0.0},(25,144):{'3_1':0.03},(25,143):{'3_1':0.03},(25,142):{'3_1':0.03},(25,141):{'3_1':0.03,'4_1':0.0},(25,140):{'3_1':0.03},(25,139):{'3_1':0.03,'4_1':0.0},(25,138):{'3_1':0.06},(25,137):{'4_1':0.0},(25,136):{'3_1':0.0,'4_1':0.0},(25,135):{'3_1':0.0},(25,134):{'3_1':0.03},(25,133):{'3_1':0.06},(25,132):{'3_1':0.0},(25,131):{'3_1':0.03},(25,130):{'3_1':0.06},(25,129):{'3_1':0.03},(25,128):{'3_1':0.03},(25,127):{'3_1':0.06},(25,126):{'3_1':0.03},(25,125):{'3_1':0.03},(25,124):{'3_1':0.03},(25,123):{'3_1':0.03},(25,122):{'3_1':0.0},(25,121):{'3_1':0.03},(25,120):{'3_1':0.03,'4_1':0.0},(25,119):{'3_1':0.0},(25,118):{'3_1':0.03},(25,117):{'3_1':0.0},(25,116):{'3_1':0.0},(25,115):{'3_1':0.0},(25,114):{'3_1':0.03},(25,113):{'3_1':0.0},(25,112):{'3_1':0.03},(25,111):{'3_1':0.03},(25,110):{'3_1':0.03},(25,109):{'3_1':0.03},(25,108):{'3_1':0.0},(25,107):{'3_1':0.03},(25,106):{'3_1':0.0},(25,105):{'3_1':0.0},(25,104):{'3_1':0.0},(25,103):{'3_1':0.0},(25,102):{'3_1':0.03},(25,101):{'3_1':0.0},(25,100):{'3_1':0.0},(25,99):{'3_1':0.03},(25,98):{'3_1':0.0},(25,97):{'3_1':0.0},(25,96):{'3_1':0.0},(25,95):{'3_1':0.03},(25,94):{'3_1':0.0},(25,93):{'3_1':0.0},(25,92):{'3_1':0.0},(25,91):{'3_1':0.0},(25,90):{'3_1':0.03},(25,89):{'3_1':0.03},(25,88):{'3_1':0.03},(25,87):{'3_1':0.06},(25,86):{'3_1':0.0},(25,85):{'3_1':0.0},(25,84):{'3_1':0.0},(25,83):{'3_1':0.0},(25,82):{'3_1':0.0},(25,78):{'3_1':0.0},(25,77):{'3_1':0.0},(25,76):{'3_1':0.0},(25,72):{'3_1':0.0},(25,52):{'3_1':0.0},(25,48):{'3_1':0.0},(25,46):{'3_1':0.0},(25,45):{'3_1':0.0},(25,44):{'3_1':0.0},(26,290):{'3_1':0.48,'5_1':0.06,'5_2':0.03,'6_1':0.0},(26,289):{'3_1':0.45,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0},(26,288):{'3_1':0.3,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0},(26,287):{'3_1':0.27,'5_1':0.12,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(26,286):{'3_1':0.3,'6_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(26,285):{'3_1':0.36,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(26,284):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_13':0.0,'-3':0.0},(26,283):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(26,282):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0},(26,281):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(26,280):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(26,279):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(26,278):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(26,277):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(26,276):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(26,275):{'3_1':0.15,'4_1':0.0,'8_16':0.0},(26,274):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(26,273):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(26,272):{'3_1':0.12,'4_1':0.0,'7_6':0.0},(26,271):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(26,270):{'3_1':0.06,'4_1':0.0},(26,269):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(26,268):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(26,267):{'3_1':0.03,'4_1':0.0},(26,266):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(26,265):{'3_1':0.06,'4_1':0.0},(26,264):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(26,263):{'3_1':0.12,'5_2':0.0},(26,262):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(26,261):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(26,260):{'3_1':0.12,'-3':0.0},(26,259):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(26,258):{'3_1':0.18,'6_2':0.0},(26,257):{'3_1':0.18,'5_1':0.0,'6_3':0.0,'8_15':0.0},(26,256):{'3_1':0.12,'4_1':0.03,'8_21|3_1#4_1':0.0},(26,255):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(26,254):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(26,253):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_7':0.0},(26,252):{'3_1':0.03,'4_1':0.0},(26,251):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(26,250):{'3_1':0.09,'4_1':0.0,'8_9':0.0},(26,249):{'3_1':0.09,'4_1':0.0},(26,248):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(26,247):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(26,246):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(26,245):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(26,244):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(26,243):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(26,242):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(26,241):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(26,240):{'3_1':0.03,'4_1':0.0,'8_9':0.0},(26,239):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(26,238):{'3_1':0.03,'4_1':0.0},(26,237):{'3_1':0.0,'4_1':0.0},(26,236):{'3_1':0.03,'4_1':0.0},(26,235):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(26,234):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(26,233):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_5':0.0},(26,232):{'4_1':0.03,'3_1':0.03,'6_1':0.0},(26,231):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(26,230):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(26,229):{'3_1':0.15,'4_1':0.0},(26,228):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(26,227):{'3_1':0.06,'6_3':0.0},(26,226):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(26,225):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'6_1':0.0},(26,224):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(26,223):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(26,222):{'3_1':0.06,'4_1':0.0},(26,221):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(26,220):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(26,219):{'3_1':0.06,'4_1':0.0},(26,218):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(26,217):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(26,216):{'3_1':0.03},(26,215):{'3_1':0.03,'4_1':0.03},(26,214):{'4_1':0.03,'3_1':0.03},(26,213):{'3_1':0.03,'5_2':0.0},(26,212):{'3_1':0.0,'4_1':0.0},(26,211):{'3_1':0.03},(26,210):{'3_1':0.03,'4_1':0.0},(26,209):{'3_1':0.03,'8_20|3_1#3_1':0.0},(26,208):{'3_1':0.0,'4_1':0.0},(26,207):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(26,206):{'3_1':0.06},(26,205):{'3_1':0.0},(26,204):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(26,203):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(26,202):{'3_1':0.03,'4_1':0.0},(26,201):{'3_1':0.03,'4_1':0.0},(26,200):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(26,199):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(26,198):{'3_1':0.03,'4_1':0.0},(26,197):{'3_1':0.03,'4_1':0.0},(26,196):{'3_1':0.0,'4_1':0.0},(26,195):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(26,194):{'3_1':0.03,'4_1':0.0},(26,193):{'3_1':0.0,'6_2':0.0},(26,192):{'3_1':0.03,'4_1':0.0},(26,191):{'3_1':0.0,'5_2':0.0},(26,190):{'3_1':0.03},(26,189):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(26,188):{'3_1':0.06},(26,187):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(26,186):{'3_1':0.06,'4_1':0.0},(26,185):{'3_1':0.03,'8_21|3_1#4_1':0.0},(26,184):{'3_1':0.03},(26,183):{'3_1':0.0,'4_1':0.0},(26,182):{'3_1':0.03,'6_2':0.0},(26,181):{'3_1':0.0,'4_1':0.0},(26,180):{'3_1':0.09,'4_1':0.0},(26,179):{'3_1':0.0},(26,178):{'3_1':0.0},(26,177):{'3_1':0.06,'6_2':0.0},(26,176):{'4_1':0.03,'3_1':0.0},(26,175):{'3_1':0.06,'6_2':0.0},(26,174):{'3_1':0.0,'4_1':0.0},(26,173):{'3_1':0.0},(26,172):{'3_1':0.03,'4_1':0.0},(26,171):{'3_1':0.03,'4_1':0.0},(26,170):{'3_1':0.0,'4_1':0.0},(26,169):{'3_1':0.03,'4_1':0.0},(26,168):{'3_1':0.03,'4_1':0.0},(26,167):{'3_1':0.03,'4_1':0.0},(26,166):{'3_1':0.06,'4_1':0.0},(26,165):{'3_1':0.03},(26,164):{'3_1':0.06},(26,163):{'3_1':0.03},(26,162):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(26,161):{'3_1':0.06},(26,160):{'3_1':0.06},(26,159):{'3_1':0.09,'5_2':0.0},(26,158):{'3_1':0.03,'4_1':0.0},(26,157):{'3_1':0.03},(26,156):{'3_1':0.03},(26,155):{'3_1':0.03},(26,154):{'3_1':0.03},(26,153):{'3_1':0.03},(26,152):{'3_1':0.03,'4_1':0.0},(26,151):{'3_1':0.09,'6_2':0.0},(26,150):{'3_1':0.06},(26,149):{'3_1':0.03},(26,148):{'3_1':0.06},(26,147):{'3_1':0.0},(26,146):{'3_1':0.0},(26,145):{'3_1':0.03},(26,144):{'3_1':0.06},(26,143):{'3_1':0.0,'4_1':0.0},(26,142):{'3_1':0.06},(26,141):{'3_1':0.0},(26,140):{'3_1':0.09,'4_1':0.0},(26,139):{'3_1':0.09},(26,138):{'3_1':0.0},(26,137):{'3_1':0.0},(26,136):{'3_1':0.06},(26,135):{'3_1':0.06},(26,134):{'3_1':0.03},(26,133):{'3_1':0.0},(26,132):{'3_1':0.03},(26,131):{'3_1':0.06},(26,130):{'3_1':0.06},(26,129):{'3_1':0.09,'5_2':0.0},(26,128):{'3_1':0.03},(26,127):{'3_1':0.03},(26,126):{'3_1':0.06},(26,125):{'3_1':0.03},(26,124):{'3_1':0.0},(26,123):{'3_1':0.03},(26,122):{'3_1':0.03},(26,121):{'3_1':0.0},(26,120):{'3_1':0.03},(26,119):{'3_1':0.0},(26,118):{'3_1':0.06},(26,117):{'3_1':0.0},(26,116):{'3_1':0.09},(26,115):{'3_1':0.06},(26,114):{'3_1':0.0},(26,112):{'3_1':0.0},(26,111):{'3_1':0.03},(26,110):{'3_1':0.0},(26,109):{'3_1':0.0},(26,108):{'3_1':0.0},(26,107):{'3_1':0.09},(26,106):{'3_1':0.0},(26,105):{'3_1':0.0},(26,104):{'3_1':0.03},(26,103):{'3_1':0.03},(26,102):{'3_1':0.0},(26,101):{'3_1':0.0},(26,100):{'3_1':0.03},(26,99):{'3_1':0.0},(26,98):{'3_1':0.0},(26,97):{'3_1':0.0},(26,96):{'3_1':0.03},(26,95):{'3_1':0.0},(26,94):{'3_1':0.03},(26,93):{'3_1':0.03},(26,92):{'3_1':0.0},(26,91):{'3_1':0.03},(26,90):{'3_1':0.03},(26,89):{'3_1':0.0},(26,88):{'3_1':0.03},(26,87):{'3_1':0.03},(26,86):{'3_1':0.0},(26,85):{'3_1':0.03},(26,84):{'3_1':0.0},(26,83):{'3_1':0.0},(26,82):{'3_1':0.0},(26,81):{'3_1':0.0},(26,80):{'3_1':0.0},(26,77):{'3_1':0.0},(26,76):{'3_1':0.0},(26,75):{'3_1':0.0},(26,73):{'3_1':0.0},(26,55):{'3_1':0.0},(26,52):{'3_1':0.0},(26,51):{'3_1':0.0},(26,49):{'3_1':0.0},(26,47):{'3_1':0.0},(26,45):{'3_1':0.0},(26,40):{'3_1':0.0},(27,290):{'3_1':0.48,'5_1':0.09,'6_2':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0},(27,289):{'3_1':0.45,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(27,288):{'3_1':0.51,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(27,287):{'3_1':0.42,'5_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_9':0.0},(27,286):{'3_1':0.33,'4_1':0.03,'6_2':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0},(27,285):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(27,284):{'3_1':0.21,'4_1':0.03,'6_2':0.03,'-3':0.0},(27,283):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.03,'6_1':0.0,'7_6':0.0,'8_6':0.0},(27,282):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(27,281):{'3_1':0.18,'6_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'5_2':0.0},(27,280):{'3_1':0.06,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0,'8_6':0.0},(27,279):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_13':0.0,'-3':0.0},(27,278):{'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'8_17':0.0},(27,277):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(27,276):{'3_1':0.12,'5_2':0.03,'6_1':0.0,'7_7':0.0},(27,275):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'5_2':0.0},(27,274):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(27,273):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(27,272):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(27,271):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(27,270):{'3_1':0.09,'5_2':0.0},(27,269):{'3_1':0.09,'6_2':0.0,'7_2':0.0},(27,268):{'3_1':0.09,'4_1':0.0},(27,267):{'3_1':0.09,'4_1':0.0},(27,266):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(27,265):{'3_1':0.12},(27,264):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(27,263):{'3_1':0.06,'4_1':0.0},(27,262):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(27,261):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(27,260):{'3_1':0.12,'4_1':0.0},(27,259):{'3_1':0.12},(27,258):{'3_1':0.15,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(27,257):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(27,256):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(27,255):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(27,254):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(27,253):{'3_1':0.09,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(27,252):{'3_1':0.09,'6_2':0.0},(27,251):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(27,250):{'3_1':0.09,'4_1':0.0,'8_9':0.0},(27,249):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(27,248):{'3_1':0.09,'4_1':0.0,'8_9':0.0},(27,247):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_3':0.0},(27,246):{'3_1':0.03,'4_1':0.0},(27,245):{'3_1':0.15},(27,244):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(27,243):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_9':0.0},(27,242):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(27,241):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(27,240):{'3_1':0.03,'4_1':0.0},(27,239):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(27,238):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(27,237):{'3_1':0.03},(27,236):{'4_1':0.03,'3_1':0.0,'7_1':0.0},(27,235):{'3_1':0.06,'4_1':0.0},(27,234):{'3_1':0.0,'4_1':0.0},(27,233):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(27,232):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(27,231):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(27,230):{'3_1':0.03,'4_1':0.03},(27,229):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(27,228):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(27,227):{'3_1':0.15,'4_1':0.03},(27,226):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(27,225):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(27,224):{'3_1':0.06,'4_1':0.0},(27,223):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(27,222):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(27,221):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(27,220):{'3_1':0.06,'4_1':0.03},(27,219):{'4_1':0.03,'3_1':0.0,'6_3':0.0},(27,218):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(27,217):{'3_1':0.09,'4_1':0.0},(27,216):{'3_1':0.0,'4_1':0.0},(27,215):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(27,214):{'3_1':0.03,'4_1':0.0},(27,213):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(27,212):{'3_1':0.03},(27,211):{'3_1':0.03,'4_1':0.0},(27,210):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(27,209):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(27,208):{'3_1':0.03,'6_3':0.0,'4_1':0.0},(27,207):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(27,206):{'3_1':0.03,'4_1':0.0},(27,205):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(27,204):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(27,203):{'3_1':0.06,'4_1':0.0},(27,202):{'3_1':0.06,'4_1':0.03,'8_21|3_1#4_1':0.0},(27,201):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(27,200):{'3_1':0.03,'4_1':0.0},(27,199):{'3_1':0.0,'5_2':0.0},(27,198):{'3_1':0.03,'4_1':0.0},(27,197):{'3_1':0.06,'4_1':0.0},(27,196):{'3_1':0.0},(27,195):{'3_1':0.03,'4_1':0.0},(27,194):{'3_1':0.0},(27,193):{'3_1':0.03},(27,192):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(27,191):{'3_1':0.03},(27,190):{'4_1':0.0,'3_1':0.0},(27,189):{'3_1':0.06,'4_1':0.0},(27,188):{'3_1':0.0,'4_1':0.0},(27,187):{'3_1':0.03},(27,186):{'3_1':0.03},(27,185):{'3_1':0.0,'4_1':0.0},(27,184):{'4_1':0.0,'3_1':0.0},(27,183):{'3_1':0.0,'4_1':0.0},(27,182):{'3_1':0.03,'4_1':0.0},(27,181):{'3_1':0.03,'4_1':0.0},(27,180):{'3_1':0.09,'4_1':0.0},(27,179):{'3_1':0.0,'4_1':0.0},(27,178):{'3_1':0.03},(27,177):{'3_1':0.03,'6_2':0.0},(27,176):{'3_1':0.03,'4_1':0.0},(27,175):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(27,174):{'3_1':0.03},(27,173):{'3_1':0.06,'4_1':0.0},(27,172):{'3_1':0.0,'4_1':0.0},(27,171):{'3_1':0.06},(27,170):{'3_1':0.03},(27,169):{'3_1':0.03},(27,168):{'3_1':0.03},(27,167):{'3_1':0.03,'4_1':0.0},(27,166):{'3_1':0.06},(27,165):{'3_1':0.03,'5_2':0.0},(27,164):{'3_1':0.06},(27,163):{'3_1':0.03},(27,162):{'3_1':0.06,'4_1':0.0},(27,161):{'3_1':0.06},(27,160):{'3_1':0.06},(27,159):{'3_1':0.0,'4_1':0.0},(27,158):{'3_1':0.09,'4_1':0.0},(27,157):{'3_1':0.0},(27,156):{'3_1':0.03,'4_1':0.0},(27,155):{'3_1':0.06},(27,154):{'3_1':0.03},(27,153):{'3_1':0.06,'6_2':0.0},(27,152):{'3_1':0.03,'4_1':0.0},(27,151):{'3_1':0.03,'4_1':0.0},(27,150):{'3_1':0.06,'4_1':0.0},(27,149):{'3_1':0.06,'4_1':0.0},(27,148):{'3_1':0.03},(27,147):{'3_1':0.03,'4_1':0.0},(27,146):{'3_1':0.0},(27,145):{'3_1':0.06,'4_1':0.0},(27,144):{'3_1':0.0,'5_2':0.0},(27,143):{'3_1':0.0},(27,142):{'3_1':0.0},(27,141):{'3_1':0.03},(27,140):{'3_1':0.0},(27,139):{'3_1':0.0},(27,138):{'3_1':0.03},(27,137):{'3_1':0.03,'4_1':0.0},(27,136):{'3_1':0.0},(27,135):{'3_1':0.03},(27,134):{'3_1':0.0},(27,133):{'3_1':0.06},(27,132):{'3_1':0.09},(27,131):{'3_1':0.06},(27,130):{'3_1':0.09},(27,129):{'3_1':0.06},(27,128):{'3_1':0.03},(27,127):{'3_1':0.03},(27,126):{'3_1':0.0},(27,125):{'3_1':0.03},(27,124):{'3_1':0.0},(27,123):{'3_1':0.03},(27,122):{'3_1':0.0},(27,121):{'3_1':0.0},(27,120):{'3_1':0.03},(27,119):{'3_1':0.0},(27,118):{'3_1':0.0},(27,117):{'3_1':0.06},(27,116):{'3_1':0.0},(27,115):{'3_1':0.0},(27,114):{'3_1':0.0},(27,113):{'3_1':0.0},(27,112):{'3_1':0.03},(27,111):{'3_1':0.0},(27,110):{'3_1':0.06},(27,109):{'3_1':0.0},(27,108):{'3_1':0.03},(27,107):{'3_1':0.0},(27,106):{'3_1':0.0},(27,105):{'3_1':0.0},(27,104):{'3_1':0.03},(27,103):{'3_1':0.0},(27,102):{'3_1':0.0},(27,101):{'3_1':0.0},(27,100):{'3_1':0.0},(27,99):{'3_1':0.0},(27,97):{'3_1':0.0},(27,96):{'3_1':0.0},(27,95):{'3_1':0.0},(27,94):{'3_1':0.03},(27,93):{'3_1':0.0},(27,92):{'3_1':0.0},(27,91):{'3_1':0.03},(27,90):{'3_1':0.0},(27,89):{'3_1':0.03},(27,88):{'3_1':0.0},(27,87):{'3_1':0.0},(27,84):{'3_1':0.0},(27,83):{'3_1':0.0},(27,82):{'3_1':0.0},(27,80):{'3_1':0.0},(27,72):{'3_1':0.0},(27,67):{'3_1':0.0},(27,66):{'3_1':0.0},(27,59):{'3_1':0.0},(27,58):{'3_1':0.0},(27,56):{'3_1':0.0},(27,52):{'3_1':0.0},(27,51):{'3_1':0.0},(27,50):{'5_2':0.0},(27,46):{'3_1':0.0},(27,38):{'3_1':0.0},(28,290):{'3_1':0.45,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(28,289):{'3_1':0.51,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(28,288):{'3_1':0.39,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(28,287):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0},(28,286):{'3_1':0.3,'5_2':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(28,285):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'6_3':0.0,'8_19':0.0},(28,284):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'6_2':0.0},(28,283):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0},(28,282):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'6_1':0.0,'8_9':0.0,'-3':0.0},(28,281):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(28,280):{'3_1':0.18,'4_1':0.03,'6_1':0.0,'6_3':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(28,279):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(28,278):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(28,277):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0,'8_4':0.0},(28,276):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(28,275):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_6':0.0},(28,274):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(28,273):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(28,272):{'3_1':0.12,'4_1':0.0},(28,271):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(28,270):{'3_1':0.03,'6_2':0.0,'6_3':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(28,269):{'3_1':0.12},(28,268):{'3_1':0.09,'4_1':0.0},(28,267):{'3_1':0.12,'5_1':0.0},(28,266):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(28,265):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(28,264):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(28,263):{'3_1':0.06,'4_1':0.03},(28,262):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(28,261):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(28,260):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(28,259):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(28,258):{'3_1':0.18},(28,257):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(28,256):{'3_1':0.09,'4_1':0.0},(28,255):{'3_1':0.09,'6_2':0.0,'8_21|3_1#4_1':0.0},(28,254):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(28,253):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(28,252):{'3_1':0.03,'6_2':0.0,'4_1':0.0},(28,251):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(28,250):{'3_1':0.06,'6_2':0.0,'4_1':0.0},(28,249):{'3_1':0.06,'4_1':0.0},(28,248):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(28,247):{'3_1':0.06,'4_1':0.0},(28,246):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'6_2':0.0},(28,245):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(28,244):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(28,243):{'3_1':0.06,'4_1':0.0},(28,242):{'3_1':0.09,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(28,241):{'3_1':0.06,'4_1':0.03,'8_7':0.0},(28,240):{'3_1':0.03,'4_1':0.0},(28,239):{'3_1':0.0,'4_1':0.0},(28,238):{'3_1':0.03,'5_2':0.0},(28,237):{'3_1':0.06,'4_1':0.0},(28,236):{'3_1':0.06,'4_1':0.0},(28,235):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0},(28,234):{'3_1':0.03,'4_1':0.0},(28,233):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(28,232):{'3_1':0.03,'4_1':0.03},(28,231):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(28,230):{'3_1':0.06,'4_1':0.03},(28,229):{'3_1':0.03,'4_1':0.0},(28,228):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(28,227):{'3_1':0.15,'4_1':0.03},(28,226):{'3_1':0.09,'4_1':0.0},(28,225):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(28,224):{'3_1':0.09,'4_1':0.0},(28,223):{'3_1':0.12,'4_1':0.0},(28,222):{'3_1':0.09,'4_1':0.0},(28,221):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(28,220):{'3_1':0.09,'4_1':0.0},(28,219):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(28,218):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(28,217):{'3_1':0.09,'4_1':0.03},(28,216):{'3_1':0.06,'4_1':0.03},(28,215):{'3_1':0.0,'4_1':0.0},(28,214):{'3_1':0.06,'4_1':0.0},(28,213):{'3_1':0.06,'4_1':0.03},(28,212):{'3_1':0.03,'4_1':0.0},(28,211):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(28,210):{'3_1':0.03,'4_1':0.0},(28,209):{'3_1':0.06},(28,208):{'3_1':0.03,'4_1':0.0},(28,207):{'4_1':0.03,'3_1':0.0},(28,206):{'3_1':0.0},(28,205):{'3_1':0.0},(28,204):{'3_1':0.03,'4_1':0.0},(28,203):{'3_1':0.0,'4_1':0.0},(28,202):{'3_1':0.03,'4_1':0.0},(28,201):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(28,200):{'3_1':0.03,'4_1':0.0},(28,199):{'4_1':0.0,'3_1':0.0},(28,198):{'3_1':0.0},(28,197):{'3_1':0.03},(28,196):{'3_1':0.0},(28,195):{'3_1':0.03,'4_1':0.0},(28,194):{'3_1':0.03},(28,193):{'5_2':0.0},(28,192):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(28,191):{'3_1':0.03,'4_1':0.0},(28,190):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(28,189):{'4_1':0.0,'3_1':0.0},(28,188):{'3_1':0.03,'4_1':0.0},(28,187):{'3_1':0.03,'8_20|3_1#3_1':0.0},(28,186):{'3_1':0.03,'4_1':0.0,'-3':0.0},(28,185):{'3_1':0.03,'4_1':0.0},(28,184):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(28,183):{'3_1':0.0,'4_1':0.0},(28,182):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(28,181):{'3_1':0.03},(28,180):{'3_1':0.03,'4_1':0.0},(28,179):{'3_1':0.0},(28,178):{'3_1':0.06,'4_1':0.03},(28,177):{'3_1':0.03},(28,176):{'3_1':0.0,'4_1':0.0},(28,175):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(28,174):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(28,173):{'3_1':0.09,'5_2':0.0},(28,172):{'3_1':0.0},(28,171):{'3_1':0.03},(28,170):{'3_1':0.0,'4_1':0.0},(28,169):{'3_1':0.03},(28,168):{'3_1':0.03},(28,167):{'3_1':0.06},(28,166):{'3_1':0.06},(28,165):{'3_1':0.09,'4_1':0.0},(28,164):{'3_1':0.03},(28,163):{'3_1':0.06,'4_1':0.0},(28,162):{'3_1':0.03},(28,161):{'3_1':0.03,'4_1':0.0},(28,160):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(28,159):{'3_1':0.06},(28,158):{'3_1':0.06,'5_2':0.0},(28,157):{'3_1':0.06,'4_1':0.0},(28,156):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(28,155):{'3_1':0.0},(28,154):{'3_1':0.06},(28,153):{'3_1':0.03,'4_1':0.0},(28,152):{'3_1':0.03,'4_1':0.0},(28,151):{'3_1':0.09,'4_1':0.0},(28,150):{'3_1':0.06},(28,149):{'3_1':0.03},(28,148):{'3_1':0.0,'4_1':0.0},(28,147):{'3_1':0.03,'4_1':0.0},(28,146):{'3_1':0.03,'4_1':0.0},(28,145):{'3_1':0.03,'4_1':0.0},(28,144):{'3_1':0.0,'4_1':0.0},(28,143):{'3_1':0.09},(28,142):{'3_1':0.0},(28,141):{'3_1':0.0,'4_1':0.0},(28,140):{'3_1':0.03},(28,139):{'3_1':0.03},(28,138):{'3_1':0.0,'4_1':0.0},(28,137):{'3_1':0.03,'4_1':0.0},(28,136):{'3_1':0.03,'4_1':0.0},(28,135):{'3_1':0.03},(28,134):{'3_1':0.03,'4_1':0.0},(28,133):{'3_1':0.0},(28,132):{'3_1':0.0},(28,131):{'3_1':0.0},(28,130):{'3_1':0.06},(28,129):{'3_1':0.0},(28,128):{'3_1':0.06},(28,127):{'3_1':0.03},(28,126):{'3_1':0.0},(28,125):{'3_1':0.0},(28,124):{'3_1':0.03},(28,123):{'3_1':0.03},(28,122):{'3_1':0.03},(28,121):{'3_1':0.03},(28,120):{'3_1':0.03},(28,119):{'3_1':0.03},(28,118):{'3_1':0.03},(28,116):{'3_1':0.0},(28,115):{'3_1':0.03},(28,114):{'3_1':0.0},(28,113):{'3_1':0.0},(28,112):{'3_1':0.0},(28,111):{'3_1':0.0},(28,110):{'3_1':0.0},(28,109):{'3_1':0.03},(28,108):{'3_1':0.0},(28,107):{'3_1':0.03},(28,106):{'3_1':0.03},(28,105):{'3_1':0.03},(28,104):{'3_1':0.0},(28,103):{'3_1':0.0},(28,102):{'3_1':0.03},(28,101):{'3_1':0.0},(28,100):{'3_1':0.0},(28,99):{'3_1':0.0},(28,98):{'3_1':0.0},(28,97):{'3_1':0.0},(28,96):{'3_1':0.0},(28,95):{'3_1':0.0},(28,94):{'3_1':0.0},(28,93):{'3_1':0.0},(28,92):{'3_1':0.03},(28,91):{'3_1':0.0},(28,90):{'3_1':0.0},(28,89):{'3_1':0.03},(28,88):{'3_1':0.0},(28,87):{'3_1':0.0},(28,86):{'3_1':0.06,'4_1':0.0},(28,85):{'3_1':0.0},(28,84):{'3_1':0.0},(28,83):{'3_1':0.0},(28,81):{'3_1':0.0},(28,59):{'3_1':0.0},(28,58):{'3_1':0.0},(28,55):{'3_1':0.0},(28,53):{'3_1':0.0},(28,52):{'3_1':0.0},(28,42):{'3_1':0.0},(28,41):{'3_1':0.0},(28,40):{'3_1':0.0},(28,38):{'3_1':0.0},(29,290):{'3_1':0.45,'5_1':0.06,'4_1':0.0,'5_2':0.0},(29,289):{'3_1':0.42,'5_1':0.06,'5_2':0.0,'4_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(29,288):{'3_1':0.36,'5_1':0.03,'4_1':0.03,'6_2':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(29,287):{'3_1':0.39,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(29,286):{'3_1':0.3,'6_2':0.03,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_19':0.0},(29,285):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(29,284):{'3_1':0.33,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(29,283):{'3_1':0.15,'4_1':0.09,'6_2':0.0,'5_1':0.0,'7_1':0.0,'8_4':0.0},(29,282):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'6_2':0.0},(29,281):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'6_1':0.0},(29,280):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'6_1':0.0,'-3':0.0,'6_2':0.0},(29,279):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_1':0.0},(29,278):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0},(29,277):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(29,276):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0},(29,275):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_6':0.0},(29,274):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0},(29,273):{'3_1':0.12,'4_1':0.0},(29,272):{'3_1':0.12,'6_2':0.0,'6_3':0.0},(29,271):{'3_1':0.03,'4_1':0.0},(29,270):{'3_1':0.12,'5_2':0.0,'-3':0.0},(29,269):{'3_1':0.12,'4_1':0.0},(29,268):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_14':0.0},(29,267):{'3_1':0.21},(29,266):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(29,265):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(29,264):{'3_1':0.06},(29,263):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(29,262):{'3_1':0.12},(29,261):{'3_1':0.15,'5_2':0.0,'-3':0.0},(29,260):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(29,259):{'3_1':0.12,'8_20|3_1#3_1':0.0},(29,258):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(29,257):{'3_1':0.03,'5_2':0.0,'-3':0.0},(29,256):{'3_1':0.09,'5_1':0.0},(29,255):{'3_1':0.12,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(29,254):{'3_1':0.06,'4_1':0.0},(29,253):{'3_1':0.06,'5_2':0.0},(29,252):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(29,251):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(29,250):{'3_1':0.06},(29,249):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(29,248):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(29,247):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(29,246):{'3_1':0.06,'4_1':0.0},(29,245):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(29,244):{'3_1':0.06},(29,243):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(29,242):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(29,241):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(29,240):{'3_1':0.03,'4_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(29,239):{'3_1':0.03,'4_1':0.0},(29,238):{'3_1':0.06,'4_1':0.0},(29,237):{'3_1':0.06,'4_1':0.0},(29,236):{'3_1':0.03,'4_1':0.0},(29,235):{'3_1':0.03,'4_1':0.0},(29,234):{'3_1':0.09,'4_1':0.0},(29,233):{'3_1':0.06,'4_1':0.03},(29,232):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(29,231):{'3_1':0.06,'4_1':0.03,'6_3':0.0,'6_1':0.0},(29,230):{'3_1':0.06,'4_1':0.06,'6_1':0.0},(29,229):{'3_1':0.06,'4_1':0.0},(29,228):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(29,227):{'3_1':0.06,'6_1':0.0,'8_20|3_1#3_1':0.0},(29,226):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(29,225):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(29,224):{'3_1':0.03,'4_1':0.0},(29,223):{'3_1':0.03,'4_1':0.0},(29,222):{'3_1':0.03,'4_1':0.0},(29,221):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(29,220):{'3_1':0.06,'4_1':0.03},(29,219):{'3_1':0.03,'4_1':0.0},(29,218):{'3_1':0.06,'4_1':0.0},(29,217):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(29,216):{'3_1':0.03,'4_1':0.0},(29,215):{'3_1':0.06,'4_1':0.0},(29,214):{'3_1':0.03,'4_1':0.0},(29,213):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(29,212):{'3_1':0.06,'4_1':0.0},(29,211):{'3_1':0.0,'6_3':0.0},(29,210):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(29,209):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(29,208):{'3_1':0.03,'4_1':0.0},(29,207):{'4_1':0.03,'3_1':0.0},(29,206):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(29,205):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(29,204):{'3_1':0.0,'4_1':0.0},(29,203):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(29,202):{'3_1':0.03,'4_1':0.0},(29,201):{'3_1':0.0,'4_1':0.0},(29,200):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(29,199):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(29,198):{'3_1':0.0,'4_1':0.0},(29,197):{'3_1':0.06},(29,196):{'3_1':0.03,'4_1':0.0},(29,195):{'5_2':0.0},(29,194):{'3_1':0.03},(29,193):{'3_1':0.03,'6_2':0.0},(29,192):{'3_1':0.03},(29,191):{'3_1':0.06,'6_2':0.0},(29,190):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(29,189):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(29,188):{'3_1':0.03},(29,187):{'3_1':0.03,'4_1':0.03},(29,186):{'3_1':0.06,'4_1':0.0},(29,185):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(29,184):{'3_1':0.03,'4_1':0.0},(29,183):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(29,182):{'3_1':0.03},(29,181):{'3_1':0.06,'4_1':0.03},(29,180):{'3_1':0.0,'5_2':0.0},(29,179):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(29,178):{'3_1':0.03,'4_1':0.0},(29,177):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(29,176):{'3_1':0.09,'4_1':0.0},(29,175):{'3_1':0.06,'6_2':0.0,'8_21|3_1#4_1':0.0},(29,174):{'3_1':0.09,'4_1':0.0},(29,173):{'3_1':0.03},(29,172):{'3_1':0.06},(29,171):{'3_1':0.0},(29,170):{'3_1':0.03},(29,169):{'3_1':0.06},(29,168):{'3_1':0.06,'4_1':0.0},(29,167):{'3_1':0.06,'4_1':0.0},(29,166):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(29,165):{'3_1':0.12},(29,164):{'3_1':0.03,'4_1':0.03},(29,163):{'3_1':0.03,'4_1':0.0},(29,162):{'3_1':0.06},(29,161):{'3_1':0.03,'5_2':0.0},(29,160):{'3_1':0.06},(29,159):{'3_1':0.06,'4_1':0.0},(29,158):{'3_1':0.03,'4_1':0.0},(29,157):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(29,156):{'3_1':0.06},(29,155):{'3_1':0.03,'4_1':0.0},(29,154):{'3_1':0.03,'4_1':0.0},(29,153):{'3_1':0.03,'4_1':0.0},(29,152):{'3_1':0.0,'4_1':0.0},(29,151):{'3_1':0.03,'4_1':0.0},(29,150):{'3_1':0.0,'4_1':0.0},(29,149):{'3_1':0.06,'4_1':0.0},(29,148):{'3_1':0.03,'4_1':0.0},(29,147):{'3_1':0.03,'4_1':0.0},(29,146):{'3_1':0.03},(29,145):{'3_1':0.03,'4_1':0.0},(29,143):{'3_1':0.03,'4_1':0.0},(29,142):{'3_1':0.03},(29,141):{'3_1':0.03,'4_1':0.0},(29,140):{'3_1':0.03},(29,139):{'3_1':0.03},(29,138):{'4_1':0.0,'3_1':0.0},(29,137):{'3_1':0.03,'4_1':0.0},(29,136):{'3_1':0.0},(29,135):{'3_1':0.0,'4_1':0.0},(29,134):{'3_1':0.03},(29,133):{'3_1':0.03},(29,132):{'3_1':0.06},(29,131):{'3_1':0.03},(29,130):{'3_1':0.06},(29,129):{'3_1':0.0},(29,128):{'3_1':0.03},(29,127):{'3_1':0.0,'4_1':0.0},(29,126):{'3_1':0.03},(29,125):{'3_1':0.03},(29,124):{'3_1':0.0},(29,123):{'3_1':0.03},(29,122):{'3_1':0.0},(29,121):{'3_1':0.0},(29,120):{'3_1':0.0},(29,119):{'3_1':0.0},(29,118):{'3_1':0.03},(29,117):{'3_1':0.0},(29,115):{'3_1':0.0},(29,114):{'3_1':0.0},(29,112):{'3_1':0.0},(29,111):{'3_1':0.03},(29,110):{'3_1':0.0},(29,109):{'3_1':0.03},(29,108):{'3_1':0.0},(29,107):{'3_1':0.0},(29,106):{'3_1':0.0},(29,105):{'3_1':0.0},(29,104):{'3_1':0.03},(29,103):{'3_1':0.06},(29,102):{'3_1':0.0},(29,101):{'3_1':0.0},(29,100):{'3_1':0.0},(29,99):{'3_1':0.0},(29,98):{'3_1':0.0},(29,96):{'3_1':0.0},(29,95):{'3_1':0.0},(29,93):{'3_1':0.0},(29,92):{'3_1':0.0},(29,91):{'3_1':0.0},(29,90):{'3_1':0.0},(29,88):{'3_1':0.0},(29,87):{'3_1':0.0},(29,86):{'3_1':0.03},(29,85):{'3_1':0.0},(29,84):{'3_1':0.0},(29,68):{'3_1':0.0},(29,58):{'3_1':0.0},(29,57):{'3_1':0.0},(29,54):{'3_1':0.0},(29,52):{'3_1':0.0},(29,48):{'3_1':0.0},(29,46):{'3_1':0.0},(29,45):{'3_1':0.0},(29,40):{'3_1':0.0},(29,37):{'3_1':0.0},(30,290):{'3_1':0.51,'5_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(30,289):{'3_1':0.42,'5_1':0.15,'6_2':0.03,'4_1':0.03,'5_2':0.0,'7_4':0.0},(30,288):{'3_1':0.45,'5_1':0.03,'4_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(30,287):{'3_1':0.45,'4_1':0.06,'6_2':0.03,'5_1':0.03,'5_2':0.0},(30,286):{'3_1':0.36,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0},(30,285):{'3_1':0.24,'5_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0,'8_6':0.0},(30,284):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'6_2':0.03,'7_2':0.0,'-3':0.0},(30,283):{'3_1':0.3,'4_1':0.03,'6_2':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(30,282):{'3_1':0.21,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(30,281):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(30,280):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(30,279):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(30,278):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(30,277):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(30,276):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(30,275):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'5_2':0.0},(30,274):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'7_6':0.0},(30,273):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_16':0.0,'-3':0.0},(30,272):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(30,271):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(30,270):{'3_1':0.06,'4_1':0.03},(30,269):{'3_1':0.06,'4_1':0.0},(30,268):{'3_1':0.12,'4_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(30,267):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(30,266):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(30,265):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(30,264):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(30,263):{'3_1':0.12,'6_1':0.0,'6_3':0.0},(30,262):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(30,261):{'3_1':0.09,'6_3':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(30,260):{'3_1':0.15,'4_1':0.0},(30,259):{'3_1':0.09,'5_1':0.0},(30,258):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(30,257):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(30,256):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(30,255):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(30,254):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_7':0.0},(30,253):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_6':0.0},(30,252):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_6':0.0},(30,251):{'3_1':0.03,'4_1':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0},(30,250):{'3_1':0.09,'6_2':0.0,'4_1':0.0},(30,249):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(30,248):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(30,247):{'3_1':0.09,'4_1':0.03,'7_6':0.0},(30,246):{'3_1':0.09,'4_1':0.03},(30,245):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(30,244):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(30,243):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(30,242):{'3_1':0.12,'4_1':0.03},(30,241):{'3_1':0.03,'4_1':0.0},(30,240):{'3_1':0.06,'4_1':0.0},(30,239):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(30,238):{'4_1':0.06,'3_1':0.0},(30,237):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(30,236):{'4_1':0.03,'3_1':0.03},(30,235):{'3_1':0.09,'4_1':0.03},(30,234):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(30,233):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(30,232):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(30,231):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(30,230):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(30,229):{'3_1':0.06,'4_1':0.0},(30,228):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(30,227):{'3_1':0.06,'4_1':0.03},(30,226):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(30,225):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(30,224):{'3_1':0.09,'4_1':0.03},(30,223):{'3_1':0.06,'4_1':0.03},(30,222):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(30,221):{'3_1':0.12,'4_1':0.0},(30,220):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(30,219):{'3_1':0.06,'4_1':0.06,'6_2':0.0},(30,218):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(30,217):{'3_1':0.03,'4_1':0.03},(30,216):{'3_1':0.03,'4_1':0.03},(30,215):{'3_1':0.09,'4_1':0.03},(30,214):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(30,213):{'3_1':0.0,'4_1':0.0},(30,212):{'3_1':0.0,'4_1':0.0},(30,211):{'3_1':0.06,'4_1':0.0},(30,210):{'3_1':0.03,'4_1':0.03},(30,209):{'3_1':0.03,'4_1':0.03},(30,208):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(30,207):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(30,206):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(30,205):{'3_1':0.09},(30,204):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(30,203):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(30,202):{'3_1':0.03,'4_1':0.0},(30,201):{'3_1':0.06,'4_1':0.0},(30,200):{'4_1':0.0,'3_1':0.0},(30,199):{'3_1':0.06,'4_1':0.0},(30,198):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(30,197):{'3_1':0.0,'4_1':0.0},(30,196):{'4_1':0.03,'3_1':0.0},(30,195):{'3_1':0.06,'4_1':0.0},(30,194):{'3_1':0.03,'5_1':0.0},(30,193):{'3_1':0.03},(30,192):{'3_1':0.03,'4_1':0.0},(30,191):{'3_1':0.06,'4_1':0.0},(30,190):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(30,189):{'3_1':0.03,'4_1':0.0},(30,188):{'3_1':0.06,'4_1':0.0},(30,187):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(30,186):{'3_1':0.03,'4_1':0.0},(30,185):{'3_1':0.03,'4_1':0.0},(30,184):{'3_1':0.06},(30,183):{'3_1':0.06,'4_1':0.0},(30,182):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(30,181):{'3_1':0.12,'4_1':0.0},(30,180):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(30,179):{'3_1':0.03},(30,178):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(30,177):{'3_1':0.0},(30,176):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(30,175):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(30,174):{'3_1':0.0,'4_1':0.0},(30,173):{'3_1':0.0,'4_1':0.0},(30,172):{'3_1':0.03,'5_2':0.0},(30,171):{'3_1':0.0},(30,170):{'3_1':0.03,'4_1':0.0},(30,169):{'3_1':0.03},(30,168):{'3_1':0.03,'4_1':0.0},(30,167):{'3_1':0.0},(30,166):{'3_1':0.03},(30,165):{'3_1':0.06},(30,164):{'3_1':0.03,'4_1':0.0},(30,163):{'3_1':0.03},(30,162):{'3_1':0.06,'4_1':0.0},(30,161):{'3_1':0.09,'4_1':0.0},(30,160):{'3_1':0.06,'4_1':0.0},(30,159):{'3_1':0.03,'4_1':0.0},(30,158):{'3_1':0.06,'4_1':0.0},(30,157):{'3_1':0.06,'4_1':0.0},(30,156):{'3_1':0.03,'4_1':0.0},(30,155):{'3_1':0.03,'4_1':0.03},(30,154):{'3_1':0.03},(30,153):{'3_1':0.0,'6_2':0.0},(30,152):{'3_1':0.06,'4_1':0.0},(30,151):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(30,150):{'3_1':0.03,'4_1':0.0},(30,149):{'3_1':0.03,'4_1':0.0},(30,148):{'3_1':0.06,'4_1':0.0},(30,147):{'3_1':0.03},(30,146):{'3_1':0.03},(30,145):{'4_1':0.0,'3_1':0.0},(30,144):{'3_1':0.03,'4_1':0.0},(30,143):{'3_1':0.06,'4_1':0.0},(30,142):{'3_1':0.0,'4_1':0.0},(30,141):{'3_1':0.0},(30,140):{'3_1':0.03,'4_1':0.0},(30,139):{'3_1':0.0},(30,138):{'3_1':0.03},(30,137):{'3_1':0.03},(30,135):{'3_1':0.03},(30,134):{'3_1':0.0},(30,133):{'3_1':0.0},(30,132):{'3_1':0.03},(30,131):{'3_1':0.03},(30,130):{'3_1':0.03},(30,129):{'3_1':0.06},(30,128):{'3_1':0.03},(30,127):{'3_1':0.06},(30,126):{'3_1':0.0},(30,125):{'3_1':0.0},(30,124):{'3_1':0.03},(30,123):{'3_1':0.03},(30,122):{'3_1':0.0},(30,121):{'3_1':0.0},(30,120):{'3_1':0.0},(30,119):{'3_1':0.0},(30,118):{'3_1':0.0},(30,117):{'3_1':0.0},(30,115):{'3_1':0.0},(30,114):{'3_1':0.0},(30,113):{'3_1':0.0},(30,112):{'3_1':0.03},(30,111):{'3_1':0.0},(30,110):{'3_1':0.0},(30,109):{'3_1':0.0},(30,108):{'3_1':0.0},(30,107):{'3_1':0.0,'4_1':0.0},(30,106):{'3_1':0.0},(30,105):{'3_1':0.0},(30,104):{'3_1':0.0},(30,102):{'3_1':0.0},(30,101):{'3_1':0.0},(30,100):{'3_1':0.0},(30,99):{'3_1':0.0},(30,98):{'3_1':0.0},(30,97):{'3_1':0.0},(30,94):{'3_1':0.0},(30,93):{'3_1':0.0},(30,89):{'3_1':0.0},(30,88):{'3_1':0.0},(30,87):{'3_1':0.0},(30,86):{'3_1':0.0},(30,85):{'3_1':0.0},(30,82):{'3_1':0.0},(30,81):{'3_1':0.0},(30,78):{'3_1':0.0},(30,77):{'3_1':0.0},(30,59):{'3_1':0.0},(30,53):{'3_1':0.0},(30,49):{'3_1':0.0},(30,48):{'3_1':0.0},(30,47):{'3_1':0.0},(30,46):{'3_1':0.0},(30,45):{'3_1':0.0},(30,42):{'3_1':0.0},(30,39):{'3_1':0.0},(31,290):{'3_1':0.54,'5_1':0.06,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0},(31,289):{'3_1':0.39,'5_1':0.06,'6_2':0.06,'4_1':0.03,'7_1':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0},(31,288):{'3_1':0.48,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(31,287):{'3_1':0.36,'5_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(31,286):{'3_1':0.27,'5_1':0.09,'4_1':0.06,'6_2':0.03,'8_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(31,285):{'3_1':0.36,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(31,284):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0},(31,283):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_9':0.0,'9_1':0.0,'-3':0.0},(31,282):{'3_1':0.24,'4_1':0.09,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(31,281):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.0},(31,280):{'3_1':0.12,'5_1':0.06,'4_1':0.06,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_16':0.0},(31,279):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0,'8_1':0.0},(31,278):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0},(31,277):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(31,276):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(31,275):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(31,274):{'3_1':0.12,'-3':0.0,'4_1':0.0,'5_2':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(31,273):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(31,272):{'3_1':0.09,'7_6':0.0,'4_1':0.0},(31,271):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(31,270):{'3_1':0.09,'4_1':0.0},(31,269):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(31,268):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(31,267):{'3_1':0.12,'4_1':0.0},(31,266):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(31,265):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(31,264):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(31,263):{'3_1':0.09,'4_1':0.0,'7_1':0.0,'-3':0.0},(31,262):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(31,261):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(31,260):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(31,259):{'3_1':0.12,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(31,258):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(31,257):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(31,256):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(31,255):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'6_3':0.0},(31,254):{'3_1':0.12,'4_1':0.0},(31,253):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'-3':0.0},(31,252):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(31,251):{'3_1':0.09,'4_1':0.03,'8_17':0.0},(31,250):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(31,249):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(31,248):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(31,247):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(31,246):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(31,245):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(31,244):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(31,243):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(31,242):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(31,241):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(31,240):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(31,239):{'3_1':0.06,'5_2':0.0},(31,238):{'3_1':0.03,'4_1':0.03},(31,237):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(31,236):{'3_1':0.06,'4_1':0.0},(31,235):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(31,234):{'3_1':0.03,'4_1':0.0},(31,233):{'3_1':0.09,'4_1':0.06,'6_2':0.0},(31,232):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(31,231):{'3_1':0.03,'4_1':0.03},(31,230):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0},(31,229):{'3_1':0.09,'4_1':0.03},(31,228):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(31,227):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(31,226):{'3_1':0.03,'4_1':0.03},(31,225):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(31,224):{'3_1':0.09,'4_1':0.06,'6_1':0.03,'5_2':0.0},(31,223):{'3_1':0.06,'4_1':0.0},(31,222):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0},(31,221):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(31,220):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(31,219):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0},(31,218):{'3_1':0.06,'4_1':0.03},(31,217):{'3_1':0.06,'4_1':0.0},(31,216):{'4_1':0.03,'3_1':0.0},(31,215):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(31,214):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(31,213):{'4_1':0.03,'3_1':0.0},(31,212):{'3_1':0.06},(31,211):{'3_1':0.06,'4_1':0.0},(31,210):{'3_1':0.06,'4_1':0.0},(31,209):{'3_1':0.03,'4_1':0.03},(31,208):{'3_1':0.09,'4_1':0.0},(31,207):{'3_1':0.03,'4_1':0.0},(31,206):{'4_1':0.03,'3_1':0.03},(31,205):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(31,204):{'3_1':0.03,'4_1':0.0},(31,203):{'3_1':0.06,'4_1':0.0},(31,202):{'3_1':0.06,'4_1':0.0},(31,201):{'3_1':0.0,'4_1':0.0},(31,200):{'4_1':0.03,'3_1':0.03},(31,199):{'3_1':0.03,'4_1':0.0},(31,198):{'3_1':0.0,'4_1':0.0},(31,197):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(31,196):{'3_1':0.03,'-3':0.0},(31,195):{'3_1':0.03,'8_20|3_1#3_1':0.0},(31,194):{'3_1':0.0},(31,193):{'3_1':0.03,'4_1':0.0},(31,192):{'3_1':0.0,'4_1':0.0},(31,191):{'3_1':0.06,'4_1':0.0},(31,190):{'3_1':0.03,'4_1':0.03,'8_21|3_1#4_1':0.0},(31,189):{'3_1':0.03,'4_1':0.0},(31,188):{'3_1':0.03,'4_1':0.0},(31,187):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(31,186):{'4_1':0.0},(31,185):{'3_1':0.03,'6_3':0.0},(31,184):{'3_1':0.03,'4_1':0.0},(31,183):{'3_1':0.03,'4_1':0.0},(31,182):{'3_1':0.0,'4_1':0.0},(31,181):{'3_1':0.0,'4_1':0.0},(31,180):{'4_1':0.0,'3_1':0.0},(31,179):{'3_1':0.03,'4_1':0.0},(31,178):{'3_1':0.09,'4_1':0.0},(31,177):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(31,176):{'3_1':0.06},(31,175):{'3_1':0.0,'4_1':0.0},(31,174):{'3_1':0.0},(31,173):{'3_1':0.03,'5_2':0.0},(31,172):{'3_1':0.03},(31,171):{'3_1':0.03},(31,170):{'3_1':0.03,'4_1':0.0},(31,169):{'3_1':0.03},(31,168):{'3_1':0.0},(31,167):{'3_1':0.06,'4_1':0.0},(31,166):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(31,165):{'3_1':0.03},(31,164):{'3_1':0.03,'4_1':0.0},(31,163):{'3_1':0.06,'4_1':0.0},(31,162):{'3_1':0.06,'4_1':0.0},(31,161):{'3_1':0.06,'4_1':0.0},(31,160):{'3_1':0.03,'4_1':0.0},(31,159):{'3_1':0.03,'5_2':0.0},(31,158):{'3_1':0.06,'4_1':0.0},(31,157):{'3_1':0.09,'6_3':0.0},(31,156):{'3_1':0.06},(31,155):{'4_1':0.03,'3_1':0.03},(31,154):{'3_1':0.03,'4_1':0.0},(31,153):{'3_1':0.03,'4_1':0.0},(31,152):{'3_1':0.0,'4_1':0.0},(31,151):{'3_1':0.06,'4_1':0.0},(31,150):{'3_1':0.03,'4_1':0.0},(31,149):{'3_1':0.03,'4_1':0.0},(31,148):{'3_1':0.03,'4_1':0.0},(31,147):{'3_1':0.0,'4_1':0.0},(31,146):{'3_1':0.0},(31,145):{'3_1':0.0,'4_1':0.0},(31,144):{'3_1':0.0,'4_1':0.0},(31,143):{'3_1':0.09,'4_1':0.0},(31,142):{'3_1':0.0},(31,141):{'3_1':0.03,'4_1':0.0},(31,140):{'3_1':0.0,'4_1':0.0},(31,139):{'3_1':0.0,'4_1':0.0},(31,138):{'3_1':0.0},(31,137):{'3_1':0.03,'4_1':0.0},(31,136):{'3_1':0.0},(31,135):{'3_1':0.06},(31,134):{'3_1':0.0,'4_1':0.0},(31,133):{'3_1':0.06},(31,132):{'3_1':0.03},(31,131):{'3_1':0.03,'5_2':0.0},(31,130):{'3_1':0.03},(31,129):{'3_1':0.03,'5_2':0.0},(31,128):{'3_1':0.03},(31,127):{'3_1':0.03},(31,126):{'3_1':0.03},(31,125):{'3_1':0.06},(31,124):{'3_1':0.06},(31,123):{'3_1':0.03},(31,122):{'3_1':0.0},(31,121):{'3_1':0.03},(31,120):{'3_1':0.0},(31,119):{'3_1':0.0},(31,118):{'3_1':0.0},(31,116):{'3_1':0.0},(31,115):{'3_1':0.0},(31,114):{'3_1':0.0},(31,109):{'3_1':0.0},(31,108):{'3_1':0.0},(31,105):{'3_1':0.0},(31,103):{'3_1':0.0},(31,102):{'3_1':0.0},(31,100):{'3_1':0.0},(31,86):{'3_1':0.0},(31,85):{'3_1':0.0},(31,84):{'3_1':0.0},(31,81):{'3_1':0.0},(31,75):{'3_1':0.0},(31,73):{'3_1':0.0},(31,72):{'3_1':0.0},(31,70):{'3_1':0.0},(31,67):{'3_1':0.0},(31,66):{'3_1':0.0},(31,57):{'3_1':0.0},(31,55):{'3_1':0.0},(31,53):{'3_1':0.0},(31,52):{'3_1':0.0},(31,51):{'3_1':0.0},(31,50):{'3_1':0.0},(31,48):{'3_1':0.0},(32,290):{'3_1':0.42,'5_1':0.12,'6_2':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0},(32,289):{'3_1':0.54,'5_1':0.06,'6_2':0.03,'5_2':0.0,'-3':0.0,'7_1':0.0},(32,288):{'3_1':0.48,'6_2':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(32,287):{'3_1':0.36,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0,'5_2':0.0,'8_9':0.0},(32,286):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(32,285):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(32,284):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(32,283):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(32,282):{'3_1':0.18,'6_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(32,281):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(32,280):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(32,279):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0},(32,278):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(32,277):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'-3':0.0},(32,276):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0},(32,275):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0},(32,274):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'-3':0.0},(32,273):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(32,272):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(32,271):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(32,270):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(32,269):{'3_1':0.12,'6_2':0.0},(32,268):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_2':0.0},(32,267):{'3_1':0.09,'4_1':0.0},(32,266):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_3':0.0},(32,265):{'3_1':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(32,264):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(32,263):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(32,262):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(32,261):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(32,260):{'3_1':0.12},(32,259):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(32,258):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0},(32,257):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(32,256):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(32,255):{'3_1':0.09,'4_1':0.0},(32,254):{'3_1':0.12,'4_1':0.0,'-3':0.0},(32,253):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'7_6':0.0},(32,252):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(32,251):{'3_1':0.03,'4_1':0.0},(32,250):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(32,249):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(32,248):{'3_1':0.03,'4_1':0.0},(32,247):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(32,246):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(32,245):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(32,244):{'3_1':0.12,'4_1':0.0},(32,243):{'3_1':0.09},(32,242):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(32,241):{'3_1':0.06,'4_1':0.0},(32,240):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(32,239):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(32,238):{'3_1':0.09,'4_1':0.03},(32,237):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(32,236):{'3_1':0.06,'4_1':0.0},(32,235):{'3_1':0.09,'4_1':0.03},(32,234):{'3_1':0.06,'4_1':0.03},(32,233):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0},(32,232):{'4_1':0.09,'3_1':0.03},(32,231):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(32,230):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(32,229):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(32,228):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(32,227):{'3_1':0.03,'4_1':0.03},(32,226):{'4_1':0.06,'3_1':0.03},(32,225):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(32,224):{'3_1':0.06,'4_1':0.03},(32,223):{'3_1':0.15,'4_1':0.03},(32,222):{'3_1':0.06,'4_1':0.03},(32,221):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(32,220):{'3_1':0.06,'4_1':0.03},(32,219):{'3_1':0.09,'4_1':0.03},(32,218):{'4_1':0.03,'3_1':0.0},(32,217):{'4_1':0.03,'3_1':0.03},(32,216):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(32,215):{'3_1':0.06,'4_1':0.0},(32,214):{'3_1':0.03,'4_1':0.0},(32,213):{'3_1':0.06,'4_1':0.03},(32,212):{'4_1':0.03,'3_1':0.03},(32,211):{'3_1':0.06},(32,210):{'3_1':0.03,'4_1':0.03},(32,209):{'3_1':0.06,'4_1':0.0},(32,208):{'3_1':0.03,'4_1':0.03},(32,207):{'3_1':0.03,'4_1':0.0},(32,206):{'3_1':0.06},(32,205):{'3_1':0.0,'5_2':0.0},(32,204):{'3_1':0.03,'4_1':0.03},(32,203):{'3_1':0.0,'4_1':0.0},(32,202):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(32,201):{'3_1':0.03,'4_1':0.0},(32,200):{'3_1':0.0,'4_1':0.0},(32,199):{'3_1':0.03,'4_1':0.03},(32,198):{'3_1':0.03},(32,197):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(32,196):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(32,195):{'3_1':0.03},(32,194):{'3_1':0.0},(32,193):{'3_1':0.03,'4_1':0.0},(32,192):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(32,191):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(32,190):{'3_1':0.03},(32,189):{'3_1':0.06},(32,188):{'3_1':0.03},(32,187):{'3_1':0.03,'4_1':0.0},(32,186):{'3_1':0.03},(32,185):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_1':0.0},(32,184):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(32,183):{'3_1':0.0,'4_1':0.0},(32,182):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(32,181):{'3_1':0.0,'4_1':0.0},(32,180):{'3_1':0.0,'5_2':0.0},(32,179):{'3_1':0.0},(32,178):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(32,177):{'3_1':0.03,'4_1':0.0},(32,176):{'3_1':0.0,'4_1':0.0},(32,175):{'3_1':0.03,'4_1':0.0},(32,174):{'3_1':0.0},(32,173):{'3_1':0.03},(32,172):{'3_1':0.03,'4_1':0.0},(32,171):{'3_1':0.03,'6_1':0.0},(32,170):{'3_1':0.03},(32,169):{'3_1':0.06},(32,168):{'3_1':0.06},(32,167):{'3_1':0.06,'4_1':0.0},(32,166):{'3_1':0.03},(32,165):{'3_1':0.0},(32,164):{'3_1':0.03},(32,163):{'3_1':0.06,'4_1':0.0},(32,162):{'3_1':0.06,'4_1':0.0},(32,161):{'3_1':0.03,'4_1':0.0},(32,160):{'3_1':0.06},(32,159):{'3_1':0.03,'5_2':0.0},(32,158):{'3_1':0.03,'4_1':0.0},(32,157):{'4_1':0.0,'3_1':0.0},(32,156):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(32,155):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(32,154):{'3_1':0.03,'4_1':0.0},(32,153):{'3_1':0.03,'4_1':0.03},(32,152):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(32,151):{'3_1':0.03,'4_1':0.0},(32,150):{'3_1':0.0,'4_1':0.0},(32,148):{'3_1':0.0,'4_1':0.0},(32,147):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(32,146):{'3_1':0.03,'6_1':0.0},(32,145):{'3_1':0.0,'4_1':0.0},(32,144):{'4_1':0.0,'3_1':0.0},(32,143):{'4_1':0.0},(32,142):{'3_1':0.0,'4_1':0.0},(32,141):{'3_1':0.03},(32,140):{'3_1':0.03,'4_1':0.0},(32,139):{'3_1':0.03,'4_1':0.0},(32,138):{'3_1':0.0,'4_1':0.0},(32,137):{'3_1':0.0},(32,136):{'3_1':0.0},(32,135):{'3_1':0.03},(32,134):{'3_1':0.03,'4_1':0.0},(32,133):{'3_1':0.06},(32,132):{'3_1':0.03,'5_2':0.0},(32,131):{'3_1':0.03},(32,130):{'3_1':0.0},(32,129):{'3_1':0.06},(32,128):{'3_1':0.03},(32,127):{'3_1':0.03},(32,126):{'3_1':0.0},(32,124):{'3_1':0.0},(32,123):{'3_1':0.03},(32,122):{'3_1':0.03},(32,121):{'3_1':0.0},(32,120):{'3_1':0.0},(32,119):{'3_1':0.0},(32,118):{'3_1':0.03},(32,117):{'3_1':0.0},(32,115):{'3_1':0.0},(32,114):{'3_1':0.0},(32,113):{'3_1':0.0},(32,112):{'3_1':0.0},(32,109):{'3_1':0.0},(32,108):{'3_1':0.0},(32,107):{'3_1':0.0},(32,106):{'3_1':0.0},(32,105):{'3_1':0.0},(32,103):{'3_1':0.0},(32,102):{'3_1':0.0},(32,99):{'3_1':0.0},(32,97):{'3_1':0.0},(32,96):{'3_1':0.0},(32,94):{'3_1':0.0},(32,88):{'3_1':0.0},(32,86):{'3_1':0.0},(32,81):{'3_1':0.0},(32,77):{'3_1':0.0},(32,75):{'3_1':0.0},(32,52):{'3_1':0.0},(32,51):{'3_1':0.0},(33,290):{'3_1':0.42,'5_1':0.15,'6_2':0.03,'7_1':0.03,'4_1':0.0,'-3':0.0,'7_5':0.0},(33,289):{'3_1':0.48,'6_2':0.03,'5_1':0.03,'7_1':0.03,'4_1':0.0,'7_5':0.0,'7_3':0.0,'-3':0.0},(33,288):{'3_1':0.48,'5_1':0.03,'4_1':0.03,'6_2':0.03,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(33,287):{'3_1':0.36,'5_1':0.09,'4_1':0.06,'6_2':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(33,286):{'3_1':0.36,'5_1':0.06,'4_1':0.03,'6_2':0.0,'7_5':0.0,'5_2':0.0,'7_1':0.0},(33,285):{'3_1':0.33,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(33,284):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(33,283):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(33,282):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'7_1':0.0,'6_2':0.0},(33,281):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(33,280):{'3_1':0.18,'4_1':0.03,'6_2':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_19':0.0},(33,279):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'-3':0.0},(33,278):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'-3':0.0},(33,277):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_4':0.0,'7_5':0.0,'8_11':0.0},(33,276):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(33,275):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(33,274):{'3_1':0.06,'4_1':0.0},(33,273):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(33,272):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(33,271):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(33,270):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(33,269):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(33,268):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0},(33,267):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(33,266):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(33,265):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(33,264):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(33,263):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_3':0.0,'-3':0.0},(33,262):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(33,261):{'3_1':0.06,'4_1':0.0},(33,260):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(33,259):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(33,258):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(33,257):{'3_1':0.12,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(33,256):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(33,255):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(33,254):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(33,253):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'4_1':0.0},(33,252):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(33,251):{'3_1':0.06,'4_1':0.0},(33,250):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(33,249):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(33,248):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(33,247):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(33,246):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(33,245):{'3_1':0.09,'4_1':0.03,'8_21|3_1#4_1':0.0},(33,244):{'3_1':0.06,'4_1':0.06},(33,243):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(33,242):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(33,241):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(33,240):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0},(33,239):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(33,238):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(33,237):{'3_1':0.03,'4_1':0.03},(33,236):{'3_1':0.03,'4_1':0.0},(33,235):{'4_1':0.06,'3_1':0.0},(33,234):{'4_1':0.03,'3_1':0.0},(33,233):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(33,232):{'4_1':0.03,'3_1':0.03},(33,231):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_4':0.0},(33,230):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(33,229):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'6_1':0.0},(33,228):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(33,227):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(33,226):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(33,225):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(33,224):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'5_2':0.0},(33,223):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0},(33,222):{'3_1':0.06,'4_1':0.03},(33,221):{'3_1':0.06,'4_1':0.0},(33,220):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(33,219):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(33,218):{'3_1':0.09,'4_1':0.03},(33,217):{'3_1':0.09,'4_1':0.03},(33,216):{'4_1':0.03,'3_1':0.03},(33,215):{'3_1':0.0,'4_1':0.0},(33,214):{'3_1':0.03,'4_1':0.03},(33,213):{'3_1':0.0,'4_1':0.0},(33,212):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(33,211):{'3_1':0.06,'4_1':0.0},(33,210):{'3_1':0.0,'4_1':0.0},(33,209):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(33,208):{'3_1':0.0,'4_1':0.0},(33,207):{'4_1':0.03,'3_1':0.0},(33,206):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(33,205):{'3_1':0.03,'4_1':0.0},(33,204):{'3_1':0.06,'4_1':0.0},(33,203):{'3_1':0.0,'4_1':0.0},(33,202):{'3_1':0.03,'4_1':0.03},(33,201):{'4_1':0.03,'3_1':0.0},(33,200):{'3_1':0.03,'4_1':0.0},(33,199):{'3_1':0.0,'4_1':0.0},(33,198):{'3_1':0.03,'4_1':0.0},(33,197):{'3_1':0.06,'4_1':0.03},(33,196):{'3_1':0.03,'4_1':0.0},(33,195):{'3_1':0.0,'8_21|3_1#4_1':0.0},(33,194):{'3_1':0.0,'4_1':0.0,'-3':0.0},(33,193):{'3_1':0.03,'4_1':0.0},(33,192):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(33,191):{'3_1':0.06,'8_21|3_1#4_1':0.0},(33,190):{'3_1':0.06,'4_1':0.0},(33,189):{'3_1':0.06,'5_1':0.0},(33,188):{'3_1':0.0,'4_1':0.0},(33,187):{'3_1':0.03,'6_2':0.0},(33,186):{'3_1':0.0,'4_1':0.0},(33,185):{'3_1':0.0,'4_1':0.0},(33,184):{'3_1':0.03,'4_1':0.0},(33,183):{'4_1':0.0,'3_1':0.0},(33,182):{'3_1':0.03},(33,181):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(33,180):{'3_1':0.0,'4_1':0.0},(33,179):{'3_1':0.0,'4_1':0.0},(33,178):{'4_1':0.03,'3_1':0.0},(33,177):{'3_1':0.0,'7_6':0.0},(33,176):{'3_1':0.03,'4_1':0.0},(33,175):{'3_1':0.03,'4_1':0.0},(33,174):{'3_1':0.03,'4_1':0.0},(33,173):{'3_1':0.0,'4_1':0.0},(33,172):{'3_1':0.03,'4_1':0.0},(33,171):{'3_1':0.06,'4_1':0.0},(33,170):{'3_1':0.03},(33,169):{'3_1':0.03,'4_1':0.0},(33,168):{'3_1':0.06},(33,167):{'3_1':0.0,'4_1':0.0},(33,166):{'3_1':0.06,'4_1':0.03},(33,165):{'3_1':0.03,'4_1':0.03},(33,164):{'4_1':0.0,'3_1':0.0},(33,163):{'3_1':0.03,'4_1':0.0},(33,162):{'3_1':0.03,'4_1':0.0},(33,161):{'3_1':0.09,'4_1':0.0},(33,160):{'3_1':0.0},(33,159):{'3_1':0.03,'4_1':0.0},(33,158):{'3_1':0.0,'4_1':0.0},(33,157):{'4_1':0.03,'3_1':0.03},(33,156):{'3_1':0.03,'4_1':0.0},(33,155):{'3_1':0.03,'4_1':0.03},(33,154):{'3_1':0.0,'4_1':0.0},(33,153):{'3_1':0.0,'4_1':0.0},(33,152):{'3_1':0.03,'4_1':0.0},(33,151):{'3_1':0.03,'4_1':0.03},(33,150):{'3_1':0.03,'4_1':0.0},(33,149):{'3_1':0.03,'4_1':0.03},(33,148):{'3_1':0.03,'4_1':0.0},(33,147):{'4_1':0.0,'3_1':0.0},(33,146):{'4_1':0.03,'3_1':0.0},(33,145):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(33,144):{'3_1':0.03,'4_1':0.0},(33,143):{'3_1':0.06,'4_1':0.03},(33,142):{'3_1':0.03},(33,141):{'4_1':0.0,'3_1':0.0},(33,140):{'3_1':0.0,'4_1':0.0},(33,139):{'3_1':0.03,'4_1':0.0},(33,138):{'3_1':0.0,'4_1':0.0},(33,137):{'3_1':0.0,'4_1':0.0},(33,136):{'3_1':0.0},(33,135):{'3_1':0.0},(33,134):{'3_1':0.0},(33,133):{'3_1':0.0},(33,132):{'3_1':0.03},(33,131):{'3_1':0.0},(33,130):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(33,129):{'3_1':0.03},(33,128):{'3_1':0.06},(33,127):{'3_1':0.0},(33,126):{'3_1':0.0},(33,125):{'3_1':0.0},(33,124):{'3_1':0.03},(33,123):{'3_1':0.03},(33,122):{'3_1':0.0},(33,121):{'3_1':0.03},(33,120):{'3_1':0.0},(33,119):{'3_1':0.0},(33,117):{'3_1':0.0},(33,116):{'3_1':0.0},(33,113):{'3_1':0.0},(33,110):{'3_1':0.0},(33,109):{'3_1':0.0},(33,108):{'3_1':0.0},(33,107):{'3_1':0.0},(33,106):{'3_1':0.0},(33,104):{'3_1':0.0},(33,99):{'3_1':0.0},(33,98):{'3_1':0.0},(33,95):{'3_1':0.0},(33,94):{'3_1':0.0},(33,88):{'3_1':0.0},(33,87):{'3_1':0.0},(33,86):{'3_1':0.0},(33,85):{'3_1':0.0},(33,84):{'3_1':0.0},(33,82):{'3_1':0.0},(33,81):{'3_1':0.03},(33,75):{'3_1':0.0},(33,54):{'3_1':0.0},(33,53):{'4_1':0.0},(33,42):{'3_1':0.0},(34,290):{'3_1':0.54,'5_1':0.06,'4_1':0.03,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0,'8_19':0.0},(34,289):{'3_1':0.54,'5_1':0.06,'5_2':0.0,'7_1':0.0,'6_2':0.0,'4_1':0.0,'-3':0.0},(34,288):{'3_1':0.45,'5_1':0.06,'6_2':0.06,'4_1':0.03,'5_2':0.0,'7_1':0.0},(34,287):{'3_1':0.39,'5_1':0.06,'4_1':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(34,286):{'3_1':0.39,'6_2':0.03,'4_1':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0},(34,285):{'3_1':0.33,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0,'8_4':0.0},(34,284):{'3_1':0.21,'4_1':0.06,'5_1':0.06,'-3':0.0,'6_2':0.0,'7_5':0.0},(34,283):{'3_1':0.21,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(34,282):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.0,'-3':0.0},(34,281):{'3_1':0.09,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(34,280):{'3_1':0.21,'5_1':0.09,'4_1':0.03,'6_2':0.0,'7_1':0.0},(34,279):{'5_1':0.06,'3_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_4':0.0},(34,278):{'3_1':0.06,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(34,277):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(34,276):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(34,275):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_17':0.0,'-3':0.0},(34,274):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(34,273):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(34,272):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(34,271):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(34,270):{'3_1':0.15,'4_1':0.0},(34,269):{'3_1':0.09,'6_3':0.0,'7_6':0.0},(34,268):{'3_1':0.12,'5_1':0.0},(34,267):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(34,266):{'3_1':0.03},(34,265):{'3_1':0.12,'4_1':0.03},(34,264):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(34,263):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(34,262):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_17':0.0},(34,261):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(34,260):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(34,259):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(34,258):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(34,257):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0},(34,256):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(34,255):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0,'-3':0.0},(34,254):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(34,253):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0},(34,252):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0},(34,251):{'3_1':0.09,'4_1':0.03,'8_9':0.0,'8_17':0.0},(34,250):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(34,249):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(34,248):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(34,247):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(34,246):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0},(34,245):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(34,244):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(34,243):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(34,242):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(34,241):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(34,240):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(34,239):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(34,238):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(34,237):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(34,236):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(34,235):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(34,234):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(34,233):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(34,232):{'3_1':0.06,'4_1':0.03},(34,231):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(34,230):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(34,229):{'3_1':0.09,'4_1':0.03},(34,228):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(34,227):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(34,226):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(34,225):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(34,224):{'3_1':0.09,'5_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(34,223):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(34,222):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(34,221):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(34,220):{'3_1':0.09,'4_1':0.03},(34,219):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(34,218):{'3_1':0.06,'4_1':0.0},(34,217):{'3_1':0.03,'4_1':0.0},(34,216):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(34,215):{'4_1':0.06,'3_1':0.03},(34,214):{'3_1':0.03,'4_1':0.0},(34,213):{'3_1':0.03,'4_1':0.0},(34,212):{'3_1':0.03,'4_1':0.0},(34,211):{'3_1':0.06,'4_1':0.0},(34,210):{'3_1':0.03},(34,209):{'3_1':0.06,'4_1':0.0},(34,208):{'3_1':0.06,'4_1':0.0},(34,207):{'4_1':0.03,'3_1':0.03},(34,206):{'3_1':0.03,'4_1':0.03},(34,205):{'3_1':0.0,'4_1':0.0},(34,204):{'4_1':0.03,'3_1':0.0},(34,203):{'4_1':0.03,'3_1':0.0},(34,202):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(34,201):{'3_1':0.06,'4_1':0.0},(34,200):{'4_1':0.06,'3_1':0.0},(34,199):{'3_1':0.0},(34,198):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(34,197):{'3_1':0.03,'4_1':0.0},(34,196):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(34,195):{'3_1':0.03},(34,194):{'3_1':0.03},(34,193):{'3_1':0.03,'4_1':0.0},(34,192):{'3_1':0.0,'4_1':0.0,'-3':0.0},(34,191):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(34,190):{'3_1':0.03,'4_1':0.0},(34,189):{'3_1':0.03},(34,188):{'3_1':0.03},(34,187):{'3_1':0.0,'4_1':0.0},(34,186):{'3_1':0.03},(34,185):{'3_1':0.0,'4_1':0.0},(34,184):{'3_1':0.0,'4_1':0.0},(34,183):{'3_1':0.03},(34,182):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(34,181):{'3_1':0.03},(34,180):{'3_1':0.0,'4_1':0.0},(34,179):{'3_1':0.0,'4_1':0.0},(34,178):{'3_1':0.0},(34,177):{'3_1':0.03,'4_1':0.0},(34,176):{'3_1':0.06,'4_1':0.0},(34,175):{'3_1':0.03,'4_1':0.0},(34,174):{'3_1':0.03,'4_1':0.0},(34,173):{'3_1':0.0,'4_1':0.0},(34,172):{'3_1':0.0},(34,171):{'3_1':0.0,'4_1':0.0},(34,170):{'3_1':0.0},(34,169):{'3_1':0.03,'4_1':0.0},(34,168):{'3_1':0.0,'4_1':0.0},(34,167):{'3_1':0.03},(34,166):{'3_1':0.06,'4_1':0.0},(34,165):{'3_1':0.0,'5_2':0.0},(34,164):{'3_1':0.03},(34,163):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(34,162):{'3_1':0.03,'4_1':0.0},(34,161):{'3_1':0.03,'4_1':0.0},(34,160):{'3_1':0.06,'4_1':0.0},(34,159):{'3_1':0.06,'5_2':0.0},(34,158):{'4_1':0.03,'3_1':0.0},(34,157):{'3_1':0.0,'4_1':0.0},(34,156):{'4_1':0.03,'3_1':0.0},(34,155):{'3_1':0.03,'4_1':0.0},(34,154):{'3_1':0.06,'4_1':0.0},(34,153):{'3_1':0.0,'4_1':0.0},(34,152):{'3_1':0.0,'4_1':0.0},(34,151):{'4_1':0.0,'3_1':0.0},(34,150):{'3_1':0.03},(34,149):{'3_1':0.0,'4_1':0.0},(34,148):{'3_1':0.03,'4_1':0.0},(34,147):{'4_1':0.03,'3_1':0.03},(34,146):{'4_1':0.06,'3_1':0.03},(34,145):{'3_1':0.03,'4_1':0.0},(34,144):{'4_1':0.03,'3_1':0.0},(34,143):{'3_1':0.03,'4_1':0.0},(34,142):{'3_1':0.0},(34,141):{'3_1':0.03,'4_1':0.03},(34,140):{'4_1':0.03,'3_1':0.0},(34,139):{'3_1':0.03,'4_1':0.0},(34,138):{'3_1':0.0},(34,137):{'3_1':0.03},(34,136):{'3_1':0.0,'4_1':0.0},(34,135):{'3_1':0.03},(34,134):{'3_1':0.03},(34,133):{'3_1':0.03},(34,132):{'3_1':0.03,'4_1':0.0},(34,131):{'3_1':0.03},(34,130):{'3_1':0.03},(34,129):{'3_1':0.03},(34,128):{'3_1':0.0},(34,127):{'3_1':0.03},(34,126):{'3_1':0.0,'4_1':0.0},(34,125):{'3_1':0.0},(34,124):{'3_1':0.0},(34,123):{'3_1':0.0},(34,122):{'3_1':0.03},(34,119):{'3_1':0.0},(34,118):{'3_1':0.0},(34,117):{'3_1':0.0},(34,116):{'3_1':0.0},(34,113):{'3_1':0.0},(34,112):{'3_1':0.0},(34,110):{'3_1':0.0},(34,108):{'3_1':0.0},(34,107):{'3_1':0.0},(34,103):{'3_1':0.0},(34,102):{'3_1':0.0},(34,101):{'3_1':0.0},(34,100):{'3_1':0.0},(34,99):{'3_1':0.0},(34,98):{'3_1':0.0},(34,97):{'3_1':0.0},(34,94):{'3_1':0.0},(34,91):{'3_1':0.0},(34,85):{'4_1':0.0},(34,83):{'3_1':0.0},(34,79):{'4_1':0.0},(34,71):{'3_1':0.0},(34,69):{'4_1':0.0},(35,290):{'3_1':0.48,'5_1':0.09,'6_2':0.03,'5_2':0.03,'7_4':0.0,'8_9':0.0,'-3':0.0},(35,289):{'3_1':0.42,'5_1':0.09,'4_1':0.03,'6_2':0.0,'7_1':0.0},(35,288):{'3_1':0.45,'5_1':0.06,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0},(35,287):{'3_1':0.39,'5_1':0.09,'4_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0},(35,286):{'3_1':0.3,'5_1':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(35,285):{'3_1':0.24,'5_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(35,284):{'3_1':0.21,'5_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(35,283):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0},(35,282):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'6_2':0.0,'6_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(35,281):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_19':0.0,'-3':0.0},(35,280):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(35,279):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(35,278):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'5_1':0.03},(35,277):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(35,276):{'3_1':0.06,'4_1':0.03,'6_3':0.0,'5_2':0.0},(35,275):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0},(35,274):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(35,273):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(35,272):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(35,271):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_6':0.0},(35,270):{'3_1':0.09,'5_2':0.0},(35,269):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(35,268):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(35,267):{'3_1':0.12,'5_1':0.0},(35,266):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_17':0.0},(35,265):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_5':0.0},(35,264):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(35,263):{'3_1':0.06,'6_2':0.0,'7_6':0.0},(35,262):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_9':0.0},(35,261):{'3_1':0.15,'4_1':0.0},(35,260):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(35,259):{'3_1':0.15,'5_2':0.0,'-3':0.0},(35,258):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0},(35,257):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(35,256):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(35,255):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(35,254):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(35,253):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(35,252):{'3_1':0.09,'5_1':0.03,'5_2':0.0},(35,251):{'3_1':0.06,'4_1':0.0},(35,250):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(35,249):{'3_1':0.06,'4_1':0.03},(35,248):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(35,247):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(35,246):{'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(35,245):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_8':0.0},(35,244):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(35,243):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(35,242):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(35,241):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(35,240):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(35,239):{'4_1':0.03,'3_1':0.0},(35,238):{'4_1':0.06,'3_1':0.0,'5_1':0.0},(35,237):{'3_1':0.0,'4_1':0.0},(35,236):{'3_1':0.03,'4_1':0.0},(35,235):{'3_1':0.06,'4_1':0.03},(35,234):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(35,233):{'3_1':0.09,'4_1':0.03},(35,232):{'4_1':0.09,'3_1':0.03},(35,231):{'3_1':0.06,'4_1':0.0},(35,230):{'3_1':0.09,'4_1':0.06,'8_6':0.0},(35,229):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_3':0.0},(35,228):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(35,227):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(35,226):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(35,225):{'3_1':0.09,'4_1':0.0},(35,224):{'3_1':0.09,'4_1':0.06,'6_1':0.0},(35,223):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(35,222):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(35,221):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(35,220):{'3_1':0.03,'4_1':0.0},(35,219):{'3_1':0.03,'4_1':0.03},(35,218):{'3_1':0.09,'4_1':0.0},(35,217):{'4_1':0.0,'3_1':0.0,'8_20|3_1#3_1':0.0},(35,216):{'4_1':0.03,'3_1':0.03,'8_20|3_1#3_1':0.0},(35,215):{'5_2':0.0},(35,214):{'3_1':0.03},(35,213):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(35,212):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(35,211):{'3_1':0.03,'4_1':0.0},(35,210):{'3_1':0.06,'4_1':0.0},(35,209):{'3_1':0.03,'4_1':0.0},(35,208):{'3_1':0.03,'4_1':0.03},(35,207):{'3_1':0.03,'4_1':0.0},(35,206):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(35,205):{'3_1':0.06,'4_1':0.0},(35,204):{'3_1':0.03,'4_1':0.0},(35,203):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(35,202):{'3_1':0.03,'4_1':0.0},(35,201):{'3_1':0.03,'4_1':0.03},(35,200):{'3_1':0.0,'4_1':0.0},(35,199):{'3_1':0.0,'4_1':0.0},(35,198):{'4_1':0.03,'3_1':0.0},(35,197):{'3_1':0.03},(35,196):{'3_1':0.03,'4_1':0.0},(35,195):{'3_1':0.0},(35,194):{'3_1':0.0,'4_1':0.0},(35,193):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(35,192):{'3_1':0.03},(35,191):{'4_1':0.0,'3_1':0.0},(35,190):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(35,189):{'3_1':0.03,'4_1':0.0},(35,188):{'3_1':0.03,'4_1':0.0},(35,187):{'3_1':0.0,'6_2':0.0},(35,186):{'3_1':0.0,'4_1':0.0},(35,185):{'3_1':0.03,'4_1':0.0},(35,184):{'3_1':0.06,'4_1':0.0},(35,183):{'3_1':0.0,'4_1':0.0},(35,182):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(35,181):{'3_1':0.03,'4_1':0.0},(35,180):{'3_1':0.03,'4_1':0.0},(35,179):{'3_1':0.03,'4_1':0.0},(35,178):{'3_1':0.03,'4_1':0.0},(35,177):{'3_1':0.03,'4_1':0.0},(35,176):{'3_1':0.03},(35,175):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(35,174):{'3_1':0.03},(35,173):{'3_1':0.0},(35,172):{'3_1':0.0,'4_1':0.0},(35,171):{'3_1':0.0,'4_1':0.0},(35,170):{'3_1':0.03,'4_1':0.0},(35,169):{'3_1':0.03},(35,168):{'3_1':0.06,'4_1':0.0},(35,167):{'3_1':0.0},(35,166):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(35,165):{'3_1':0.06},(35,164):{'3_1':0.03,'4_1':0.0},(35,163):{'3_1':0.0,'4_1':0.0},(35,162):{'3_1':0.03},(35,161):{'3_1':0.03,'4_1':0.0},(35,160):{'3_1':0.03},(35,159):{'3_1':0.0,'4_1':0.0},(35,158):{'3_1':0.03,'5_2':0.0},(35,157):{'3_1':0.03,'4_1':0.0},(35,156):{'3_1':0.0,'4_1':0.0},(35,155):{'3_1':0.0,'4_1':0.0},(35,154):{'3_1':0.03,'4_1':0.0},(35,153):{'3_1':0.03,'4_1':0.0},(35,152):{'4_1':0.03,'3_1':0.0},(35,151):{'3_1':0.03},(35,150):{'4_1':0.03,'3_1':0.03},(35,149):{'3_1':0.03,'4_1':0.0},(35,148):{'3_1':0.03,'4_1':0.03},(35,147):{'3_1':0.03},(35,146):{'3_1':0.0,'4_1':0.0},(35,145):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(35,144):{'3_1':0.03,'4_1':0.0},(35,143):{'3_1':0.0},(35,142):{'3_1':0.0},(35,141):{'3_1':0.06,'4_1':0.0},(35,140):{'3_1':0.0,'4_1':0.0},(35,139):{'3_1':0.0,'4_1':0.0},(35,138):{'3_1':0.03,'4_1':0.0},(35,137):{'3_1':0.03,'4_1':0.0},(35,136):{'3_1':0.0},(35,135):{'3_1':0.03},(35,134):{'3_1':0.0},(35,133):{'3_1':0.03,'4_1':0.0},(35,132):{'3_1':0.0,'4_1':0.0},(35,131):{'3_1':0.0},(35,130):{'3_1':0.0},(35,129):{'3_1':0.03},(35,128):{'3_1':0.03},(35,127):{'3_1':0.03},(35,126):{'3_1':0.0},(35,124):{'3_1':0.0},(35,122):{'3_1':0.0},(35,121):{'3_1':0.0},(35,120):{'3_1':0.0},(35,119):{'3_1':0.0},(35,118):{'3_1':0.0},(35,115):{'3_1':0.03},(35,114):{'3_1':0.0},(35,113):{'3_1':0.0},(35,112):{'3_1':0.0},(35,111):{'3_1':0.0},(35,110):{'3_1':0.0},(35,109):{'3_1':0.0},(35,108):{'3_1':0.0},(35,107):{'3_1':0.0},(35,106):{'3_1':0.0},(35,105):{'3_1':0.0},(35,104):{'3_1':0.0},(35,103):{'3_1':0.0},(35,100):{'3_1':0.0},(35,98):{'3_1':0.0,'4_1':0.0},(35,96):{'3_1':0.0},(35,94):{'3_1':0.0},(35,93):{'3_1':0.0},(35,92):{'3_1':0.0},(35,91):{'3_1':0.0},(35,89):{'3_1':0.0},(35,86):{'3_1':0.0},(35,85):{'3_1':0.0},(35,84):{'3_1':0.0},(35,82):{'3_1':0.0},(35,81):{'3_1':0.0},(35,74):{'3_1':0.0},(35,72):{'3_1':0.0},(35,52):{'3_1':0.0},(35,42):{'3_1':0.0},(36,290):{'3_1':0.51,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(36,289):{'3_1':0.45,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(36,288):{'3_1':0.36,'5_1':0.09,'6_2':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0,'-3':0.0},(36,287):{'3_1':0.39,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.0,'8_4':0.0,'8_9':0.0},(36,286):{'3_1':0.3,'6_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0},(36,285):{'3_1':0.27,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(36,284):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_2':0.0},(36,283):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_2':0.0,'8_9':0.0},(36,282):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_2':0.0},(36,281):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(36,280):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_3':0.0},(36,279):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(36,278):{'3_1':0.03,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(36,277):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0},(36,276):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'-3':0.0},(36,275):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_11':0.0,'-3':0.0},(36,274):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_3':0.0,'6_1':0.0},(36,273):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(36,272):{'3_1':0.12,'5_1':0.0,'8_21|3_1#4_1':0.0},(36,271):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(36,270):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(36,269):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(36,268):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(36,267):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(36,266):{'3_1':0.09,'5_1':0.0},(36,265):{'3_1':0.12,'5_1':0.0},(36,264):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(36,263):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(36,262):{'3_1':0.09,'5_1':0.0},(36,261):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(36,260):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'6_2':0.0},(36,259):{'3_1':0.12,'4_1':0.0},(36,258):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(36,257):{'3_1':0.09,'5_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(36,256):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(36,255):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_17':0.0},(36,254):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(36,253):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(36,252):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_9':0.0},(36,251):{'3_1':0.06,'5_1':0.0},(36,250):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(36,249):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(36,248):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(36,247):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(36,246):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(36,245):{'3_1':0.12,'5_1':0.0},(36,244):{'3_1':0.06,'8_17':0.0},(36,243):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(36,242):{'3_1':0.06,'7_3':0.0},(36,241):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(36,240):{'3_1':0.06,'4_1':0.0},(36,239):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(36,238):{'3_1':0.06,'4_1':0.03},(36,237):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_3':0.0},(36,236):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(36,235):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(36,234):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(36,233):{'3_1':0.03,'4_1':0.03},(36,232):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(36,231):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(36,230):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(36,229):{'3_1':0.09,'4_1':0.03},(36,228):{'3_1':0.09,'5_1':0.0},(36,227):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(36,226):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(36,225):{'3_1':0.06,'4_1':0.0},(36,224):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(36,223):{'3_1':0.06,'4_1':0.03},(36,222):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(36,221):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(36,220):{'3_1':0.03,'4_1':0.0},(36,219):{'3_1':0.06,'4_1':0.0},(36,218):{'4_1':0.06,'3_1':0.03},(36,217):{'3_1':0.09,'4_1':0.03},(36,216):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(36,215):{'3_1':0.03,'4_1':0.0},(36,214):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(36,213):{'3_1':0.0},(36,212):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(36,211):{'3_1':0.03},(36,210):{'3_1':0.0,'4_1':0.0},(36,209):{'3_1':0.0,'4_1':0.0},(36,208):{'3_1':0.06,'4_1':0.0},(36,207):{'3_1':0.03,'4_1':0.0},(36,206):{'3_1':0.09,'4_1':0.0},(36,205):{'3_1':0.0,'4_1':0.0},(36,204):{'3_1':0.03,'4_1':0.0},(36,203):{'3_1':0.03,'4_1':0.0},(36,202):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(36,201):{'3_1':0.0,'4_1':0.0},(36,200):{'3_1':0.03,'4_1':0.0},(36,199):{'3_1':0.06,'4_1':0.0},(36,198):{'3_1':0.03,'4_1':0.0},(36,197):{'3_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(36,196):{'3_1':0.0,'4_1':0.0},(36,195):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(36,194):{'3_1':0.03,'4_1':0.0},(36,193):{'3_1':0.0,'4_1':0.0,'-3':0.0},(36,192):{'3_1':0.03},(36,191):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(36,190):{'3_1':0.03,'4_1':0.0},(36,189):{'3_1':0.06},(36,188):{'3_1':0.03,'6_2':0.0},(36,187):{'3_1':0.0,'4_1':0.0},(36,186):{'3_1':0.06},(36,185):{'3_1':0.0,'4_1':0.0},(36,184):{'3_1':0.0},(36,183):{'3_1':0.03,'4_1':0.0},(36,182):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(36,181):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(36,180):{'3_1':0.03,'4_1':0.0},(36,179):{'3_1':0.0,'4_1':0.0},(36,178):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(36,177):{'3_1':0.0,'4_1':0.0},(36,176):{'3_1':0.03},(36,175):{'3_1':0.03,'4_1':0.0},(36,174):{'3_1':0.0,'4_1':0.0},(36,173):{'3_1':0.0,'4_1':0.0},(36,172):{'3_1':0.03},(36,171):{'3_1':0.03},(36,170):{'3_1':0.0},(36,169):{'3_1':0.03,'4_1':0.0},(36,168):{'3_1':0.03,'4_1':0.0},(36,167):{'3_1':0.0,'5_2':0.0},(36,166):{'3_1':0.03,'4_1':0.0},(36,165):{'3_1':0.03,'4_1':0.0},(36,164):{'3_1':0.03},(36,163):{'4_1':0.0,'3_1':0.0},(36,162):{'3_1':0.0,'4_1':0.0},(36,161):{'3_1':0.03,'4_1':0.0},(36,160):{'3_1':0.0},(36,159):{'4_1':0.03,'3_1':0.0},(36,158):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(36,157):{'4_1':0.03,'3_1':0.0},(36,156):{'3_1':0.03,'4_1':0.03},(36,155):{'3_1':0.0,'4_1':0.0},(36,154):{'3_1':0.0,'4_1':0.0},(36,153):{'4_1':0.03,'3_1':0.0},(36,152):{'3_1':0.03,'4_1':0.0},(36,151):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(36,150):{'3_1':0.0,'4_1':0.0},(36,149):{'3_1':0.0,'4_1':0.0},(36,148):{'3_1':0.03,'4_1':0.0},(36,147):{'4_1':0.0,'3_1':0.0},(36,146):{'4_1':0.03,'3_1':0.0},(36,145):{'3_1':0.0,'4_1':0.0},(36,144):{'3_1':0.0},(36,143):{'3_1':0.03},(36,142):{'3_1':0.0},(36,141):{'3_1':0.0,'4_1':0.0},(36,139):{'3_1':0.03,'4_1':0.0},(36,138):{'3_1':0.03,'4_1':0.0},(36,137):{'4_1':0.0,'3_1':0.0},(36,136):{'3_1':0.0,'5_1':0.0},(36,135):{'3_1':0.0},(36,134):{'3_1':0.0},(36,133):{'3_1':0.03},(36,132):{'3_1':0.03},(36,131):{'3_1':0.03},(36,130):{'3_1':0.03},(36,129):{'3_1':0.0,'4_1':0.0},(36,128):{'3_1':0.0},(36,127):{'3_1':0.0,'4_1':0.0},(36,126):{'4_1':0.0,'3_1':0.0},(36,125):{'3_1':0.0},(36,124):{'3_1':0.03},(36,123):{'3_1':0.0},(36,122):{'3_1':0.0},(36,121):{'4_1':0.0},(36,119):{'3_1':0.0},(36,118):{'3_1':0.0},(36,117):{'3_1':0.0},(36,116):{'3_1':0.0},(36,115):{'3_1':0.0},(36,114):{'3_1':0.0},(36,113):{'3_1':0.0},(36,112):{'3_1':0.0},(36,111):{'3_1':0.0},(36,110):{'3_1':0.0},(36,107):{'3_1':0.0},(36,106):{'3_1':0.0},(36,105):{'3_1':0.0},(36,104):{'3_1':0.0},(36,103):{'3_1':0.03},(36,101):{'3_1':0.0},(36,99):{'3_1':0.0,'4_1':0.0},(36,97):{'3_1':0.0},(36,95):{'3_1':0.0},(36,92):{'3_1':0.0},(36,91):{'3_1':0.0},(36,42):{'3_1':0.0},(36,40):{'3_1':0.0},(37,290):{'3_1':0.63,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_6':0.0},(37,289):{'3_1':0.51,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(37,288):{'3_1':0.48,'5_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0},(37,287):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(37,286):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0},(37,285):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0},(37,284):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'6_2':0.0,'-3':0.0,'8_4':0.0},(37,283):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_7':0.0,'8_16':0.0,'1':-0.03},(37,282):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(37,281):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(37,280):{'3_1':0.12,'4_1':0.03,'6_1':0.03,'6_2':0.03,'5_1':0.0,'8_16':0.0,'8_6':0.0},(37,279):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.03},(37,278):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_16':0.0,'-3':0.0,'1':-0.03},(37,277):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'-3':0.0},(37,276):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_3':0.0},(37,275):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(37,274):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(37,273):{'3_1':0.06,'6_3':0.0,'4_1':0.0},(37,272):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'1':-0.03},(37,271):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(37,270):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_3':0.0},(37,269):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(37,268):{'3_1':0.12,'6_3':0.0,'4_1':0.0,'5_1':0.0},(37,267):{'3_1':0.12,'5_1':0.03},(37,266):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0},(37,265):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_7':0.0},(37,264):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(37,263):{'3_1':0.09,'5_1':0.0},(37,262):{'3_1':0.09},(37,261):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0},(37,260):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_3':0.0},(37,259):{'3_1':0.09,'5_1':0.0},(37,258):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(37,257):{'3_1':0.15,'5_1':0.0,'8_21|3_1#4_1':0.0},(37,256):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0},(37,255):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(37,254):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(37,253):{'3_1':0.09,'6_2':0.03,'4_1':0.0,'5_1':0.0},(37,252):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(37,251):{'3_1':0.06,'4_1':0.0},(37,250):{'3_1':0.03,'4_1':0.03},(37,249):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(37,248):{'3_1':0.12,'4_1':0.03},(37,247):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(37,246):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(37,245):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(37,244):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(37,243):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_9':0.0},(37,242):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(37,241):{'3_1':0.06,'5_2':0.0},(37,240):{'3_1':0.03,'4_1':0.03},(37,239):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(37,238):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(37,237):{'5_2':0.03,'3_1':0.0,'4_1':0.0},(37,236):{'3_1':0.03,'4_1':0.03},(37,235):{'4_1':0.03,'3_1':0.0},(37,234):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_4':0.0},(37,233):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(37,232):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(37,231):{'4_1':0.06,'3_1':0.0,'5_2':0.0},(37,230):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(37,229):{'4_1':0.06,'3_1':0.03},(37,228):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(37,227):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'7_5':0.0},(37,226):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(37,225):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(37,224):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(37,223):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(37,222):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(37,221):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(37,220):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0},(37,219):{'3_1':0.0,'4_1':0.0},(37,218):{'3_1':0.0,'4_1':0.0},(37,217):{'3_1':0.03},(37,216):{'3_1':0.03,'4_1':0.0},(37,215):{'3_1':0.03},(37,214):{'3_1':0.03,'4_1':0.0},(37,213):{'3_1':0.06,'4_1':0.0},(37,212):{'3_1':0.03},(37,211):{'3_1':0.0,'4_1':0.0},(37,210):{'3_1':0.03,'4_1':0.0},(37,209):{'4_1':0.03,'3_1':0.0},(37,208):{'3_1':0.09,'4_1':0.03,'8_21|3_1#4_1':0.0},(37,207):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(37,206):{'4_1':0.0},(37,205):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(37,204):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(37,203):{'3_1':0.03,'4_1':0.0},(37,202):{'3_1':0.0,'6_2':0.0,'6_3':0.0},(37,201):{'3_1':0.0,'4_1':0.0},(37,200):{'3_1':0.06,'4_1':0.0},(37,199):{'3_1':0.0},(37,198):{'3_1':0.0},(37,197):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(37,196):{'3_1':0.03,'4_1':0.0},(37,195):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(37,194):{'3_1':0.03},(37,193):{'3_1':0.0,'4_1':0.0},(37,192):{'3_1':0.03},(37,191):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(37,190):{'3_1':0.06},(37,189):{'3_1':0.03,'5_2':0.0},(37,188):{'3_1':0.0,'4_1':0.0,'-3':0.0},(37,187):{'3_1':0.03,'4_1':0.0},(37,186):{'3_1':0.03,'4_1':0.0},(37,185):{'3_1':0.06},(37,184):{'3_1':0.03,'8_21|3_1#4_1':0.0},(37,183):{'3_1':0.0,'8_20|3_1#3_1':0.0},(37,182):{'3_1':0.0},(37,181):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(37,180):{'3_1':0.03,'4_1':0.0},(37,179):{'3_1':0.06},(37,178):{'3_1':0.0},(37,177):{'3_1':0.06},(37,176):{'3_1':0.06},(37,175):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(37,174):{'3_1':0.0},(37,173):{'3_1':0.03},(37,172):{'3_1':0.03},(37,171):{'3_1':0.06},(37,170):{'3_1':0.06,'4_1':0.0},(37,169):{'3_1':0.0},(37,168):{'4_1':0.0,'3_1':0.0},(37,167):{'3_1':0.03},(37,166):{'3_1':0.03,'4_1':0.0},(37,165):{'3_1':0.03,'4_1':0.0},(37,164):{'3_1':0.06,'4_1':0.0},(37,163):{'3_1':0.03,'4_1':0.0},(37,162):{'3_1':0.03,'4_1':0.0},(37,161):{'3_1':0.03,'4_1':0.0},(37,160):{'3_1':0.03,'4_1':0.0},(37,159):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(37,158):{'3_1':0.03},(37,157):{'3_1':0.06},(37,156):{'3_1':0.0},(37,155):{'3_1':0.03,'4_1':0.0},(37,154):{'3_1':0.0,'4_1':0.0},(37,153):{'3_1':0.0},(37,152):{'4_1':0.0},(37,151):{'3_1':0.03,'4_1':0.0},(37,150):{'3_1':0.0,'4_1':0.0},(37,149):{'3_1':0.0,'4_1':0.0},(37,148):{'3_1':0.03,'4_1':0.0},(37,147):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(37,146):{'3_1':0.0,'4_1':0.0},(37,145):{'3_1':0.0},(37,144):{'3_1':0.0,'4_1':0.0},(37,143):{'3_1':0.03,'4_1':0.0},(37,142):{'3_1':0.03},(37,141):{'3_1':0.03,'4_1':0.0},(37,140):{'3_1':0.0,'4_1':0.0},(37,139):{'3_1':0.03,'4_1':0.0},(37,138):{'4_1':0.0},(37,137):{'3_1':0.0,'4_1':0.0},(37,136):{'3_1':0.0},(37,135):{'3_1':0.0,'4_1':0.0},(37,134):{'3_1':0.03},(37,133):{'3_1':0.0},(37,132):{'3_1':0.03},(37,131):{'3_1':0.03},(37,130):{'3_1':0.0},(37,129):{'3_1':0.06},(37,128):{'3_1':0.0},(37,127):{'3_1':0.03},(37,126):{'3_1':0.0},(37,125):{'3_1':0.0},(37,124):{'3_1':0.0},(37,123):{'3_1':0.0},(37,122):{'3_1':0.0},(37,121):{'3_1':0.03},(37,120):{'3_1':0.0},(37,119):{'3_1':0.0},(37,118):{'3_1':0.0},(37,117):{'3_1':0.0},(37,116):{'3_1':0.0},(37,115):{'3_1':0.0},(37,114):{'3_1':0.0},(37,113):{'3_1':0.0},(37,110):{'3_1':0.0},(37,109):{'3_1':0.0},(37,108):{'3_1':0.0},(37,107):{'3_1':0.0},(37,106):{'3_1':0.0,'5_2':0.0},(37,105):{'3_1':0.0},(37,104):{'3_1':0.0},(37,103):{'3_1':0.0},(37,101):{'3_1':0.0},(37,100):{'3_1':0.0},(37,99):{'3_1':0.0},(37,98):{'3_1':0.0},(37,97):{'3_1':0.0},(37,96):{'3_1':0.0},(37,93):{'3_1':0.0},(37,92):{'3_1':0.0},(37,91):{'3_1':0.0},(37,89):{'3_1':0.0},(37,88):{'3_1':0.0},(37,87):{'3_1':0.0},(37,84):{'3_1':0.0},(37,83):{'3_1':0.0},(37,78):{'3_1':0.0},(37,44):{'3_1':0.0},(37,41):{'3_1':0.0},(38,290):{'3_1':0.54,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0},(38,289):{'3_1':0.45,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'-3':0.0},(38,288):{'3_1':0.33,'5_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(38,287):{'3_1':0.45,'5_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(38,286):{'3_1':0.36,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_4':0.0,'7_5':0.0,'-3':0.0},(38,285):{'3_1':0.24,'5_1':0.06,'5_2':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(38,284):{'3_1':0.33,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_17':0.0},(38,283):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(38,282):{'3_1':0.15,'5_1':0.06,'6_2':0.0,'4_1':0.0,'-3':0.0},(38,281):{'3_1':0.12,'4_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_4':0.0,'8_17':0.0,'-3':0.0},(38,280):{'3_1':0.18,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0},(38,279):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_3':0.0,'8_16':0.0},(38,278):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0},(38,277):{'3_1':0.12,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0},(38,276):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'-3':0.0},(38,275):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(38,274):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(38,273):{'3_1':0.09,'4_1':0.03,'-3':0.0,'5_2':0.0},(38,272):{'3_1':0.12,'6_1':0.0,'6_3':0.0},(38,271):{'3_1':0.06,'5_2':0.0},(38,270):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(38,269):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_7':0.0,'8_17':0.0},(38,268):{'3_1':0.15,'6_3':0.0,'5_1':0.0,'5_2':0.0},(38,267):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(38,266):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(38,265):{'3_1':0.06,'5_2':0.0,'8_13':0.0},(38,264):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(38,263):{'3_1':0.06,'4_1':0.0},(38,262):{'3_1':0.06,'5_1':0.03,'5_2':0.0},(38,261):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(38,260):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(38,259):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'-3':0.0},(38,258):{'3_1':0.15,'5_1':0.0,'6_3':0.0,'8_19':0.0},(38,257):{'3_1':0.15,'6_2':0.0,'8_20|3_1#3_1':0.0},(38,256):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(38,255):{'3_1':0.12,'4_1':0.03},(38,254):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(38,253):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(38,252):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(38,251):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(38,250):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(38,249):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(38,248):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_9':0.0},(38,247):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(38,246):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(38,245):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(38,244):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(38,243):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(38,242):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(38,241):{'3_1':0.03,'4_1':0.0},(38,240):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(38,239):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(38,238):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(38,237):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(38,236):{'3_1':0.03,'4_1':0.0,'7_7':0.0},(38,235):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(38,234):{'3_1':0.06,'4_1':0.03},(38,233):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(38,232):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(38,231):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(38,230):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(38,229):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(38,228):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(38,227):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(38,226):{'3_1':0.09,'4_1':0.0},(38,225):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_3':0.0},(38,224):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(38,223):{'3_1':0.03,'6_1':0.0},(38,222):{'4_1':0.06,'3_1':0.03},(38,221):{'3_1':0.06,'4_1':0.06},(38,220):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(38,219):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(38,218):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_2':0.0},(38,217):{'3_1':0.06,'4_1':0.06},(38,216):{'3_1':0.03,'4_1':0.0},(38,215):{'3_1':0.03,'4_1':0.0},(38,214):{'3_1':0.03,'4_1':0.0},(38,213):{'3_1':0.03,'4_1':0.0},(38,212):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(38,211):{'3_1':0.03},(38,210):{'3_1':0.03,'4_1':0.0},(38,209):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(38,208):{'3_1':0.03},(38,207):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(38,206):{'3_1':0.03,'4_1':0.0},(38,205):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(38,204):{'3_1':0.09,'4_1':0.0},(38,203):{'3_1':0.0},(38,202):{'3_1':0.06,'4_1':0.0},(38,201):{'3_1':0.0,'7_6':0.0},(38,200):{'3_1':0.03},(38,199):{'3_1':0.0},(38,198):{'3_1':0.0,'4_1':0.0},(38,197):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(38,196):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(38,195):{'3_1':0.03},(38,194):{'3_1':0.0},(38,193):{'3_1':0.0,'4_1':0.0},(38,192):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(38,191):{'3_1':0.03},(38,190):{'3_1':0.0,'4_1':0.0},(38,189):{'3_1':0.03},(38,188):{'3_1':0.03},(38,187):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(38,186):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(38,185):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(38,184):{'3_1':0.03,'4_1':0.0},(38,183):{'3_1':0.03,'4_1':0.0},(38,182):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(38,181):{'3_1':0.0,'4_1':0.0},(38,180):{'3_1':0.0},(38,179):{'4_1':0.0,'3_1':0.0},(38,178):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(38,177):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(38,176):{'3_1':0.0,'4_1':0.0},(38,175):{'3_1':0.0,'4_1':0.0},(38,174):{'3_1':0.03,'4_1':0.0},(38,173):{'3_1':0.0},(38,172):{'3_1':0.0},(38,171):{'3_1':0.03},(38,170):{'3_1':0.03},(38,169):{'3_1':0.06},(38,168):{'3_1':0.03},(38,167):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(38,166):{'3_1':0.0,'4_1':0.0},(38,165):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(38,164):{'3_1':0.03,'4_1':0.0},(38,163):{'3_1':0.03},(38,162):{'3_1':0.0,'4_1':0.0},(38,161):{'3_1':0.03,'4_1':0.0},(38,160):{'3_1':0.03,'4_1':0.0},(38,159):{'3_1':0.0,'4_1':0.0},(38,158):{'3_1':0.0},(38,157):{'3_1':0.0,'4_1':0.0},(38,156):{'3_1':0.03,'4_1':0.0},(38,155):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(38,154):{'3_1':0.03,'4_1':0.0},(38,153):{'3_1':0.03},(38,152):{'3_1':0.0,'4_1':0.0},(38,151):{'3_1':0.0},(38,150):{'3_1':0.03},(38,149):{'3_1':0.03},(38,148):{'3_1':0.06,'4_1':0.0},(38,147):{'3_1':0.03,'4_1':0.0},(38,146):{'4_1':0.03,'3_1':0.0},(38,145):{'3_1':0.03,'4_1':0.0},(38,144):{'3_1':0.03,'4_1':0.0},(38,143):{'3_1':0.03,'4_1':0.0},(38,142):{'3_1':0.0},(38,141):{'3_1':0.0,'4_1':0.0},(38,140):{'3_1':0.03},(38,139):{'3_1':0.0,'4_1':0.0},(38,138):{'3_1':0.0},(38,137):{'3_1':0.0},(38,136):{'3_1':0.0},(38,135):{'3_1':0.03},(38,134):{'3_1':0.0},(38,133):{'3_1':0.03},(38,132):{'3_1':0.0},(38,131):{'3_1':0.06,'5_2':0.0},(38,130):{'3_1':0.0},(38,129):{'3_1':0.0},(38,128):{'3_1':0.03,'5_2':0.0},(38,127):{'3_1':0.0},(38,126):{'3_1':0.03},(38,125):{'3_1':0.03},(38,124):{'3_1':0.0},(38,123):{'3_1':0.03},(38,122):{'3_1':0.0},(38,121):{'3_1':0.0},(38,119):{'3_1':0.0},(38,118):{'3_1':0.03},(38,117):{'3_1':0.0},(38,116):{'3_1':0.0},(38,115):{'3_1':0.0},(38,113):{'3_1':0.0},(38,112):{'3_1':0.0},(38,111):{'3_1':0.0},(38,110):{'3_1':0.0},(38,109):{'3_1':0.0},(38,107):{'3_1':0.0},(38,104):{'3_1':0.0},(38,101):{'3_1':0.0},(38,100):{'3_1':0.0},(38,99):{'3_1':0.0},(38,97):{'3_1':0.0},(38,96):{'3_1':0.0,'4_1':0.0},(38,94):{'3_1':0.0},(38,93):{'3_1':0.0},(38,92):{'3_1':0.0},(38,89):{'3_1':0.0},(38,85):{'3_1':0.0},(38,83):{'3_1':0.0},(38,81):{'3_1':0.0},(38,42):{'3_1':0.0},(39,290):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(39,289):{'3_1':0.36,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(39,288):{'3_1':0.45,'4_1':0.06,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(39,287):{'3_1':0.48,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(39,286):{'3_1':0.36,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(39,285):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(39,284):{'3_1':0.3,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(39,283):{'3_1':0.21,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_17':0.0},(39,282):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(39,281):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_16':0.0},(39,280):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(39,279):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'6_2':0.0,'-3':0.0},(39,278):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(39,277):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(39,276):{'3_1':0.06,'4_1':0.06,'7_6':0.0,'6_2':0.0,'6_3':0.0},(39,275):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(39,274):{'3_1':0.12,'4_1':0.0},(39,273):{'3_1':0.09,'4_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(39,272):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(39,271):{'3_1':0.06,'4_1':0.0},(39,270):{'3_1':0.12,'5_2':0.0,'6_3':0.0,'8_5':0.0},(39,269):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(39,268):{'3_1':0.09,'4_1':0.0},(39,267):{'3_1':0.06,'4_1':0.0},(39,266):{'3_1':0.09},(39,265):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(39,264):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(39,263):{'3_1':0.09,'5_2':0.0},(39,262):{'3_1':0.06,'4_1':0.0},(39,261):{'3_1':0.15,'6_3':0.0},(39,260):{'3_1':0.09,'5_2':0.0},(39,259):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(39,258):{'3_1':0.15,'4_1':0.0},(39,257):{'3_1':0.12,'4_1':0.0,'7_3':0.0},(39,256):{'3_1':0.06,'5_1':0.0},(39,255):{'3_1':0.06,'6_2':0.0},(39,254):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(39,253):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'7_6':0.0},(39,252):{'3_1':0.06,'4_1':0.0},(39,251):{'3_1':0.06,'5_1':0.0},(39,250):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(39,249):{'3_1':0.03,'4_1':0.0},(39,248):{'3_1':0.06,'4_1':0.0,'8_9':0.0},(39,247):{'3_1':0.15,'5_2':0.0},(39,246):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'6_3':0.0},(39,245):{'3_1':0.06},(39,244):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(39,243):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(39,242):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(39,241):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(39,240):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(39,239):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(39,238):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(39,237):{'3_1':0.0,'4_1':0.0},(39,236):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(39,235):{'3_1':0.03,'4_1':0.03},(39,234):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(39,233):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0},(39,232):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(39,231):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(39,230):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(39,229):{'3_1':0.15,'4_1':0.0},(39,228):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(39,227):{'3_1':0.15,'4_1':0.03},(39,226):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(39,225):{'3_1':0.12,'4_1':0.0},(39,224):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(39,223):{'3_1':0.03,'4_1':0.0},(39,222):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(39,221):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(39,220):{'3_1':0.12,'5_2':0.0},(39,219):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(39,218):{'3_1':0.03,'4_1':0.0},(39,217):{'4_1':0.06,'3_1':0.0},(39,216):{'3_1':0.0,'5_2':0.0},(39,215):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(39,214):{'3_1':0.03,'4_1':0.0},(39,213):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(39,212):{'3_1':0.03,'5_2':0.0},(39,211):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(39,210):{'3_1':0.03},(39,209):{'3_1':0.06,'4_1':0.0},(39,208):{'3_1':0.03,'4_1':0.0},(39,207):{'3_1':0.03},(39,206):{'3_1':0.03,'6_3':0.0,'5_2':0.0},(39,205):{'3_1':0.03},(39,204):{'3_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(39,203):{'4_1':0.0,'3_1':0.0},(39,202):{'4_1':0.0,'3_1':0.0},(39,201):{'3_1':0.0,'4_1':0.0},(39,200):{'3_1':0.0},(39,199):{'3_1':0.0,'4_1':0.0},(39,198):{'3_1':0.0,'4_1':0.0},(39,197):{'3_1':0.03},(39,196):{'3_1':0.0,'4_1':0.0},(39,195):{'3_1':0.0},(39,194):{'3_1':0.03,'6_2':0.0},(39,193):{'3_1':0.03,'-3':0.0},(39,192):{'3_1':0.03,'4_1':0.0,'7_7':0.0},(39,191):{'3_1':0.03,'4_1':0.0},(39,190):{'4_1':0.0,'8_21|3_1#4_1':0.0},(39,189):{'3_1':0.06,'4_1':0.0},(39,188):{'3_1':0.0,'4_1':0.0},(39,187):{'3_1':0.03},(39,186):{'4_1':0.0},(39,185):{'3_1':0.0,'4_1':0.0},(39,184):{'3_1':0.0,'4_1':0.0},(39,183):{'3_1':0.03,'4_1':0.0},(39,182):{'3_1':0.03,'4_1':0.0},(39,181):{'3_1':0.0,'4_1':0.0},(39,180):{'3_1':0.0,'4_1':0.0},(39,179):{'3_1':0.0,'4_1':0.0},(39,178):{'3_1':0.03,'4_1':0.0},(39,177):{'3_1':0.0,'4_1':0.0},(39,176):{'3_1':0.03,'4_1':0.0},(39,175):{'3_1':0.0,'4_1':0.0},(39,174):{'3_1':0.0,'4_1':0.0},(39,173):{'3_1':0.03},(39,172):{'3_1':0.06},(39,171):{'3_1':0.03,'4_1':0.0},(39,170):{'3_1':0.0},(39,169):{'3_1':0.03,'4_1':0.0},(39,168):{'3_1':0.03},(39,167):{'3_1':0.03},(39,166):{'3_1':0.03},(39,165):{'3_1':0.06,'4_1':0.0},(39,164):{'3_1':0.03,'4_1':0.0},(39,163):{'3_1':0.03,'4_1':0.0},(39,162):{'3_1':0.03,'4_1':0.0},(39,161):{'3_1':0.06},(39,160):{'3_1':0.0,'4_1':0.0},(39,159):{'3_1':0.03,'4_1':0.0},(39,158):{'3_1':0.06,'4_1':0.0},(39,157):{'3_1':0.0,'4_1':0.0},(39,156):{'3_1':0.0},(39,155):{'3_1':0.0},(39,154):{'3_1':0.03,'4_1':0.0},(39,153):{'3_1':0.06},(39,152):{'3_1':0.0,'4_1':0.0},(39,151):{'3_1':0.06,'4_1':0.0},(39,150):{'3_1':0.0},(39,149):{'3_1':0.03,'4_1':0.0},(39,148):{'3_1':0.03},(39,147):{'3_1':0.0,'4_1':0.0},(39,146):{'3_1':0.06,'4_1':0.0},(39,145):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(39,144):{'3_1':0.03,'4_1':0.03},(39,143):{'3_1':0.03},(39,142):{'3_1':0.0,'4_1':0.0},(39,141):{'3_1':0.0,'4_1':0.0},(39,140):{'3_1':0.0,'4_1':0.0},(39,139):{'3_1':0.0},(39,138):{'3_1':0.0,'4_1':0.0},(39,137):{'3_1':0.03,'4_1':0.0},(39,136):{'3_1':0.0,'4_1':0.0},(39,135):{'3_1':0.0,'4_1':0.0},(39,134):{'3_1':0.03},(39,133):{'3_1':0.03},(39,132):{'3_1':0.0},(39,131):{'3_1':0.0},(39,130):{'3_1':0.0},(39,129):{'3_1':0.0},(39,128):{'3_1':0.03},(39,127):{'3_1':0.03,'4_1':0.0},(39,126):{'3_1':0.03,'4_1':0.0},(39,125):{'3_1':0.0,'4_1':0.0},(39,124):{'3_1':0.0},(39,123):{'3_1':0.03},(39,122):{'3_1':0.0},(39,121):{'3_1':0.03},(39,120):{'3_1':0.03},(39,119):{'3_1':0.0},(39,118):{'3_1':0.0},(39,117):{'3_1':0.0},(39,116):{'3_1':0.0},(39,115):{'3_1':0.0,'4_1':0.0},(39,114):{'3_1':0.0},(39,113):{'3_1':0.03},(39,112):{'3_1':0.0},(39,111):{'3_1':0.0},(39,110):{'3_1':0.0},(39,109):{'3_1':0.0},(39,107):{'3_1':0.0,'4_1':0.0},(39,106):{'3_1':0.0},(39,105):{'3_1':0.0},(39,104):{'3_1':0.0},(39,103):{'3_1':0.03},(39,102):{'3_1':0.0},(39,101):{'3_1':0.0},(39,99):{'3_1':0.0},(39,98):{'3_1':0.0},(39,97):{'3_1':0.0},(39,94):{'3_1':0.0},(39,93):{'3_1':0.0},(39,92):{'3_1':0.0},(39,91):{'3_1':0.0},(39,86):{'3_1':0.0},(39,83):{'3_1':0.0},(39,82):{'3_1':0.0},(39,80):{'3_1':0.0},(39,43):{'3_1':0.0},(40,290):{'3_1':0.57,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0},(40,289):{'3_1':0.51,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(40,288):{'3_1':0.51,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(40,287):{'3_1':0.36,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_5':0.0,'8_9':0.0},(40,286):{'3_1':0.42,'4_1':0.03,'6_2':0.03,'5_1':0.03,'5_2':0.0,'-3':0.0},(40,285):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(40,284):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(40,283):{'3_1':0.21,'4_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0},(40,282):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.03,'7_3':0.0,'-3':0.0},(40,281):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(40,280):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_2':0.0},(40,279):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(40,278):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_16':0.0},(40,277):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(40,276):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_11':0.0},(40,275):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_13':0.0,'8_16':0.0,'-3':0.0},(40,274):{'3_1':0.12,'4_1':0.0},(40,273):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(40,272):{'3_1':0.12,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0},(40,271):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(40,270):{'3_1':0.06},(40,269):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(40,268):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(40,267):{'3_1':0.06,'7_6':0.0},(40,266):{'3_1':0.09},(40,265):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(40,264):{'3_1':0.09,'5_1':0.0,'-3':0.0},(40,263):{'3_1':0.06,'5_1':0.0},(40,262):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(40,261):{'3_1':0.03,'5_1':0.0,'8_7':0.0},(40,260):{'3_1':0.03,'5_1':0.0},(40,259):{'3_1':0.09,'5_2':0.0},(40,258):{'3_1':0.15,'8_20|3_1#3_1':0.0,'8_18':0.0,'3_1#5_1':0.0},(40,257):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(40,256):{'3_1':0.09,'5_1':0.0,'6_3':0.0,'8_9':0.0},(40,255):{'3_1':0.15,'4_1':0.0},(40,254):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(40,253):{'3_1':0.15,'6_2':0.0,'4_1':0.0},(40,252):{'3_1':0.09,'4_1':0.0},(40,251):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(40,250):{'3_1':0.03,'4_1':0.0},(40,249):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(40,248):{'3_1':0.09,'8_5':0.0},(40,247):{'3_1':0.09,'5_1':0.0,'6_3':0.0,'8_9':0.0},(40,246):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(40,245):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(40,244):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(40,243):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(40,242):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(40,241):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(40,240):{'3_1':0.06,'5_1':0.0},(40,239):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(40,238):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(40,237):{'4_1':0.03,'3_1':0.0},(40,236):{'3_1':0.0,'4_1':0.0},(40,235):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(40,234):{'3_1':0.06,'6_2':0.03},(40,233):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(40,232):{'3_1':0.03,'8_20|3_1#3_1':0.0},(40,231):{'3_1':0.09,'6_3':0.0},(40,230):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(40,229):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(40,228):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(40,227):{'3_1':0.06,'4_1':0.0},(40,226):{'3_1':0.03,'4_1':0.0},(40,225):{'3_1':0.09,'4_1':0.0},(40,224):{'3_1':0.06},(40,223):{'3_1':0.09,'4_1':0.0},(40,222):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(40,221):{'3_1':0.09,'4_1':0.0},(40,220):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(40,219):{'3_1':0.03,'4_1':0.0},(40,218):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(40,217):{'3_1':0.03,'4_1':0.0},(40,216):{'3_1':0.06},(40,215):{'3_1':0.03,'4_1':0.0},(40,214):{'3_1':0.0},(40,213):{'3_1':0.0},(40,212):{'3_1':0.0,'4_1':0.0},(40,211):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(40,210):{'3_1':0.06,'6_3':0.0},(40,209):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(40,208):{'3_1':0.09,'4_1':0.0},(40,207):{'3_1':0.0},(40,206):{'3_1':0.03},(40,205):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(40,204):{'3_1':0.0,'4_1':0.0},(40,203):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(40,202):{'3_1':0.03,'6_3':0.0},(40,201):{'3_1':0.0,'4_1':0.0},(40,200):{'3_1':0.0},(40,199):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(40,198):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(40,197):{'3_1':0.03,'8_21|3_1#4_1':0.0},(40,196):{'3_1':0.0},(40,195):{'3_1':0.0,'4_1':0.0},(40,194):{'3_1':0.03,'6_2':0.0},(40,193):{'3_1':0.0},(40,192):{'3_1':0.03,'4_1':0.0},(40,191):{'3_1':0.03},(40,190):{'3_1':0.0,'4_1':0.0},(40,189):{'3_1':0.06},(40,188):{'3_1':0.03,'4_1':0.0},(40,187):{'3_1':0.0},(40,186):{'3_1':0.0,'4_1':0.0},(40,185):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(40,184):{'3_1':0.03,'4_1':0.03},(40,183):{'3_1':0.06,'4_1':0.0},(40,182):{'3_1':0.0,'4_1':0.0},(40,181):{'3_1':0.03,'4_1':0.0},(40,180):{'3_1':0.0,'4_1':0.0},(40,179):{'3_1':0.0,'4_1':0.0},(40,178):{'3_1':0.03,'5_1':0.0},(40,177):{'3_1':0.0,'4_1':0.0},(40,176):{'3_1':0.0,'4_1':0.0},(40,175):{'3_1':0.03,'4_1':0.0},(40,174):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(40,173):{'3_1':0.03,'4_1':0.0},(40,172):{'3_1':0.03,'4_1':0.0},(40,171):{'3_1':0.0,'5_2':0.0},(40,170):{'3_1':0.03,'4_1':0.0},(40,169):{'3_1':0.03},(40,168):{'3_1':0.03},(40,167):{'3_1':0.0,'4_1':0.0},(40,166):{'3_1':0.03},(40,165):{'3_1':0.06},(40,164):{'3_1':0.0,'4_1':0.0},(40,163):{'3_1':0.06,'4_1':0.0},(40,162):{'3_1':0.0},(40,161):{'3_1':0.0,'4_1':0.0},(40,160):{'3_1':0.06,'4_1':0.0},(40,159):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(40,158):{'3_1':0.03},(40,157):{'3_1':0.03,'4_1':0.0},(40,156):{'3_1':0.03,'6_3':0.0},(40,155):{'3_1':0.03,'4_1':0.0},(40,154):{'3_1':0.03},(40,153):{'3_1':0.03,'4_1':0.0},(40,152):{'3_1':0.03,'4_1':0.0},(40,151):{'3_1':0.03,'4_1':0.0},(40,150):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(40,149):{'3_1':0.09,'4_1':0.0},(40,148):{'3_1':0.03,'4_1':0.0},(40,147):{'3_1':0.0},(40,146):{'3_1':0.0},(40,145):{'3_1':0.03,'4_1':0.0},(40,144):{'3_1':0.03},(40,143):{'3_1':0.06},(40,142):{'3_1':0.03},(40,141):{'3_1':0.03},(40,140):{'3_1':0.0},(40,139):{'3_1':0.0},(40,138):{'3_1':0.0},(40,137):{'3_1':0.03},(40,136):{'3_1':0.03,'5_2':0.0},(40,135):{'3_1':0.0,'5_2':0.0},(40,134):{'3_1':0.06},(40,133):{'3_1':0.0},(40,132):{'3_1':0.06},(40,131):{'3_1':0.0},(40,130):{'3_1':0.03},(40,129):{'3_1':0.0},(40,128):{'3_1':0.03},(40,127):{'3_1':0.03},(40,126):{'3_1':0.0},(40,125):{'3_1':0.03,'4_1':0.0},(40,123):{'3_1':0.0},(40,122):{'3_1':0.0},(40,121):{'3_1':0.0},(40,120):{'3_1':0.0},(40,119):{'3_1':0.0},(40,118):{'3_1':0.0},(40,117):{'3_1':0.0},(40,116):{'3_1':0.0},(40,115):{'3_1':0.0},(40,113):{'3_1':0.0},(40,112):{'3_1':0.0},(40,111):{'3_1':0.0},(40,110):{'3_1':0.0},(40,109):{'3_1':0.0},(40,108):{'3_1':0.03},(40,107):{'3_1':0.03},(40,106):{'3_1':0.0},(40,105):{'3_1':0.0},(40,104):{'3_1':0.0},(40,103):{'3_1':0.0},(40,102):{'3_1':0.0},(40,101):{'3_1':0.0},(40,100):{'3_1':0.0},(40,99):{'3_1':0.0},(40,98):{'3_1':0.0},(40,97):{'3_1':0.0},(40,96):{'3_1':0.0},(40,94):{'3_1':0.0},(40,88):{'3_1':0.0},(40,83):{'3_1':0.0},(40,82):{'3_1':0.0},(40,44):{'3_1':0.0},(41,290):{'3_1':0.48,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0},(41,289):{'3_1':0.45,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0},(41,288):{'3_1':0.39,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_2':0.03,'8_13':0.0},(41,287):{'3_1':0.33,'5_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0},(41,286):{'3_1':0.21,'5_1':0.06,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0,'1':-0.03},(41,285):{'3_1':0.27,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(41,284):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(41,283):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'-3':0.0},(41,282):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0},(41,281):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(41,280):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_2':0.0,'6_3':0.0,'8_11':0.0,'-3':0.0},(41,279):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(41,278):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_13':0.0,'-3':0.0},(41,277):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_2':0.0},(41,276):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0},(41,275):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_16':0.0},(41,274):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_4':0.0},(41,273):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(41,272):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(41,271):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(41,270):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_13':0.0},(41,269):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(41,268):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_2':0.0},(41,267):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(41,266):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0},(41,265):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(41,264):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(41,263):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0},(41,262):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(41,261):{'3_1':0.09,'5_1':0.0},(41,260):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(41,259):{'3_1':0.09},(41,258):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(41,257):{'3_1':0.09,'5_1':0.0},(41,256):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(41,255):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(41,254):{'3_1':0.03,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(41,253):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_5':0.0},(41,252):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(41,251):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(41,250):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0},(41,249):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(41,248):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(41,247):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(41,246):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(41,245):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(41,244):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(41,243):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(41,242):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(41,241):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(41,240):{'3_1':0.06,'4_1':0.0},(41,239):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(41,238):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0},(41,237):{'3_1':0.03,'4_1':0.03},(41,236):{'3_1':0.06,'4_1':0.03,'7_7':0.0},(41,235):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(41,234):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_3':0.0},(41,233):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(41,232):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(41,231):{'4_1':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(41,230):{'3_1':0.06,'4_1':0.0},(41,229):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(41,228):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(41,227):{'3_1':0.03,'4_1':0.0},(41,226):{'3_1':0.09},(41,225):{'3_1':0.09,'4_1':0.0},(41,224):{'3_1':0.06,'6_1':0.0,'6_3':0.0},(41,223):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(41,222):{'3_1':0.12,'4_1':0.0},(41,221):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(41,220):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(41,219):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(41,218):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(41,217):{'3_1':0.03,'4_1':0.0},(41,216):{'3_1':0.03,'4_1':0.0},(41,215):{'3_1':0.0,'4_1':0.0},(41,214):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(41,213):{'3_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(41,212):{'3_1':0.0,'4_1':0.0},(41,211):{'3_1':0.0},(41,210):{'3_1':0.03,'4_1':0.0},(41,209):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(41,208):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(41,207):{'3_1':0.06,'4_1':0.0},(41,206):{'3_1':0.03,'6_3':0.0},(41,205):{'3_1':0.03,'4_1':0.0},(41,204):{'3_1':0.03,'4_1':0.0},(41,203):{'3_1':0.03,'4_1':0.0},(41,202):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(41,201):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(41,200):{'3_1':0.03,'4_1':0.0},(41,198):{'3_1':0.03,'4_1':0.03},(41,197):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(41,196):{'3_1':0.03,'4_1':0.0},(41,195):{'3_1':0.03,'4_1':0.0,'-3':0.0},(41,194):{'3_1':0.0},(41,193):{'3_1':0.0,'6_2':0.0},(41,192):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(41,191):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(41,190):{'3_1':0.03,'4_1':0.0},(41,189):{'3_1':0.03,'4_1':0.0},(41,188):{'3_1':0.03,'6_2':0.0},(41,187):{'3_1':0.03},(41,186):{'3_1':0.06,'4_1':0.0},(41,185):{'3_1':0.0,'4_1':0.0},(41,184):{'3_1':0.03,'4_1':0.0},(41,183):{'3_1':0.03,'4_1':0.0},(41,182):{'3_1':0.03,'4_1':0.0},(41,181):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(41,180):{'3_1':0.06,'4_1':0.0},(41,179):{'3_1':0.03,'4_1':0.0},(41,178):{'3_1':0.03,'4_1':0.0},(41,177):{'3_1':0.03,'4_1':0.0},(41,176):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(41,175):{'3_1':0.06},(41,174):{'3_1':0.03},(41,173):{'3_1':0.06,'4_1':0.0},(41,172):{'3_1':0.03,'4_1':0.0},(41,171):{'3_1':0.03},(41,170):{'3_1':0.03,'4_1':0.0},(41,169):{'3_1':0.03},(41,168):{'3_1':0.03},(41,167):{'3_1':0.03},(41,166):{'3_1':0.06,'8_20|3_1#3_1':0.0},(41,165):{'3_1':0.06},(41,164):{'3_1':0.03},(41,163):{'3_1':0.06},(41,162):{'3_1':0.03,'5_2':0.0},(41,161):{'3_1':0.03,'4_1':0.0},(41,160):{'3_1':0.03,'4_1':0.0},(41,159):{'3_1':0.03},(41,158):{'3_1':0.03},(41,157):{'3_1':0.03,'4_1':0.0},(41,156):{'3_1':0.0},(41,155):{'3_1':0.03,'4_1':0.0},(41,154):{'3_1':0.03,'4_1':0.0},(41,153):{'3_1':0.0,'4_1':0.0},(41,152):{'3_1':0.0,'5_2':0.0},(41,151):{'3_1':0.03,'4_1':0.0},(41,150):{'3_1':0.0,'4_1':0.0},(41,149):{'3_1':0.06,'4_1':0.0},(41,148):{'3_1':0.03,'4_1':0.0},(41,147):{'3_1':0.06,'4_1':0.0},(41,146):{'3_1':0.0,'4_1':0.0},(41,145):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(41,144):{'3_1':0.03},(41,143):{'3_1':0.06,'4_1':0.0},(41,142):{'3_1':0.03},(41,141):{'3_1':0.03},(41,140):{'3_1':0.03},(41,139):{'3_1':0.03},(41,138):{'4_1':0.0,'3_1':0.0},(41,137):{'3_1':0.0,'4_1':0.0},(41,136):{'3_1':0.0,'4_1':0.0},(41,135):{'3_1':0.03},(41,134):{'3_1':0.0},(41,133):{'3_1':0.03},(41,132):{'3_1':0.03},(41,131):{'3_1':0.06},(41,130):{'3_1':0.03},(41,129):{'3_1':0.06},(41,128):{'3_1':0.0},(41,127):{'3_1':0.03},(41,126):{'3_1':0.03},(41,125):{'3_1':0.03},(41,124):{'3_1':0.03},(41,123):{'3_1':0.03},(41,122):{'3_1':0.03},(41,121):{'3_1':0.03},(41,120):{'3_1':0.0},(41,119):{'3_1':0.0},(41,118):{'3_1':0.0},(41,117):{'3_1':0.0},(41,116):{'3_1':0.03},(41,115):{'3_1':0.0},(41,114):{'3_1':0.0,'4_1':0.0},(41,112):{'3_1':0.0},(41,111):{'3_1':0.0},(41,110):{'3_1':0.0},(41,109):{'3_1':0.0},(41,108):{'3_1':0.0},(41,107):{'3_1':0.0},(41,106):{'3_1':0.0},(41,105):{'3_1':0.03},(41,104):{'3_1':0.0},(41,103):{'3_1':0.0},(41,102):{'3_1':0.0},(41,101):{'3_1':0.0},(41,100):{'3_1':0.0},(41,99):{'3_1':0.0},(41,97):{'3_1':0.0},(41,96):{'3_1':0.0},(41,95):{'3_1':0.0},(41,94):{'3_1':0.0},(41,93):{'3_1':0.0},(41,92):{'3_1':0.0},(41,91):{'3_1':0.0},(41,89):{'3_1':0.0},(41,88):{'3_1':0.0},(41,87):{'3_1':0.0},(41,86):{'3_1':0.03},(41,85):{'3_1':0.0},(41,82):{'3_1':0.0},(41,80):{'3_1':0.0},(42,290):{'3_1':0.54,'5_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(42,289):{'3_1':0.39,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(42,288):{'3_1':0.33,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_4':0.0},(42,287):{'3_1':0.33,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(42,286):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'6_2':0.03,'7_4':0.0,'5_2':0.0},(42,285):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(42,284):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0},(42,283):{'3_1':0.18,'4_1':0.06,'6_2':0.03,'5_1':0.0,'7_4':0.0},(42,282):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(42,281):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(42,280):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_3':0.0},(42,279):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'8_13':0.0},(42,278):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(42,277):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(42,276):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_3':0.0},(42,275):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(42,274):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(42,273):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(42,272):{'3_1':0.12,'7_5':0.0,'-3':0.0},(42,271):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_16':0.0},(42,270):{'3_1':0.09,'4_1':0.0},(42,269):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(42,268):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(42,267):{'3_1':0.09,'5_2':0.0},(42,266):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(42,265):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(42,264):{'3_1':0.06,'5_2':0.0},(42,263):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(42,262):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'4_1':0.0},(42,261):{'3_1':0.09,'8_5':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(42,260):{'3_1':0.03,'4_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(42,259):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(42,258):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(42,257):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(42,256):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'-3':0.0},(42,255):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(42,254):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(42,253):{'3_1':0.12,'5_1':0.0,'7_2':0.0},(42,252):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'-3':0.0},(42,251):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0},(42,250):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(42,249):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(42,248):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(42,247):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(42,246):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(42,245):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_9':0.0},(42,244):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(42,243):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(42,242):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(42,241):{'3_1':0.03,'4_1':0.03},(42,240):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(42,239):{'3_1':0.03,'4_1':0.0},(42,238):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(42,237):{'3_1':0.0,'6_2':0.0},(42,236):{'3_1':0.06,'4_1':0.0},(42,235):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(42,234):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0},(42,233):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0},(42,232):{'3_1':0.06,'4_1':0.0,'7_7':0.0},(42,231):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(42,230):{'3_1':0.03,'4_1':0.0},(42,229):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(42,228):{'3_1':0.06,'4_1':0.0},(42,227):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(42,226):{'3_1':0.06,'4_1':0.0},(42,225):{'3_1':0.06,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(42,224):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(42,223):{'3_1':0.12,'4_1':0.03},(42,222):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(42,221):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(42,220):{'3_1':0.09,'4_1':0.03},(42,219):{'3_1':0.06,'4_1':0.0},(42,218):{'3_1':0.09,'4_1':0.03},(42,217):{'3_1':0.06,'4_1':0.0},(42,216):{'3_1':0.09,'4_1':0.0},(42,215):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(42,214):{'3_1':0.06},(42,213):{'3_1':0.03,'4_1':0.0},(42,212):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(42,211):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(42,210):{'3_1':0.0,'4_1':0.0},(42,209):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(42,208):{'3_1':0.06,'4_1':0.03},(42,207):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(42,206):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(42,205):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(42,204):{'3_1':0.03,'6_3':0.0},(42,203):{'3_1':0.0},(42,202):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(42,201):{'3_1':0.0,'4_1':0.0},(42,200):{'4_1':0.0,'3_1':0.0},(42,199):{'3_1':0.03},(42,198):{'3_1':0.03,'4_1':0.0},(42,197):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(42,196):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(42,195):{'3_1':0.06},(42,194):{'3_1':0.03,'4_1':0.0},(42,193):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(42,192):{'3_1':0.06,'8_21|3_1#4_1':0.0},(42,191):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(42,190):{'3_1':0.06},(42,189):{'3_1':0.0},(42,188):{'4_1':0.0,'3_1':0.0},(42,187):{'3_1':0.03,'4_1':0.0},(42,186):{'3_1':0.03,'5_2':0.0},(42,185):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(42,184):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(42,183):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(42,182):{'3_1':0.03,'4_1':0.0},(42,181):{'3_1':0.03,'4_1':0.0},(42,180):{'3_1':0.03,'8_21|3_1#4_1':0.0},(42,179):{'3_1':0.03,'4_1':0.0},(42,178):{'3_1':0.06,'4_1':0.0},(42,177):{'3_1':0.03,'4_1':0.0},(42,176):{'3_1':0.03,'5_2':0.0},(42,175):{'3_1':0.0},(42,174):{'3_1':0.0,'4_1':0.0},(42,173):{'3_1':0.03},(42,172):{'3_1':0.03,'4_1':0.0},(42,171):{'3_1':0.03},(42,170):{'3_1':0.03},(42,169):{'3_1':0.06},(42,168):{'3_1':0.06,'4_1':0.0},(42,167):{'3_1':0.06},(42,166):{'3_1':0.03},(42,165):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(42,164):{'3_1':0.06,'4_1':0.0},(42,163):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(42,162):{'3_1':0.03},(42,161):{'3_1':0.06,'4_1':0.0},(42,160):{'3_1':0.03},(42,159):{'3_1':0.06},(42,158):{'3_1':0.03,'4_1':0.0},(42,157):{'3_1':0.03},(42,156):{'3_1':0.0,'5_2':0.0},(42,155):{'3_1':0.03,'4_1':0.0},(42,154):{'3_1':0.0,'4_1':0.0},(42,153):{'3_1':0.0,'4_1':0.0},(42,152):{'3_1':0.0,'4_1':0.0},(42,151):{'3_1':0.03},(42,150):{'3_1':0.06},(42,149):{'3_1':0.03,'4_1':0.0},(42,148):{'3_1':0.03},(42,147):{'3_1':0.03,'4_1':0.0},(42,146):{'3_1':0.0},(42,145):{'3_1':0.03},(42,144):{'3_1':0.03,'4_1':0.0},(42,143):{'3_1':0.03},(42,142):{'3_1':0.0,'4_1':0.0},(42,141):{'3_1':0.0},(42,140):{'3_1':0.03},(42,139):{'3_1':0.0},(42,138):{'3_1':0.0},(42,137):{'3_1':0.06,'4_1':0.0},(42,136):{'3_1':0.0},(42,135):{'3_1':0.03},(42,134):{'3_1':0.03,'4_1':0.0},(42,133):{'3_1':0.0},(42,132):{'3_1':0.06},(42,131):{'3_1':0.0},(42,130):{'3_1':0.03},(42,129):{'3_1':0.06},(42,128):{'3_1':0.0},(42,127):{'3_1':0.0},(42,126):{'3_1':0.03,'4_1':0.0},(42,125):{'3_1':0.0},(42,124):{'3_1':0.0},(42,123):{'3_1':0.03},(42,122):{'3_1':0.0},(42,121):{'3_1':0.0},(42,120):{'3_1':0.0},(42,119):{'3_1':0.03},(42,118):{'3_1':0.0},(42,117):{'3_1':0.0},(42,116):{'3_1':0.03,'5_1':0.0},(42,115):{'3_1':0.03},(42,114):{'3_1':0.0},(42,113):{'3_1':0.0,'4_1':0.0},(42,112):{'3_1':0.0},(42,111):{'3_1':0.0,'4_1':0.0},(42,110):{'3_1':0.0},(42,109):{'3_1':0.0},(42,108):{'3_1':0.0},(42,107):{'3_1':0.0},(42,106):{'3_1':0.0},(42,105):{'3_1':0.0},(42,104):{'3_1':0.0},(42,103):{'3_1':0.0},(42,102):{'3_1':0.0},(42,101):{'3_1':0.0},(42,100):{'3_1':0.0},(42,99):{'3_1':0.0},(42,98):{'3_1':0.0},(42,97):{'3_1':0.0},(42,96):{'3_1':0.0,'4_1':0.0},(42,95):{'3_1':0.0},(42,94):{'3_1':0.0},(42,93):{'3_1':0.03},(42,92):{'3_1':0.0},(42,91):{'3_1':0.0},(42,89):{'3_1':0.0},(42,88):{'3_1':0.0},(42,86):{'3_1':0.0},(42,84):{'3_1':0.0},(42,80):{'3_1':0.0},(42,77):{'3_1':0.0},(42,71):{'3_1':0.0},(42,69):{'3_1':0.0},(42,67):{'3_1':0.0},(42,65):{'3_1':0.0},(43,290):{'3_1':0.57,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0},(43,289):{'3_1':0.48,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(43,288):{'3_1':0.36,'5_1':0.06,'4_1':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0},(43,287):{'3_1':0.39,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0},(43,286):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(43,285):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(43,284):{'3_1':0.24,'5_1':0.03,'-3':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0},(43,283):{'3_1':0.18,'4_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(43,282):{'3_1':0.18,'6_2':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(43,281):{'3_1':0.06,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_13':0.0,'-3':0.0},(43,280):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(43,279):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_5':0.0,'-3':0.0},(43,278):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(43,277):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_6':0.0,'6_3':0.0},(43,276):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(43,275):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(43,274):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(43,273):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0},(43,272):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(43,271):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(43,270):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(43,269):{'3_1':0.06,'4_1':0.0},(43,268):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(43,267):{'3_1':0.12,'5_2':0.0},(43,266):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_8':0.0},(43,265):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(43,264):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(43,263):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(43,262):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(43,261):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(43,260):{'3_1':0.09,'5_1':0.0,'7_1':0.0},(43,259):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(43,258):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(43,257):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_3':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(43,256):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_17':0.0},(43,255):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(43,254):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_9':0.0},(43,253):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(43,252):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(43,251):{'3_1':0.12,'4_1':0.0},(43,250):{'3_1':0.09,'6_2':0.0,'4_1':0.0},(43,249):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_9':0.0},(43,248):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(43,247):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(43,246):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(43,245):{'3_1':0.06,'7_6':0.0,'8_20|3_1#3_1':0.0},(43,244):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_6':0.0},(43,243):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(43,242):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(43,241):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(43,240):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0},(43,239):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0},(43,238):{'3_1':0.0,'4_1':0.0},(43,237):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(43,236):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(43,235):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(43,234):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(43,233):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(43,232):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(43,231):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(43,230):{'3_1':0.06,'4_1':0.03},(43,229):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(43,228):{'3_1':0.09,'5_1':0.0},(43,227):{'3_1':0.06,'4_1':0.0},(43,226):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0},(43,225):{'3_1':0.06,'4_1':0.0},(43,224):{'3_1':0.06,'8_20|3_1#3_1':0.0},(43,223):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(43,222):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(43,221):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(43,220):{'3_1':0.06},(43,219):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(43,218):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(43,217):{'3_1':0.03,'4_1':0.03},(43,216):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(43,215):{'3_1':0.03,'4_1':0.0},(43,214):{'3_1':0.03,'4_1':0.0},(43,213):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(43,212):{'3_1':0.06,'4_1':0.0},(43,211):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(43,210):{'3_1':0.03,'6_3':0.0},(43,209):{'3_1':0.03,'4_1':0.0},(43,208):{'3_1':0.03,'4_1':0.0},(43,207):{'3_1':0.06,'4_1':0.0},(43,206):{'3_1':0.03,'6_3':0.0},(43,205):{'3_1':0.03},(43,204):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(43,203):{'3_1':0.06,'4_1':0.0},(43,202):{'3_1':0.06},(43,201):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(43,200):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(43,199):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(43,198):{'4_1':0.0,'3_1':0.0},(43,197):{'3_1':0.0,'4_1':0.0},(43,196):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0},(43,195):{'3_1':0.03},(43,194):{'3_1':0.0,'6_2':0.0},(43,193):{'3_1':0.0,'4_1':0.0},(43,192):{'3_1':0.0,'4_1':0.0},(43,191):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(43,190):{'3_1':0.0,'4_1':0.0},(43,189):{'3_1':0.03,'4_1':0.0},(43,188):{'3_1':0.06,'6_2':0.0},(43,187):{'3_1':0.0,'4_1':0.0},(43,186):{'3_1':0.06,'4_1':0.0},(43,185):{'3_1':0.12,'4_1':0.0},(43,184):{'3_1':0.0,'4_1':0.0},(43,183):{'3_1':0.03,'4_1':0.0},(43,182):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(43,181):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(43,180):{'3_1':0.03},(43,179):{'3_1':0.09,'4_1':0.0},(43,178):{'3_1':0.03},(43,177):{'3_1':0.03,'4_1':0.0},(43,176):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(43,175):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(43,174):{'3_1':0.06},(43,173):{'3_1':0.03},(43,172):{'3_1':0.0},(43,171):{'3_1':0.03,'4_1':0.0},(43,170):{'3_1':0.06},(43,169):{'3_1':0.03},(43,168):{'3_1':0.0},(43,167):{'3_1':0.06},(43,166):{'3_1':0.03},(43,165):{'3_1':0.06,'5_2':0.0},(43,164):{'3_1':0.06,'4_1':0.0},(43,163):{'3_1':0.06},(43,162):{'3_1':0.03,'4_1':0.0},(43,161):{'3_1':0.06},(43,160):{'3_1':0.06},(43,159):{'3_1':0.03},(43,158):{'3_1':0.03},(43,157):{'3_1':0.03},(43,156):{'3_1':0.0,'4_1':0.0},(43,155):{'3_1':0.06,'4_1':0.0},(43,154):{'3_1':0.09,'4_1':0.0},(43,153):{'3_1':0.03,'4_1':0.0},(43,152):{'3_1':0.03,'4_1':0.0},(43,151):{'3_1':0.06,'4_1':0.0},(43,150):{'3_1':0.0,'4_1':0.0},(43,149):{'3_1':0.06,'4_1':0.0},(43,148):{'3_1':0.03,'4_1':0.0},(43,147):{'3_1':0.06},(43,146):{'3_1':0.0},(43,145):{'3_1':0.03,'4_1':0.0},(43,144):{'3_1':0.03},(43,143):{'3_1':0.03},(43,142):{'3_1':0.03,'4_1':0.0},(43,141):{'3_1':0.03},(43,140):{'3_1':0.0},(43,139):{'3_1':0.03},(43,138):{'3_1':0.0,'4_1':0.0},(43,137):{'3_1':0.03},(43,136):{'3_1':0.03},(43,135):{'3_1':0.03},(43,134):{'3_1':0.03},(43,133):{'3_1':0.03},(43,132):{'3_1':0.06},(43,131):{'3_1':0.03},(43,130):{'3_1':0.0},(43,129):{'3_1':0.0},(43,128):{'3_1':0.0},(43,127):{'3_1':0.03},(43,126):{'3_1':0.03},(43,125):{'3_1':0.0,'4_1':0.0},(43,124):{'3_1':0.0},(43,123):{'3_1':0.0},(43,122):{'3_1':0.0},(43,121):{'3_1':0.03},(43,120):{'3_1':0.03},(43,119):{'3_1':0.0},(43,118):{'3_1':0.0},(43,117):{'3_1':0.03},(43,116):{'3_1':0.0},(43,115):{'3_1':0.03},(43,114):{'3_1':0.0},(43,112):{'3_1':0.0},(43,111):{'3_1':0.0},(43,110):{'3_1':0.03},(43,109):{'3_1':0.0},(43,108):{'3_1':0.0},(43,106):{'3_1':0.0},(43,105):{'3_1':0.0},(43,104):{'3_1':0.03},(43,103):{'3_1':0.0},(43,102):{'3_1':0.03},(43,101):{'3_1':0.0},(43,100):{'3_1':0.03},(43,99):{'3_1':0.03},(43,98):{'3_1':0.0},(43,97):{'3_1':0.0},(43,96):{'3_1':0.0},(43,93):{'3_1':0.0},(43,92):{'3_1':0.0},(43,91):{'3_1':0.0},(43,88):{'3_1':0.0},(43,87):{'3_1':0.0},(43,83):{'3_1':0.0},(43,81):{'3_1':0.0},(43,77):{'3_1':0.0},(43,75):{'3_1':0.0},(43,73):{'3_1':0.0},(43,61):{'3_1':0.0},(44,290):{'3_1':0.48,'5_1':0.03,'4_1':0.03,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0},(44,289):{'3_1':0.42,'5_1':0.09,'4_1':0.06,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(44,288):{'3_1':0.39,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(44,287):{'3_1':0.33,'5_1':0.09,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_9':0.0,'-3':0.0},(44,286):{'3_1':0.24,'5_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(44,285):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(44,284):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'7_4':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(44,283):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_11':0.0,'8_16':0.0},(44,282):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(44,281):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_7':0.0,'1':-0.03},(44,280):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(44,279):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(44,278):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_4':0.0},(44,277):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(44,276):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'-3':0.0},(44,275):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(44,274):{'3_1':0.09,'5_1':0.0,'6_3':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(44,273):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(44,272):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0},(44,271):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(44,270):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_17':0.0},(44,269):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(44,268):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(44,267):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(44,266):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(44,265):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_5':0.0,'8_16':0.0},(44,264):{'3_1':0.12,'5_2':0.0,'8_21|3_1#4_1':0.0},(44,263):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(44,262):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(44,261):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0},(44,260):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'7_2':0.0},(44,259):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(44,258):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_5':0.0,'8_19':0.0},(44,257):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(44,256):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(44,255):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(44,254):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(44,253):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(44,252):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(44,251):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(44,250):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(44,249):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(44,248):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_9':0.0},(44,247):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(44,246):{'3_1':0.18,'5_1':0.0},(44,245):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(44,244):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(44,243):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(44,242):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(44,241):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(44,240):{'3_1':0.06,'5_2':0.0},(44,239):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_7':0.0},(44,238):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0},(44,237):{'3_1':0.03,'4_1':0.03,'5_2':0.03},(44,236):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0},(44,235):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0},(44,234):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(44,233):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(44,232):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_2':0.0},(44,231):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(44,230):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(44,229):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(44,228):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(44,227):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(44,226):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(44,225):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(44,224):{'3_1':0.09,'4_1':0.03},(44,223):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(44,222):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(44,221):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(44,220):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(44,219):{'3_1':0.09,'4_1':0.03},(44,218):{'3_1':0.09,'4_1':0.0},(44,217):{'3_1':0.06,'4_1':0.0},(44,216):{'3_1':0.09,'4_1':0.0},(44,215):{'3_1':0.06,'4_1':0.03},(44,214):{'3_1':0.03,'4_1':0.0},(44,213):{'3_1':0.06,'4_1':0.0},(44,212):{'3_1':0.09,'4_1':0.0},(44,211):{'3_1':0.06,'4_1':0.0},(44,210):{'3_1':0.03,'4_1':0.0},(44,209):{'3_1':0.06,'4_1':0.0},(44,208):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(44,207):{'3_1':0.03},(44,206):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(44,205):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(44,204):{'3_1':0.03,'6_2':0.0},(44,203):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(44,202):{'4_1':0.03,'3_1':0.0},(44,201):{'3_1':0.03,'4_1':0.03},(44,200):{'3_1':0.03,'4_1':0.0},(44,199):{'3_1':0.03,'4_1':0.0},(44,198):{'3_1':0.0,'8_20|3_1#3_1':0.0},(44,197):{'3_1':0.06},(44,196):{'3_1':0.03},(44,195):{'3_1':0.03,'4_1':0.0},(44,194):{'3_1':0.0},(44,193):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(44,192):{'3_1':0.09,'4_1':0.0},(44,191):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'3_1#5_1':0.0},(44,190):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(44,189):{'3_1':0.06},(44,188):{'3_1':0.06},(44,186):{'3_1':0.0,'4_1':0.0},(44,185):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(44,184):{'3_1':0.06},(44,183):{'3_1':0.03,'4_1':0.0},(44,182):{'3_1':0.03,'4_1':0.0},(44,181):{'3_1':0.0},(44,180):{'3_1':0.03,'4_1':0.0},(44,179):{'3_1':0.06,'4_1':0.0},(44,178):{'3_1':0.03,'4_1':0.0},(44,177):{'3_1':0.0,'4_1':0.0},(44,176):{'3_1':0.06,'4_1':0.0},(44,175):{'3_1':0.03,'4_1':0.0},(44,174):{'3_1':0.03},(44,173):{'3_1':0.0,'4_1':0.0},(44,172):{'3_1':0.0,'4_1':0.0},(44,171):{'3_1':0.03},(44,170):{'3_1':0.03,'4_1':0.0},(44,169):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(44,168):{'3_1':0.0},(44,167):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(44,166):{'3_1':0.06,'4_1':0.0},(44,165):{'3_1':0.03,'4_1':0.0},(44,164):{'3_1':0.06,'4_1':0.0},(44,163):{'3_1':0.06},(44,162):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(44,161):{'3_1':0.03},(44,160):{'3_1':0.03,'4_1':0.0},(44,159):{'3_1':0.03,'4_1':0.0},(44,158):{'3_1':0.0,'4_1':0.0},(44,157):{'3_1':0.06,'4_1':0.0},(44,156):{'3_1':0.06},(44,155):{'3_1':0.03,'4_1':0.0},(44,154):{'3_1':0.03},(44,153):{'3_1':0.03,'4_1':0.0},(44,152):{'3_1':0.03},(44,151):{'3_1':0.03,'4_1':0.0},(44,150):{'3_1':0.06,'4_1':0.0},(44,149):{'3_1':0.0,'4_1':0.0},(44,148):{'3_1':0.03,'4_1':0.0},(44,147):{'3_1':0.06,'4_1':0.0},(44,146):{'3_1':0.03,'4_1':0.0},(44,145):{'3_1':0.06,'4_1':0.0},(44,144):{'3_1':0.03,'4_1':0.0},(44,143):{'3_1':0.03,'4_1':0.0},(44,142):{'3_1':0.0},(44,141):{'3_1':0.0},(44,140):{'3_1':0.06},(44,139):{'3_1':0.0,'4_1':0.0},(44,138):{'3_1':0.03,'4_1':0.0},(44,137):{'3_1':0.03},(44,136):{'3_1':0.0,'4_1':0.0},(44,135):{'3_1':0.03},(44,134):{'3_1':0.03},(44,133):{'3_1':0.03},(44,132):{'3_1':0.03},(44,131):{'3_1':0.06},(44,130):{'3_1':0.03},(44,129):{'3_1':0.0,'5_2':0.0},(44,128):{'3_1':0.0},(44,127):{'3_1':0.03},(44,126):{'3_1':0.0},(44,125):{'3_1':0.03},(44,124):{'3_1':0.0},(44,123):{'3_1':0.0},(44,122):{'3_1':0.03},(44,121):{'3_1':0.0},(44,120):{'3_1':0.0},(44,119):{'3_1':0.0},(44,117):{'3_1':0.0},(44,116):{'3_1':0.0},(44,115):{'3_1':0.03},(44,114):{'3_1':0.0},(44,111):{'3_1':0.0},(44,110):{'3_1':0.0},(44,109):{'3_1':0.0},(44,108):{'3_1':0.0},(44,107):{'3_1':0.0},(44,106):{'3_1':0.0,'4_1':0.0},(44,104):{'3_1':0.0},(44,103):{'3_1':0.0},(44,102):{'3_1':0.0},(44,101):{'3_1':0.0},(44,100):{'3_1':0.0},(44,98):{'3_1':0.0},(44,96):{'3_1':0.0},(44,95):{'3_1':0.0},(44,94):{'3_1':0.0},(44,93):{'3_1':0.0},(44,89):{'3_1':0.0},(44,88):{'3_1':0.0},(44,85):{'3_1':0.0},(44,84):{'3_1':0.0},(44,83):{'3_1':0.0},(44,79):{'3_1':0.0},(44,73):{'3_1':0.0},(44,71):{'3_1':0.0},(44,62):{'4_1':0.0},(45,290):{'3_1':0.48,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0},(45,289):{'3_1':0.42,'5_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.03,'-3':0.0,'7_2':0.0,'8_19':0.0},(45,288):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_1':0.0},(45,287):{'3_1':0.33,'5_1':0.09,'5_2':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(45,286):{'3_1':0.3,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(45,285):{'3_1':0.33,'4_1':0.03,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(45,284):{'3_1':0.24,'5_1':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(45,283):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(45,282):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(45,281):{'3_1':0.12,'5_2':0.03,'6_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_17':0.0},(45,280):{'3_1':0.12,'5_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'8_13':0.0},(45,279):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0},(45,278):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(45,277):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(45,276):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(45,275):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0},(45,274):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(45,273):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(45,272):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'-3':0.0},(45,271):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(45,270):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(45,269):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(45,268):{'3_1':0.06,'5_1':0.03},(45,267):{'3_1':0.09,'5_1':0.0},(45,266):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'4_1':0.0},(45,265):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(45,264):{'3_1':0.09,'6_3':0.0},(45,263):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(45,262):{'3_1':0.12,'5_1':0.0,'-3':0.0},(45,261):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'-3':0.0},(45,260):{'3_1':0.12,'5_1':0.0},(45,259):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_19':0.0},(45,258):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(45,257):{'3_1':0.09,'5_1':0.0,'7_5':0.0},(45,256):{'3_1':0.18,'4_1':0.0},(45,255):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(45,254):{'3_1':0.12,'5_1':0.0},(45,253):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(45,252):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(45,251):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(45,250):{'3_1':0.09,'5_1':0.0},(45,249):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_14':0.0,'-3':0.0},(45,248):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'7_6':0.0},(45,247):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(45,246):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(45,245):{'3_1':0.12,'5_1':0.0},(45,244):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(45,243):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(45,242):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(45,241):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(45,240):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(45,239):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(45,238):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(45,237):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(45,236):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(45,235):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0},(45,234):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(45,233):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(45,232):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(45,231):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0},(45,230):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(45,229):{'3_1':0.06,'5_2':0.0,'6_1':0.0,'4_1':0.0},(45,228):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(45,227):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(45,226):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'5_2':0.0},(45,225):{'3_1':0.09,'4_1':0.03},(45,224):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(45,223):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(45,222):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(45,221):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(45,220):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(45,219):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_2':0.0},(45,218):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(45,217):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(45,216):{'3_1':0.03,'4_1':0.0},(45,215):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(45,214):{'3_1':0.0,'4_1':0.0},(45,213):{'3_1':0.03,'4_1':0.0},(45,212):{'3_1':0.03},(45,211):{'3_1':0.06,'6_3':0.0},(45,210):{'3_1':0.0},(45,209):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(45,208):{'3_1':0.03},(45,207):{'3_1':0.0,'4_1':0.0},(45,206):{'3_1':0.03},(45,205):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(45,204):{'3_1':0.03,'4_1':0.0},(45,203):{'3_1':0.06,'4_1':0.0},(45,202):{'3_1':0.0},(45,201):{'3_1':0.0},(45,200):{'3_1':0.03,'4_1':0.0},(45,199):{'3_1':0.06,'4_1':0.0},(45,198):{'3_1':0.03},(45,197):{'3_1':0.03},(45,196):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(45,195):{'3_1':0.03,'5_2':0.0},(45,194):{'3_1':0.0,'4_1':0.0},(45,193):{'3_1':0.0,'6_2':0.0,'4_1':0.0},(45,192):{'3_1':0.06},(45,191):{'3_1':0.06,'4_1':0.0},(45,190):{'3_1':0.06},(45,189):{'3_1':0.0},(45,188):{'3_1':0.0,'4_1':0.0},(45,187):{'3_1':0.03,'4_1':0.03},(45,186):{'3_1':0.03,'4_1':0.03,'-3':0.0},(45,185):{'3_1':0.0,'4_1':0.0},(45,184):{'3_1':0.03},(45,183):{'3_1':0.0,'4_1':0.0},(45,182):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(45,181):{'3_1':0.03,'4_1':0.0},(45,180):{'3_1':0.06,'4_1':0.0},(45,179):{'3_1':0.06,'6_2':0.0},(45,178):{'3_1':0.03,'4_1':0.0},(45,177):{'3_1':0.06},(45,176):{'3_1':0.06,'4_1':0.0},(45,175):{'3_1':0.09,'4_1':0.0},(45,174):{'3_1':0.06},(45,173):{'3_1':0.0,'4_1':0.0},(45,172):{'3_1':0.06},(45,171):{'3_1':0.0,'5_2':0.0},(45,170):{'3_1':0.0,'4_1':0.0},(45,169):{'3_1':0.0},(45,168):{'3_1':0.03,'4_1':0.0},(45,167):{'3_1':0.03,'4_1':0.0},(45,166):{'3_1':0.06,'4_1':0.0},(45,165):{'3_1':0.06,'4_1':0.0},(45,164):{'3_1':0.0,'4_1':0.0},(45,163):{'3_1':0.03},(45,162):{'3_1':0.0},(45,161):{'4_1':0.0,'3_1':0.0},(45,160):{'3_1':0.03,'4_1':0.0},(45,159):{'3_1':0.0,'4_1':0.0},(45,158):{'3_1':0.09,'4_1':0.0},(45,157):{'3_1':0.0,'4_1':0.0},(45,156):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(45,155):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(45,154):{'3_1':0.03},(45,153):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(45,152):{'3_1':0.06},(45,151):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(45,150):{'3_1':0.0,'4_1':0.0},(45,149):{'3_1':0.03,'4_1':0.0},(45,148):{'3_1':0.06,'4_1':0.0},(45,147):{'3_1':0.0,'4_1':0.0},(45,146):{'3_1':0.0,'4_1':0.0},(45,145):{'3_1':0.0,'4_1':0.0},(45,144):{'3_1':0.03},(45,143):{'3_1':0.03,'4_1':0.0},(45,142):{'3_1':0.06},(45,141):{'3_1':0.0},(45,140):{'3_1':0.06,'4_1':0.0},(45,139):{'3_1':0.03,'4_1':0.0},(45,138):{'3_1':0.0},(45,137):{'3_1':0.0,'4_1':0.0},(45,136):{'3_1':0.03},(45,135):{'3_1':0.03,'4_1':0.0},(45,134):{'3_1':0.0},(45,132):{'3_1':0.0},(45,131):{'3_1':0.06},(45,130):{'3_1':0.0},(45,129):{'3_1':0.03},(45,128):{'3_1':0.0},(45,127):{'3_1':0.03},(45,126):{'3_1':0.06},(45,125):{'3_1':0.03,'5_2':0.0},(45,124):{'3_1':0.06},(45,122):{'3_1':0.0},(45,121):{'3_1':0.03},(45,120):{'3_1':0.0},(45,119):{'3_1':0.0},(45,118):{'3_1':0.06},(45,117):{'3_1':0.0},(45,116):{'3_1':0.0},(45,115):{'3_1':0.03},(45,114):{'3_1':0.0},(45,113):{'3_1':0.0},(45,112):{'3_1':0.0},(45,110):{'3_1':0.0},(45,109):{'3_1':0.0},(45,108):{'4_1':0.0},(45,107):{'3_1':0.0},(45,105):{'3_1':0.0},(45,104):{'3_1':0.0},(45,101):{'3_1':0.0},(45,100):{'3_1':0.0},(45,98):{'3_1':0.0},(45,97):{'3_1':0.0},(45,93):{'3_1':0.0},(45,92):{'3_1':0.0},(45,91):{'3_1':0.0},(45,89):{'3_1':0.0},(45,88):{'3_1':0.0},(45,87):{'3_1':0.0},(45,84):{'3_1':0.0},(45,74):{'3_1':0.0},(45,72):{'3_1':0.0},(45,61):{'3_1':0.0},(46,290):{'3_1':0.36,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'7_5':0.0},(46,289):{'3_1':0.45,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(46,288):{'3_1':0.39,'4_1':0.09,'5_1':0.03,'6_2':0.03,'6_1':0.0,'8_16':0.0,'-3':0.0},(46,287):{'3_1':0.39,'5_1':0.06,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(46,286):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_17':0.0},(46,285):{'3_1':0.3,'5_1':0.06,'5_2':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0},(46,284):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(46,283):{'3_1':0.21,'4_1':0.03,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(46,282):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_19':0.0},(46,281):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(46,280):{'3_1':0.15,'5_2':0.03,'6_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_17':0.0},(46,279):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(46,278):{'3_1':0.12,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(46,277):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'-3':0.0},(46,276):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0,'8_8':0.0},(46,275):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(46,274):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(46,273):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0},(46,272):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(46,271):{'3_1':0.15,'5_2':0.0,'8_21|3_1#4_1':0.0},(46,270):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(46,269):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(46,268):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(46,267):{'3_1':0.09},(46,266):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(46,265):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(46,264):{'3_1':0.12,'5_1':0.0,'8_7':0.0,'8_9':0.0,'8_13':0.0},(46,263):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_4':0.0},(46,262):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(46,261):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_17':0.0},(46,260):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(46,259):{'3_1':0.12,'5_1':0.0},(46,258):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(46,257):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_3':0.0},(46,256):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(46,255):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(46,254):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(46,253):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(46,252):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(46,251):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'8_9':0.0},(46,250):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_17':0.0},(46,249):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(46,248):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_9':0.0,'8_16':0.0,'8_17':0.0},(46,247):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(46,246):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(46,245):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(46,244):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(46,243):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(46,242):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(46,241):{'3_1':0.06,'4_1':0.0},(46,240):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_7':0.0},(46,239):{'3_1':0.06,'4_1':0.0},(46,238):{'3_1':0.03},(46,237):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(46,236):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(46,235):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(46,234):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(46,233):{'3_1':0.06,'4_1':0.0},(46,232):{'3_1':0.09,'4_1':0.03},(46,231):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0},(46,230):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(46,229):{'3_1':0.15,'-3':0.0},(46,228):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(46,227):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(46,226):{'3_1':0.09,'4_1':0.0},(46,225):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(46,224):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(46,223):{'3_1':0.12,'6_2':0.0,'6_3':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(46,222):{'3_1':0.06,'4_1':0.03},(46,221):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(46,220):{'3_1':0.06,'4_1':0.0},(46,219):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(46,218):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(46,217):{'3_1':0.06,'4_1':0.0},(46,216):{'3_1':0.09,'6_1':0.0},(46,215):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(46,214):{'3_1':0.03,'4_1':0.0},(46,213):{'3_1':0.0},(46,212):{'3_1':0.03},(46,211):{'3_1':0.03,'4_1':0.0},(46,210):{'3_1':0.03,'4_1':0.0},(46,209):{'3_1':0.06,'4_1':0.0},(46,208):{'3_1':0.06,'4_1':0.0},(46,207):{'3_1':0.0},(46,206):{'4_1':0.0,'3_1':0.0},(46,205):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(46,204):{'3_1':0.03,'4_1':0.0},(46,203):{'3_1':0.03,'4_1':0.0},(46,202):{'3_1':0.03,'4_1':0.0},(46,201):{'3_1':0.0,'4_1':0.0},(46,200):{'3_1':0.03,'4_1':0.0},(46,199):{'3_1':0.0,'4_1':0.0},(46,198):{'3_1':0.03},(46,197):{'3_1':0.0,'4_1':0.0},(46,196):{'3_1':0.03},(46,195):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(46,194):{'3_1':0.03,'4_1':0.0},(46,193):{'3_1':0.0,'5_2':0.0},(46,192):{'3_1':0.03},(46,191):{'3_1':0.03,'4_1':0.0},(46,190):{'3_1':0.06},(46,189):{'3_1':0.0},(46,188):{'3_1':0.03},(46,187):{'3_1':0.03,'4_1':0.0},(46,186):{'3_1':0.06,'4_1':0.0},(46,185):{'3_1':0.0,'4_1':0.0},(46,184):{'3_1':0.06,'6_2':0.0},(46,183):{'3_1':0.0},(46,182):{'3_1':0.0,'4_1':0.0},(46,181):{'3_1':0.03,'4_1':0.0},(46,180):{'3_1':0.0,'4_1':0.0},(46,179):{'3_1':0.06,'4_1':0.0},(46,178):{'3_1':0.06,'4_1':0.0},(46,177):{'3_1':0.03,'4_1':0.0},(46,176):{'3_1':0.0,'4_1':0.0},(46,175):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(46,174):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(46,173):{'3_1':0.0,'5_1':0.0},(46,172):{'3_1':0.03},(46,171):{'3_1':0.06,'4_1':0.0},(46,170):{'3_1':0.03,'4_1':0.0},(46,169):{'3_1':0.03,'4_1':0.0},(46,168):{'3_1':0.03,'5_2':0.0},(46,167):{'3_1':0.0,'5_2':0.0},(46,166):{'3_1':0.03,'4_1':0.0},(46,165):{'3_1':0.06,'5_2':0.0},(46,164):{'3_1':0.03,'4_1':0.0},(46,163):{'3_1':0.03,'4_1':0.0},(46,162):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(46,161):{'3_1':0.03,'4_1':0.0},(46,160):{'3_1':0.03},(46,159):{'3_1':0.0},(46,158):{'4_1':0.0,'3_1':0.0},(46,157):{'3_1':0.0,'4_1':0.0},(46,156):{'3_1':0.03,'5_2':0.0},(46,155):{'3_1':0.0,'4_1':0.0},(46,154):{'3_1':0.03,'4_1':0.0},(46,153):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(46,152):{'3_1':0.06},(46,151):{'3_1':0.06,'4_1':0.0},(46,150):{'3_1':0.03},(46,149):{'3_1':0.03,'4_1':0.0},(46,148):{'3_1':0.0,'4_1':0.0},(46,147):{'3_1':0.03,'4_1':0.0},(46,146):{'3_1':0.03,'4_1':0.0},(46,145):{'3_1':0.03},(46,144):{'3_1':0.0,'4_1':0.0},(46,143):{'3_1':0.03},(46,142):{'3_1':0.0,'4_1':0.0},(46,141):{'3_1':0.0,'4_1':0.0},(46,140):{'3_1':0.0},(46,139):{'3_1':0.0,'4_1':0.0},(46,138):{'3_1':0.0,'4_1':0.0},(46,137):{'3_1':0.0,'4_1':0.0},(46,136):{'3_1':0.03},(46,135):{'3_1':0.03,'4_1':0.0},(46,134):{'3_1':0.0,'4_1':0.0},(46,133):{'3_1':0.0},(46,132):{'3_1':0.03},(46,131):{'3_1':0.0},(46,130):{'3_1':0.0},(46,129):{'3_1':0.03},(46,128):{'3_1':0.03},(46,127):{'3_1':0.03},(46,126):{'3_1':0.0},(46,125):{'3_1':0.03},(46,124):{'3_1':0.03},(46,123):{'3_1':0.0},(46,122):{'3_1':0.0},(46,121):{'3_1':0.0},(46,120):{'3_1':0.0},(46,119):{'3_1':0.0,'5_2':0.0},(46,118):{'3_1':0.0},(46,117):{'3_1':0.0},(46,116):{'3_1':0.0},(46,115):{'3_1':0.0,'4_1':0.0},(46,114):{'3_1':0.0},(46,113):{'3_1':0.0},(46,112):{'3_1':0.0},(46,111):{'3_1':0.0},(46,110):{'3_1':0.0},(46,109):{'3_1':0.03,'4_1':0.0},(46,108):{'3_1':0.0},(46,107):{'3_1':0.0},(46,106):{'3_1':0.0},(46,105):{'3_1':0.0},(46,104):{'3_1':0.0},(46,103):{'3_1':0.0},(46,101):{'3_1':0.0},(46,100):{'3_1':0.0},(46,98):{'3_1':0.0},(46,97):{'3_1':0.0},(46,96):{'3_1':0.0},(46,88):{'3_1':0.0},(46,86):{'3_1':0.0},(46,85):{'3_1':0.0},(46,82):{'3_1':0.0},(46,78):{'3_1':0.0},(46,76):{'3_1':0.0},(46,75):{'3_1':0.0},(46,74):{'3_1':0.0},(46,73):{'3_1':0.0},(46,72):{'3_1':0.0},(46,69):{'3_1':0.0},(46,65):{'3_1':0.0},(47,290):{'3_1':0.51,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_4':0.0},(47,289):{'3_1':0.36,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(47,288):{'3_1':0.42,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0},(47,287):{'3_1':0.33,'5_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(47,286):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0},(47,285):{'3_1':0.27,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_3':0.0,'7_6':0.0},(47,284):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(47,283):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0},(47,282):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0},(47,281):{'3_1':0.18,'5_1':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'5_2':0.0},(47,280):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(47,279):{'3_1':0.18,'4_1':0.03,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_6':0.0},(47,278):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(47,277):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'7_6':0.0,'6_1':0.0,'7_5':0.0},(47,276):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(47,275):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(47,274):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(47,273):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(47,272):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(47,271):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(47,270):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0},(47,269):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0},(47,268):{'3_1':0.09,'5_1':0.0,'8_17':0.0,'8_20|3_1#3_1':0.0},(47,267):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(47,266):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(47,265):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(47,264):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(47,263):{'3_1':0.12,'4_1':0.0},(47,262):{'3_1':0.06,'5_2':0.0},(47,261):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_17':0.0},(47,260):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(47,259):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(47,258):{'3_1':0.21,'5_2':0.0,'6_3':0.0},(47,257):{'3_1':0.18,'5_1':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(47,256):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(47,255):{'3_1':0.09,'6_2':0.0,'7_4':0.0,'8_9':0.0},(47,254):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(47,253):{'3_1':0.18,'5_2':0.0,'6_1':0.0,'6_3':0.0},(47,252):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'8_17':0.0},(47,251):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(47,250):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(47,249):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(47,248):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(47,247):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(47,246):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(47,245):{'3_1':0.18,'5_1':0.0},(47,244):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(47,243):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(47,242):{'3_1':0.09,'6_2':0.0,'6_3':0.0},(47,241):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(47,240):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(47,239):{'3_1':0.03,'5_2':0.0},(47,238):{'3_1':0.06,'4_1':0.0},(47,237):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(47,236):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(47,235):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(47,234):{'3_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0},(47,233):{'3_1':0.09,'4_1':0.0},(47,232):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(47,231):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(47,230):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(47,229):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_7':0.0},(47,228):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(47,227):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(47,226):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(47,225):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(47,224):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(47,223):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(47,222):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0},(47,221):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(47,220):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(47,219):{'3_1':0.03,'4_1':0.0},(47,218):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(47,217):{'3_1':0.06,'4_1':0.03},(47,216):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(47,215):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(47,214):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(47,213):{'3_1':0.03,'4_1':0.0},(47,212):{'3_1':0.03,'4_1':0.0},(47,211):{'3_1':0.06,'4_1':0.0},(47,210):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(47,209):{'3_1':0.03,'6_2':0.0},(47,208):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(47,207):{'3_1':0.03},(47,206):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(47,205):{'3_1':0.0,'4_1':0.0},(47,204):{'3_1':0.0},(47,203):{'3_1':0.0,'4_1':0.0},(47,202):{'3_1':0.0},(47,201):{'3_1':0.03,'4_1':0.0},(47,200):{'3_1':0.06,'4_1':0.0},(47,199):{'3_1':0.0},(47,198):{'3_1':0.03},(47,197):{'3_1':0.06},(47,196):{'3_1':0.03},(47,195):{'3_1':0.03},(47,194):{'3_1':0.06},(47,193):{'3_1':0.0,'6_3':0.0},(47,192):{'3_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(47,191):{'3_1':0.03},(47,190):{'3_1':0.03,'5_1':0.0},(47,189):{'3_1':0.0,'6_2':0.0,'5_1':0.0},(47,188):{'3_1':0.0},(47,187):{'4_1':0.0},(47,186):{'4_1':0.0,'3_1':0.0},(47,185):{'3_1':0.0},(47,184):{'3_1':0.0,'4_1':0.0},(47,183):{'3_1':0.0,'4_1':0.0},(47,182):{'3_1':0.06,'4_1':0.0},(47,181):{'3_1':0.03,'4_1':0.0},(47,180):{'3_1':0.03},(47,179):{'3_1':0.0,'8_20|3_1#3_1':0.0},(47,178):{'3_1':0.0},(47,177):{'3_1':0.03},(47,176):{'3_1':0.09,'4_1':0.0},(47,175):{'3_1':0.03},(47,174):{'3_1':0.0},(47,173):{'3_1':0.03,'4_1':0.0},(47,172):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(47,171):{'3_1':0.0},(47,170):{'3_1':0.03,'5_1':0.0},(47,169):{'3_1':0.0},(47,168):{'3_1':0.0,'4_1':0.0},(47,167):{'3_1':0.0,'5_1':0.0},(47,166):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(47,165):{'3_1':0.0},(47,164):{'3_1':0.0,'5_1':0.0},(47,163):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(47,162):{'3_1':0.06,'4_1':0.0},(47,161):{'3_1':0.03},(47,160):{'3_1':0.06},(47,159):{'3_1':0.03},(47,158):{'3_1':0.0,'4_1':0.0},(47,157):{'3_1':0.03},(47,156):{'3_1':0.03,'4_1':0.0},(47,155):{'3_1':0.06},(47,154):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(47,153):{'3_1':0.0,'4_1':0.0},(47,152):{'3_1':0.03,'4_1':0.0},(47,151):{'3_1':0.0,'4_1':0.0},(47,150):{'3_1':0.03,'4_1':0.0},(47,149):{'3_1':0.03},(47,148):{'3_1':0.03,'4_1':0.0},(47,147):{'4_1':0.0},(47,146):{'3_1':0.03},(47,145):{'3_1':0.03,'4_1':0.0},(47,144):{'3_1':0.0,'4_1':0.0},(47,143):{'3_1':0.03},(47,142):{'3_1':0.03,'4_1':0.0},(47,141):{'3_1':0.03,'4_1':0.0},(47,140):{'3_1':0.0},(47,139):{'3_1':0.03},(47,138):{'3_1':0.0},(47,137):{'3_1':0.03},(47,136):{'3_1':0.0},(47,135):{'3_1':0.03,'4_1':0.0},(47,134):{'3_1':0.0},(47,133):{'3_1':0.0,'4_1':0.0},(47,132):{'3_1':0.03},(47,131):{'3_1':0.03},(47,130):{'3_1':0.03},(47,129):{'3_1':0.0},(47,128):{'3_1':0.0},(47,127):{'3_1':0.0},(47,126):{'3_1':0.0},(47,125):{'3_1':0.0},(47,124):{'3_1':0.0},(47,123):{'3_1':0.0},(47,122):{'3_1':0.0},(47,121):{'3_1':0.0},(47,120):{'3_1':0.03},(47,119):{'3_1':0.0},(47,118):{'3_1':0.0},(47,117):{'3_1':0.03},(47,116):{'3_1':0.0},(47,115):{'3_1':0.03},(47,114):{'3_1':0.0},(47,113):{'3_1':0.0},(47,110):{'3_1':0.0},(47,109):{'3_1':0.0},(47,107):{'3_1':0.0},(47,104):{'3_1':0.0},(47,102):{'3_1':0.0},(47,101):{'3_1':0.0},(47,100):{'3_1':0.0},(47,99):{'3_1':0.0},(47,98):{'3_1':0.0},(47,96):{'3_1':0.0},(47,89):{'3_1':0.0},(47,88):{'3_1':0.0},(47,82):{'3_1':0.0},(47,73):{'3_1':0.0},(47,71):{'3_1':0.0},(47,66):{'3_1':0.0},(47,63):{'3_1':0.0},(48,290):{'3_1':0.39,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(48,289):{'3_1':0.39,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(48,288):{'3_1':0.3,'4_1':0.03,'5_1':0.03,'7_1':0.0,'5_2':0.0,'6_2':0.0},(48,287):{'3_1':0.36,'5_1':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0},(48,286):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'-3':0.0,'5_2':0.0,'7_1':0.0},(48,285):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.03,'-3':0.03,'7_1':0.0,'7_4':0.0,'8_19':0.0},(48,284):{'3_1':0.24,'6_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(48,283):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'-3':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(48,282):{'3_1':0.21,'4_1':0.06,'5_1':0.06,'5_2':0.0,'6_2':0.0},(48,281):{'3_1':0.12,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_4':0.0,'-3':0.0},(48,280):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(48,279):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(48,278):{'3_1':0.09,'5_1':0.06,'4_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_11':0.0},(48,277):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0},(48,276):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(48,275):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(48,274):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(48,273):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(48,272):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(48,271):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(48,270):{'3_1':0.12,'4_1':0.0},(48,269):{'3_1':0.12,'5_1':0.0},(48,268):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'8_17':0.0},(48,267):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(48,266):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(48,265):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_9':0.0},(48,264):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(48,263):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(48,262):{'3_1':0.18,'-3':0.0},(48,261):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_3':0.0},(48,260):{'3_1':0.15,'5_1':0.0,'7_1':0.0,'8_16':0.0},(48,259):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(48,258):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_6':0.0},(48,257):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(48,256):{'3_1':0.15,'4_1':0.03,'5_1':0.03},(48,255):{'3_1':0.18},(48,254):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(48,253):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0},(48,252):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(48,251):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(48,250):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(48,249):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0},(48,248):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(48,247):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(48,246):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(48,245):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(48,244):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(48,243):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(48,242):{'3_1':0.03,'5_2':0.0},(48,241):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(48,240):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(48,239):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(48,238):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(48,237):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(48,236):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(48,235):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(48,234):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(48,233):{'3_1':0.06,'4_1':0.03},(48,232):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_3':0.0},(48,231):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(48,230):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(48,229):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(48,228):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(48,227):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(48,226):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(48,225):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(48,224):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0},(48,223):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(48,222):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(48,221):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(48,220):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(48,219):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(48,218):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(48,217):{'3_1':0.03,'4_1':0.0},(48,216):{'3_1':0.06,'4_1':0.0},(48,215):{'3_1':0.06,'4_1':0.0},(48,214):{'3_1':0.0,'4_1':0.0},(48,213):{'4_1':0.03,'3_1':0.0},(48,212):{'3_1':0.03,'4_1':0.03},(48,211):{'3_1':0.06,'4_1':0.03},(48,210):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(48,209):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(48,208):{'3_1':0.0,'4_1':0.0},(48,207):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(48,206):{'4_1':0.0,'3_1':0.0},(48,205):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(48,204):{'3_1':0.03,'4_1':0.0},(48,203):{'3_1':0.03,'4_1':0.0},(48,202):{'4_1':0.03,'3_1':0.0},(48,201):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(48,200):{'3_1':0.03},(48,199):{'3_1':0.03},(48,198):{'3_1':0.03,'4_1':0.0},(48,197):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(48,196):{'3_1':0.03},(48,195):{'3_1':0.0,'8_21|3_1#4_1':0.0},(48,194):{'3_1':0.0,'5_1':0.0},(48,193):{'4_1':0.0},(48,192):{'3_1':0.03},(48,191):{'3_1':0.03},(48,190):{'3_1':0.0,'4_1':0.0},(48,189):{'3_1':0.0},(48,188):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(48,187):{'3_1':0.03},(48,186):{'3_1':0.0},(48,185):{'3_1':0.0},(48,184):{'3_1':0.03},(48,183):{'3_1':0.06,'4_1':0.0},(48,182):{'3_1':0.03,'4_1':0.0},(48,181):{'3_1':0.03},(48,180):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(48,179):{'3_1':0.0,'4_1':0.0},(48,178):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(48,177):{'3_1':0.03,'4_1':0.0},(48,176):{'3_1':0.0,'4_1':0.0},(48,175):{'3_1':0.03},(48,174):{'3_1':0.03,'4_1':0.0},(48,173):{'3_1':0.0,'4_1':0.0},(48,172):{'3_1':0.03,'5_1':0.0},(48,171):{'3_1':0.0,'4_1':0.0},(48,170):{'3_1':0.06},(48,169):{'3_1':0.03},(48,168):{'3_1':0.06,'4_1':0.0},(48,167):{'3_1':0.03,'5_2':0.0},(48,166):{'3_1':0.06,'4_1':0.0},(48,165):{'3_1':0.06},(48,164):{'3_1':0.06,'5_2':0.0},(48,163):{'3_1':0.0},(48,162):{'3_1':0.0,'4_1':0.0},(48,161):{'3_1':0.06},(48,160):{'4_1':0.03,'3_1':0.0},(48,159):{'3_1':0.03},(48,158):{'3_1':0.03},(48,157):{'4_1':0.0,'3_1':0.0},(48,156):{'3_1':0.03,'4_1':0.0},(48,155):{'3_1':0.03},(48,154):{'3_1':0.0,'4_1':0.0},(48,153):{'3_1':0.03},(48,152):{'3_1':0.03,'4_1':0.0},(48,151):{'3_1':0.03},(48,150):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(48,149):{'3_1':0.03,'4_1':0.0},(48,148):{'3_1':0.0,'4_1':0.0},(48,147):{'3_1':0.03,'4_1':0.03},(48,146):{'3_1':0.0,'4_1':0.0},(48,145):{'3_1':0.03,'4_1':0.0},(48,144):{'3_1':0.0},(48,143):{'3_1':0.03,'4_1':0.0},(48,142):{'3_1':0.0},(48,141):{'3_1':0.03},(48,140):{'3_1':0.0},(48,139):{'3_1':0.0,'4_1':0.0},(48,138):{'3_1':0.03},(48,137):{'3_1':0.0},(48,136):{'3_1':0.03,'4_1':0.0},(48,135):{'3_1':0.0,'4_1':0.0},(48,134):{'3_1':0.0,'4_1':0.0},(48,133):{'3_1':0.0},(48,132):{'3_1':0.03},(48,131):{'3_1':0.03},(48,130):{'3_1':0.0,'4_1':0.0},(48,129):{'3_1':0.0},(48,128):{'3_1':0.03},(48,127):{'3_1':0.0},(48,126):{'3_1':0.0},(48,125):{'3_1':0.0},(48,124):{'3_1':0.03},(48,123):{'3_1':0.0},(48,122):{'3_1':0.0},(48,121):{'3_1':0.0},(48,120):{'3_1':0.0},(48,119):{'3_1':0.0},(48,118):{'3_1':0.0},(48,117):{'3_1':0.0},(48,116):{'3_1':0.03},(48,115):{'3_1':0.0},(48,114):{'3_1':0.0},(48,113):{'3_1':0.0},(48,112):{'3_1':0.0},(48,111):{'3_1':0.0},(48,110):{'3_1':0.0},(48,109):{'3_1':0.0},(48,108):{'3_1':0.0},(48,107):{'3_1':0.03},(48,104):{'3_1':0.0},(48,103):{'3_1':0.0},(48,102):{'3_1':0.0},(48,100):{'3_1':0.03},(48,97):{'3_1':0.0},(48,96):{'3_1':0.0},(48,89):{'3_1':0.0},(48,88):{'3_1':0.0},(48,87):{'3_1':0.0},(48,85):{'3_1':0.0},(48,84):{'3_1':0.0},(48,82):{'3_1':0.0},(48,76):{'3_1':0.0},(48,73):{'3_1':0.0},(48,71):{'3_1':0.0},(48,69):{'3_1':0.0},(48,68):{'3_1':0.0},(48,66):{'3_1':0.0},(48,65):{'3_1':0.0},(49,290):{'3_1':0.36,'5_1':0.09,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(49,289):{'3_1':0.36,'5_1':0.12,'4_1':0.03,'-3':0.0,'5_2':0.0,'7_6':0.0},(49,288):{'3_1':0.3,'4_1':0.06,'5_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_11':0.0,'8_19':0.0,'-3':0.0},(49,287):{'3_1':0.36,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'9_1':0.0},(49,286):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_5':0.0},(49,285):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'6_1':0.0},(49,284):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0},(49,283):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0},(49,282):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0,'9_1':0.0},(49,281):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(49,280):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(49,279):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(49,278):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_1':0.0,'8_16':0.0,'-3':0.0},(49,277):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(49,276):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'4_1':0.0},(49,275):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(49,274):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0},(49,273):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(49,272):{'3_1':0.18,'6_3':0.0,'7_5':0.0},(49,271):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(49,270):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(49,269):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(49,268):{'3_1':0.09,'6_1':0.0},(49,267):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(49,266):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(49,265):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(49,264):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'8_19':0.0},(49,263):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_19':0.0},(49,262):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(49,261):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(49,260):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(49,259):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0},(49,258):{'3_1':0.21,'5_1':0.06,'5_2':0.0,'7_5':0.0,'-3':0.0},(49,257):{'3_1':0.18,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(49,256):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'-3':0.0},(49,255):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(49,254):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(49,253):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(49,252):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_6':0.0},(49,251):{'3_1':0.09,'5_1':0.0},(49,250):{'3_1':0.15,'5_2':0.0,'8_21|3_1#4_1':0.0},(49,249):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(49,248):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(49,247):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(49,246):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_9':0.0},(49,245):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(49,244):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(49,243):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(49,242):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(49,241):{'3_1':0.09,'4_1':0.03},(49,240):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(49,239):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(49,238):{'3_1':0.09,'4_1':0.0},(49,237):{'3_1':0.06,'4_1':0.0},(49,236):{'4_1':0.06,'3_1':0.03,'6_2':0.0},(49,235):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(49,234):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0},(49,233):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(49,232):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(49,231):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(49,230):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(49,229):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(49,228):{'3_1':0.12,'4_1':0.0},(49,227):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(49,226):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(49,225):{'3_1':0.09,'4_1':0.06,'8_20|3_1#3_1':0.0},(49,224):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(49,223):{'3_1':0.12,'4_1':0.06,'6_1':0.0},(49,222):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(49,221):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(49,220):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(49,219):{'3_1':0.06,'4_1':0.0},(49,218):{'3_1':0.03,'4_1':0.0},(49,217):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(49,216):{'3_1':0.06,'4_1':0.0},(49,215):{'3_1':0.06},(49,214):{'3_1':0.06},(49,213):{'3_1':0.09,'4_1':0.0},(49,212):{'3_1':0.03,'5_1':0.0},(49,211):{'3_1':0.03},(49,210):{'3_1':0.09},(49,209):{'3_1':0.03,'4_1':0.0},(49,208):{'3_1':0.03,'4_1':0.0},(49,207):{'3_1':0.03,'4_1':0.0},(49,206):{'3_1':0.06},(49,205):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(49,204):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(49,203):{'3_1':0.0,'4_1':0.0},(49,202):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(49,201):{'3_1':0.06,'6_3':0.0},(49,200):{'3_1':0.09,'4_1':0.0},(49,199):{'3_1':0.06,'4_1':0.0},(49,198):{'3_1':0.0,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(49,197):{'3_1':0.06,'4_1':0.0},(49,196):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(49,195):{'3_1':0.03,'4_1':0.0},(49,194):{'3_1':0.03,'8_21|3_1#4_1':0.0},(49,193):{'3_1':0.03},(49,192):{'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(49,191):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(49,190):{'3_1':0.06},(49,189):{'3_1':0.03,'4_1':0.03},(49,188):{'3_1':0.03,'8_20|3_1#3_1':0.0},(49,187):{'3_1':0.09},(49,186):{'3_1':0.06},(49,185):{'3_1':0.06,'6_2':0.0},(49,184):{'3_1':0.0,'4_1':0.0},(49,183):{'3_1':0.0,'5_1':0.0},(49,182):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(49,181):{'4_1':0.03,'3_1':0.0},(49,180):{'3_1':0.0,'4_1':0.0},(49,179):{'3_1':0.03,'5_2':0.0},(49,178):{'3_1':0.03},(49,177):{'3_1':0.0,'4_1':0.0},(49,176):{'3_1':0.03,'4_1':0.0},(49,175):{'3_1':0.0,'4_1':0.0},(49,174):{'3_1':0.03},(49,173):{'3_1':0.09,'4_1':0.03},(49,172):{'3_1':0.0,'4_1':0.0},(49,171):{'3_1':0.06,'4_1':0.0},(49,170):{'3_1':0.03},(49,169):{'3_1':0.03},(49,168):{'3_1':0.06,'4_1':0.0},(49,167):{'3_1':0.06,'4_1':0.0},(49,166):{'3_1':0.06},(49,165):{'3_1':0.06},(49,164):{'3_1':0.06,'4_1':0.0},(49,163):{'3_1':0.03,'5_2':0.0},(49,162):{'3_1':0.03},(49,161):{'3_1':0.09,'4_1':0.0},(49,160):{'3_1':0.03,'4_1':0.0},(49,159):{'3_1':0.06},(49,158):{'3_1':0.03,'4_1':0.0},(49,157):{'3_1':0.03,'5_2':0.0},(49,156):{'4_1':0.0,'3_1':0.0},(49,155):{'3_1':0.03,'4_1':0.0},(49,154):{'4_1':0.0,'3_1':0.0},(49,153):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(49,152):{'3_1':0.03,'4_1':0.0},(49,151):{'3_1':0.03,'4_1':0.0},(49,150):{'3_1':0.06,'4_1':0.0},(49,149):{'3_1':0.0,'4_1':0.0},(49,148):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(49,147):{'3_1':0.06,'4_1':0.0},(49,146):{'3_1':0.06,'4_1':0.0},(49,145):{'3_1':0.0,'4_1':0.0},(49,144):{'3_1':0.03,'4_1':0.0},(49,143):{'3_1':0.03,'4_1':0.0},(49,142):{'3_1':0.03},(49,141):{'3_1':0.03,'4_1':0.0},(49,140):{'3_1':0.03},(49,139):{'3_1':0.0},(49,138):{'3_1':0.03,'4_1':0.0},(49,137):{'3_1':0.0,'4_1':0.0},(49,136):{'3_1':0.03,'4_1':0.0},(49,135):{'3_1':0.03,'6_1':0.0},(49,134):{'3_1':0.0},(49,133):{'3_1':0.0},(49,132):{'3_1':0.0},(49,131):{'3_1':0.06},(49,130):{'3_1':0.03},(49,129):{'3_1':0.03},(49,128):{'3_1':0.03},(49,127):{'3_1':0.03},(49,125):{'3_1':0.03},(49,124):{'3_1':0.03},(49,123):{'3_1':0.0},(49,122):{'3_1':0.0},(49,121):{'3_1':0.0},(49,119):{'3_1':0.03},(49,117):{'3_1':0.0},(49,116):{'3_1':0.03},(49,115):{'3_1':0.0},(49,114):{'4_1':0.0},(49,113):{'3_1':0.0},(49,112):{'3_1':0.0},(49,111):{'3_1':0.0},(49,108):{'3_1':0.0,'4_1':0.0},(49,107):{'3_1':0.0},(49,106):{'3_1':0.0},(49,104):{'3_1':0.0},(49,103):{'3_1':0.0},(49,102):{'3_1':0.0},(49,101):{'3_1':0.0},(49,100):{'3_1':0.0},(49,99):{'3_1':0.0},(49,98):{'3_1':0.03},(49,97):{'3_1':0.0},(49,96):{'3_1':0.0},(49,89):{'3_1':0.0},(49,87):{'3_1':0.0},(49,83):{'3_1':0.0},(49,78):{'3_1':0.0},(49,75):{'3_1':0.0},(49,74):{'3_1':0.0},(49,71):{'3_1':0.0},(49,66):{'3_1':0.0},(50,290):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.03,'6_1':0.0,'-3':0.0},(50,289):{'3_1':0.33,'5_1':0.06,'5_2':0.0,'-3':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(50,288):{'3_1':0.36,'4_1':0.12,'5_1':0.03,'5_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'-3':0.0},(50,287):{'3_1':0.24,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(50,286):{'3_1':0.27,'4_1':0.06,'5_1':0.03,'6_2':0.03,'5_2':0.03,'7_1':0.0,'8_11':0.0},(50,285):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_6':0.0,'6_1':0.0,'6_2':0.0},(50,284):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0},(50,283):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0},(50,282):{'3_1':0.21,'4_1':0.03,'6_2':0.0,'5_1':0.0,'-3':0.0,'8_21|3_1#4_1':0.0},(50,281):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0},(50,280):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'8_19':0.0},(50,279):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(50,278):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_6':0.0},(50,277):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(50,276):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0},(50,275):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(50,274):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(50,273):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(50,272):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(50,271):{'3_1':0.15,'4_1':0.03},(50,270):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(50,269):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(50,268):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(50,267):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(50,266):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(50,265):{'3_1':0.18,'4_1':0.0},(50,264):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(50,263):{'3_1':0.12,'4_1':0.0,'-3':0.0},(50,262):{'3_1':0.15,'4_1':0.03,'6_3':0.0},(50,261):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'-3':0.0},(50,260):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(50,259):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(50,258):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(50,257):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(50,256):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'7_2':0.0},(50,255):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0},(50,254):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(50,253):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(50,252):{'3_1':0.12,'6_2':0.03,'4_1':0.0,'-3':0.0},(50,251):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(50,250):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(50,249):{'3_1':0.09,'4_1':0.06,'6_3':0.0},(50,248):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(50,247):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(50,246):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0},(50,245):{'3_1':0.15,'4_1':0.03},(50,244):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(50,243):{'3_1':0.09,'4_1':0.0},(50,242):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(50,241):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(50,240):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(50,239):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(50,238):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(50,237):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(50,236):{'3_1':0.12,'4_1':0.03},(50,235):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(50,234):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(50,233):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(50,232):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(50,231):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(50,230):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(50,229):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(50,228):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0},(50,227):{'3_1':0.15,'4_1':0.06,'8_21|3_1#4_1':0.0},(50,226):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(50,225):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(50,224):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(50,223):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(50,222):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(50,221):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(50,220):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0},(50,219):{'3_1':0.06,'5_2':0.0},(50,218):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(50,217):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(50,216):{'3_1':0.03},(50,215):{'3_1':0.06,'4_1':0.0},(50,214):{'3_1':0.03,'4_1':0.0},(50,213):{'3_1':0.03,'4_1':0.0},(50,212):{'3_1':0.06,'4_1':0.0},(50,211):{'3_1':0.03,'5_2':0.0},(50,210):{'3_1':0.03},(50,209):{'3_1':0.06,'4_1':0.0},(50,208):{'3_1':0.03,'4_1':0.0},(50,207):{'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(50,206):{'3_1':0.06,'4_1':0.03},(50,205):{'3_1':0.03,'4_1':0.0},(50,204):{'3_1':0.06},(50,203):{'3_1':0.09,'4_1':0.03},(50,202):{'4_1':0.03,'3_1':0.03},(50,201):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(50,200):{'3_1':0.0,'4_1':0.0},(50,199):{'3_1':0.03,'4_1':0.0},(50,198):{'3_1':0.0},(50,197):{'3_1':0.03},(50,196):{'3_1':0.03,'4_1':0.0},(50,195):{'3_1':0.06,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_2':0.0},(50,194):{'3_1':0.03,'5_2':0.0},(50,193):{'3_1':0.03,'4_1':0.0},(50,192):{'3_1':0.03},(50,191):{'3_1':0.03,'4_1':0.0},(50,190):{'3_1':0.06,'8_20|3_1#3_1':0.0},(50,189):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(50,188):{'3_1':0.03,'8_21|3_1#4_1':0.0},(50,187):{'3_1':0.03,'4_1':0.0},(50,186):{'3_1':0.0,'4_1':0.0},(50,185):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(50,184):{'3_1':0.0},(50,183):{'3_1':0.0,'4_1':0.0},(50,182):{'3_1':0.03,'4_1':0.0},(50,181):{'3_1':0.0,'4_1':0.0},(50,180):{'3_1':0.06,'4_1':0.0},(50,179):{'3_1':0.0},(50,178):{'3_1':0.03,'6_2':0.0},(50,177):{'3_1':0.09},(50,176):{'3_1':0.06},(50,175):{'3_1':0.03,'4_1':0.0},(50,174):{'3_1':0.06,'4_1':0.0},(50,173):{'3_1':0.03,'4_1':0.0},(50,172):{'3_1':0.06,'4_1':0.0},(50,171):{'3_1':0.03},(50,170):{'3_1':0.06,'5_2':0.0},(50,169):{'3_1':0.03},(50,168):{'3_1':0.03,'4_1':0.0},(50,167):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(50,166):{'3_1':0.03},(50,165):{'3_1':0.03,'5_2':0.0},(50,164):{'3_1':0.06},(50,163):{'3_1':0.06,'4_1':0.0},(50,162):{'3_1':0.03,'4_1':0.0},(50,161):{'3_1':0.06,'5_2':0.0},(50,160):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(50,159):{'3_1':0.03},(50,158):{'3_1':0.0,'4_1':0.0},(50,157):{'3_1':0.0,'5_2':0.0},(50,156):{'3_1':0.06,'4_1':0.0},(50,155):{'3_1':0.0,'4_1':0.0},(50,154):{'3_1':0.03,'4_1':0.0},(50,153):{'3_1':0.06,'4_1':0.0},(50,152):{'3_1':0.03,'4_1':0.03},(50,151):{'3_1':0.09,'4_1':0.0},(50,150):{'3_1':0.06,'4_1':0.0},(50,149):{'3_1':0.09,'4_1':0.0},(50,148):{'3_1':0.09},(50,147):{'3_1':0.03,'6_1':0.0},(50,146):{'3_1':0.03},(50,145):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(50,144):{'3_1':0.03,'4_1':0.0},(50,143):{'3_1':0.03,'4_1':0.0},(50,142):{'3_1':0.0},(50,141):{'3_1':0.06,'4_1':0.0},(50,140):{'3_1':0.03},(50,139):{'3_1':0.03},(50,138):{'3_1':0.0,'4_1':0.0},(50,137):{'3_1':0.03},(50,136):{'3_1':0.06,'4_1':0.0},(50,135):{'3_1':0.03,'4_1':0.0},(50,134):{'3_1':0.03},(50,133):{'3_1':0.03},(50,132):{'3_1':0.03},(50,131):{'3_1':0.06},(50,130):{'3_1':0.0},(50,129):{'3_1':0.06},(50,128):{'3_1':0.0},(50,127):{'3_1':0.0},(50,126):{'3_1':0.03},(50,125):{'3_1':0.0},(50,124):{'3_1':0.0,'4_1':0.0},(50,123):{'3_1':0.03},(50,122):{'3_1':0.0},(50,120):{'3_1':0.0},(50,119):{'3_1':0.0},(50,118):{'3_1':0.0},(50,117):{'3_1':0.0},(50,116):{'3_1':0.03},(50,115):{'3_1':0.0,'4_1':0.0},(50,114):{'3_1':0.0},(50,113):{'3_1':0.0},(50,111):{'3_1':0.0},(50,110):{'3_1':0.0},(50,109):{'3_1':0.0,'4_1':0.0},(50,107):{'3_1':0.0},(50,105):{'3_1':0.0},(50,104):{'3_1':0.03},(50,103):{'3_1':0.0},(50,102):{'3_1':0.0},(50,100):{'3_1':0.0},(50,99):{'3_1':0.0},(50,98):{'3_1':0.0},(50,97):{'3_1':0.03},(50,86):{'3_1':0.0},(50,83):{'3_1':0.0},(50,75):{'3_1':0.0},(50,73):{'3_1':0.0},(50,69):{'3_1':0.0},(51,290):{'3_1':0.27,'5_1':0.06,'4_1':0.03,'5_2':0.03},(51,289):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.03,'7_3':0.0},(51,288):{'3_1':0.33,'4_1':0.06,'6_2':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(51,287):{'3_1':0.24,'5_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.0},(51,286):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(51,285):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_16':0.0},(51,284):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(51,283):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0},(51,282):{'3_1':0.18,'4_1':0.09,'6_2':0.0,'5_1':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0},(51,281):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(51,280):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(51,279):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(51,278):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(51,277):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'6_2':0.0,'8_8':0.0,'-3':0.0},(51,276):{'3_1':0.18,'4_1':0.03,'-3':0.0,'6_2':0.0,'6_3':0.0,'8_13':0.0},(51,275):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(51,274):{'3_1':0.18,'4_1':0.06,'6_1':0.0,'7_6':0.0},(51,273):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'-3':0.0},(51,272):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'7_6':0.0},(51,271):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0},(51,270):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'8_17':0.0},(51,269):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(51,268):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(51,267):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(51,266):{'3_1':0.12,'4_1':0.0},(51,265):{'3_1':0.09,'4_1':0.0},(51,264):{'3_1':0.09,'4_1':0.06,'7_6':0.0},(51,263):{'3_1':0.06,'4_1':0.0,'-3':0.0},(51,262):{'3_1':0.09,'4_1':0.03},(51,261):{'3_1':0.15,'4_1':0.03},(51,260):{'3_1':0.15,'4_1':0.03},(51,259):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0},(51,258):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(51,257):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(51,256):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(51,255):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,254):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_9':0.0},(51,253):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(51,252):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(51,251):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(51,250):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(51,249):{'3_1':0.09,'4_1':0.0},(51,248):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'6_3':0.0},(51,247):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(51,246):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(51,245):{'3_1':0.15,'4_1':0.0},(51,244):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(51,243):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(51,242):{'3_1':0.12,'4_1':0.0},(51,241):{'3_1':0.09,'4_1':0.0},(51,240):{'3_1':0.06,'4_1':0.03,'-3':0.0},(51,239):{'3_1':0.12},(51,238):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(51,237):{'3_1':0.0,'5_2':0.0},(51,236):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(51,235):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(51,234):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(51,233):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(51,232):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(51,231):{'3_1':0.09,'4_1':0.0},(51,230):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(51,229):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(51,228):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(51,227):{'3_1':0.12,'4_1':0.03},(51,226):{'3_1':0.09,'4_1':0.06},(51,225):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(51,224):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(51,223):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(51,222):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(51,221):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(51,220):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_3':0.0},(51,219):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(51,218):{'3_1':0.12,'4_1':0.03},(51,217):{'3_1':0.06,'4_1':0.03},(51,216):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(51,215):{'3_1':0.06,'4_1':0.03},(51,214):{'3_1':0.06,'4_1':0.0},(51,213):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(51,212):{'3_1':0.06,'4_1':0.0},(51,211):{'3_1':0.06,'4_1':0.03},(51,210):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(51,209):{'3_1':0.06},(51,208):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(51,207):{'3_1':0.03},(51,206):{'3_1':0.03,'4_1':0.0},(51,205):{'3_1':0.06,'4_1':0.0},(51,204):{'3_1':0.0,'4_1':0.0},(51,203):{'3_1':0.03,'4_1':0.0},(51,202):{'3_1':0.06},(51,201):{'3_1':0.03,'4_1':0.0},(51,200):{'3_1':0.03,'4_1':0.0},(51,199):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(51,198):{'3_1':0.03,'4_1':0.03},(51,197):{'3_1':0.03},(51,196):{'3_1':0.0},(51,195):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(51,194):{'3_1':0.03},(51,193):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(51,192):{'3_1':0.09,'4_1':0.0},(51,191):{'3_1':0.03,'4_1':0.0},(51,190):{'3_1':0.03,'4_1':0.0},(51,189):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(51,188):{'3_1':0.03,'4_1':0.0},(51,187):{'3_1':0.03,'4_1':0.0},(51,186):{'3_1':0.06,'4_1':0.0},(51,185):{'3_1':0.09,'5_1':0.0,'-3':0.0},(51,184):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(51,183):{'3_1':0.06,'4_1':0.0},(51,182):{'3_1':0.0,'4_1':0.0},(51,181):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(51,180):{'3_1':0.03,'4_1':0.0},(51,179):{'3_1':0.06},(51,178):{'3_1':0.03,'4_1':0.0},(51,177):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(51,176):{'3_1':0.0,'4_1':0.0},(51,175):{'3_1':0.0},(51,174):{'3_1':0.06},(51,173):{'3_1':0.09},(51,172):{'3_1':0.03},(51,171):{'3_1':0.06},(51,170):{'3_1':0.06,'4_1':0.0},(51,169):{'3_1':0.06},(51,168):{'3_1':0.06,'4_1':0.0},(51,167):{'3_1':0.03,'4_1':0.0},(51,166):{'3_1':0.06},(51,165):{'3_1':0.03,'4_1':0.0},(51,164):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(51,163):{'4_1':0.03,'3_1':0.0},(51,162):{'3_1':0.06,'4_1':0.0},(51,161):{'3_1':0.03},(51,160):{'3_1':0.03,'4_1':0.0},(51,159):{'3_1':0.0,'4_1':0.0},(51,158):{'4_1':0.0,'3_1':0.0},(51,157):{'3_1':0.0,'4_1':0.0},(51,156):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(51,155):{'3_1':0.06,'4_1':0.0},(51,154):{'3_1':0.06,'4_1':0.0},(51,153):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(51,152):{'3_1':0.06,'4_1':0.0},(51,151):{'3_1':0.0,'4_1':0.0},(51,150):{'3_1':0.0,'4_1':0.0},(51,149):{'3_1':0.03,'4_1':0.0},(51,148):{'3_1':0.06,'4_1':0.0},(51,147):{'3_1':0.03,'5_2':0.0},(51,146):{'3_1':0.0,'4_1':0.0},(51,145):{'3_1':0.03},(51,144):{'3_1':0.06,'4_1':0.0},(51,143):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(51,142):{'3_1':0.03},(51,141):{'3_1':0.03,'4_1':0.0},(51,139):{'3_1':0.0},(51,138):{'3_1':0.0,'4_1':0.0},(51,137):{'3_1':0.0},(51,136):{'3_1':0.03,'4_1':0.0},(51,135):{'3_1':0.0},(51,134):{'3_1':0.03},(51,133):{'3_1':0.0},(51,132):{'3_1':0.09},(51,131):{'3_1':0.09},(51,130):{'3_1':0.03},(51,129):{'3_1':0.03},(51,128):{'3_1':0.03},(51,127):{'3_1':0.0},(51,126):{'3_1':0.0},(51,125):{'3_1':0.03},(51,124):{'3_1':0.0},(51,123):{'3_1':0.0},(51,122):{'3_1':0.0},(51,121):{'3_1':0.0},(51,120):{'3_1':0.0},(51,119):{'3_1':0.03},(51,118):{'3_1':0.0},(51,117):{'3_1':0.0},(51,116):{'3_1':0.0,'4_1':0.0},(51,115):{'3_1':0.0},(51,114):{'3_1':0.0},(51,113):{'3_1':0.0},(51,112):{'3_1':0.0},(51,111):{'3_1':0.0},(51,110):{'3_1':0.0},(51,109):{'3_1':0.0,'4_1':0.0},(51,108):{'3_1':0.0},(51,107):{'3_1':0.0},(51,106):{'3_1':0.0},(52,290):{'3_1':0.27,'5_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0},(52,289):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'1':-0.03},(52,288):{'3_1':0.33,'4_1':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0},(52,287):{'3_1':0.21,'4_1':0.06,'5_1':0.06,'6_2':0.0,'5_2':0.0,'-3':0.0},(52,286):{'3_1':0.21,'4_1':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(52,285):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(52,284):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_16':0.0},(52,283):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_4':0.0,'8_13':0.0},(52,282):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(52,281):{'3_1':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0},(52,280):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(52,279):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(52,278):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0,'-3':0.0},(52,277):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(52,276):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_6':0.0,'8_11':0.0},(52,275):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_3':0.0},(52,274):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(52,273):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(52,272):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(52,271):{'3_1':0.09,'4_1':0.0,'-3':0.0},(52,270):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'6_1':0.0},(52,269):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(52,268):{'3_1':0.09,'4_1':0.03},(52,267):{'3_1':0.12,'4_1':0.0},(52,266):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'-3':0.0},(52,265):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(52,264):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(52,263):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(52,262):{'3_1':0.15,'4_1':0.0},(52,261):{'3_1':0.12,'4_1':0.03},(52,260):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(52,259):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(52,258):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(52,257):{'3_1':0.21,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0},(52,256):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0},(52,255):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(52,254):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'8_21|3_1#4_1':0.0},(52,253):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(52,252):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(52,251):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'6_3':0.0},(52,250):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_2':0.0},(52,249):{'3_1':0.12,'4_1':0.03,'8_9':0.0},(52,248):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(52,247):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(52,246):{'3_1':0.09,'4_1':0.06,'6_2':0.0},(52,245):{'3_1':0.15,'4_1':0.06},(52,244):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(52,243):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(52,242):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(52,241):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(52,240):{'3_1':0.06,'4_1':0.03},(52,239):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(52,238):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(52,237):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(52,236):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(52,235):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(52,234):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(52,233):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(52,232):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(52,231):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(52,230):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(52,229):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(52,228):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(52,227):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(52,226):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(52,225):{'3_1':0.12,'4_1':0.03},(52,224):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'8_21|3_1#4_1':0.0},(52,223):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(52,222):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(52,221):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(52,220):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(52,219):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(52,218):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(52,217):{'3_1':0.15,'4_1':0.0},(52,216):{'3_1':0.06,'4_1':0.0},(52,215):{'3_1':0.09,'4_1':0.03},(52,214):{'3_1':0.03,'4_1':0.0},(52,213):{'3_1':0.03},(52,212):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(52,211):{'4_1':0.0,'3_1':0.0},(52,210):{'3_1':0.03,'4_1':0.0},(52,209):{'3_1':0.03,'4_1':0.0},(52,208):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0},(52,207):{'4_1':0.0,'3_1':0.0},(52,206):{'3_1':0.0,'5_2':0.0},(52,205):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(52,204):{'3_1':0.03,'4_1':0.0},(52,203):{'3_1':0.03,'4_1':0.0},(52,202):{'3_1':0.06,'4_1':0.0},(52,201):{'3_1':0.03,'4_1':0.0},(52,200):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(52,199):{'3_1':0.03},(52,198):{'3_1':0.0,'4_1':0.0},(52,197):{'3_1':0.06,'-3':0.0},(52,196):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(52,195):{'3_1':0.03,'5_2':0.0},(52,194):{'3_1':0.03},(52,193):{'3_1':0.06,'6_2':0.0},(52,192):{'3_1':0.09,'8_20|3_1#3_1':0.0},(52,191):{'3_1':0.03},(52,190):{'3_1':0.06},(52,189):{'3_1':0.03,'4_1':0.0},(52,188):{'3_1':0.06},(52,187):{'3_1':0.03},(52,186):{'3_1':0.06,'4_1':0.0},(52,185):{'3_1':0.06},(52,184):{'3_1':0.03,'4_1':0.0},(52,183):{'3_1':0.03,'4_1':0.0},(52,182):{'3_1':0.06,'4_1':0.0},(52,181):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(52,180):{'3_1':0.0,'4_1':0.0},(52,179):{'3_1':0.03},(52,178):{'3_1':0.09},(52,177):{'3_1':0.06},(52,176):{'3_1':0.06},(52,175):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(52,174):{'3_1':0.0},(52,173):{'3_1':0.12,'4_1':0.0},(52,172):{'3_1':0.0},(52,171):{'3_1':0.03,'4_1':0.0},(52,170):{'3_1':0.06},(52,169):{'3_1':0.0},(52,168):{'3_1':0.0},(52,167):{'3_1':0.06,'4_1':0.0},(52,166):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(52,165):{'3_1':0.06,'4_1':0.0},(52,164):{'3_1':0.03,'4_1':0.0},(52,163):{'3_1':0.03},(52,162):{'3_1':0.03},(52,161):{'3_1':0.0,'4_1':0.0},(52,160):{'3_1':0.0},(52,159):{'3_1':0.0,'4_1':0.0},(52,158):{'3_1':0.03,'6_3':0.0},(52,157):{'3_1':0.03,'4_1':0.0},(52,156):{'3_1':0.0},(52,155):{'4_1':0.0,'3_1':0.0},(52,154):{'3_1':0.03,'4_1':0.0},(52,153):{'3_1':0.03,'4_1':0.03},(52,152):{'3_1':0.03,'4_1':0.0},(52,151):{'3_1':0.06,'4_1':0.0},(52,150):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(52,149):{'3_1':0.03,'4_1':0.0},(52,148):{'3_1':0.0},(52,147):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(52,146):{'3_1':0.0,'4_1':0.0},(52,145):{'4_1':0.0,'3_1':0.0},(52,144):{'3_1':0.03,'4_1':0.0},(52,143):{'4_1':0.03,'3_1':0.03},(52,142):{'3_1':0.03,'4_1':0.0},(52,141):{'3_1':0.0,'4_1':0.0},(52,140):{'3_1':0.0,'4_1':0.0},(52,139):{'4_1':0.0,'3_1':0.0},(52,138):{'3_1':0.0,'4_1':0.0},(52,137):{'3_1':0.0,'4_1':0.0},(52,136):{'3_1':0.03,'4_1':0.0},(52,135):{'3_1':0.03},(52,133):{'3_1':0.0},(52,132):{'3_1':0.0},(52,131):{'3_1':0.03},(52,130):{'3_1':0.03},(52,129):{'3_1':0.0},(52,128):{'3_1':0.0,'4_1':0.0},(52,127):{'3_1':0.03},(52,126):{'3_1':0.0},(52,125):{'3_1':0.0},(52,123):{'3_1':0.0},(52,122):{'3_1':0.0},(52,120):{'3_1':0.0},(52,115):{'3_1':0.0},(52,114):{'3_1':0.0},(52,112):{'3_1':0.0},(52,107):{'4_1':0.0},(52,106):{'3_1':0.0},(52,103):{'3_1':0.0},(52,102):{'3_1':0.0},(52,100):{'3_1':0.0},(52,98):{'3_1':0.0},(52,97):{'3_1':0.0},(52,96):{'3_1':0.0},(52,95):{'3_1':0.0},(52,94):{'3_1':0.0},(52,93):{'3_1':0.0},(52,92):{'3_1':0.0},(52,91):{'3_1':0.0},(53,290):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(53,289):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(53,288):{'3_1':0.15,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'8_14':0.0},(53,287):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(53,286):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(53,285):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(53,284):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(53,283):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0},(53,282):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(53,281):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(53,280):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_2':0.0},(53,279):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'8_13':0.0},(53,278):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'8_13':0.0},(53,277):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(53,276):{'3_1':0.12,'4_1':0.0,'7_6':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(53,275):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0,'7_7':0.0,'-3':0.0},(53,274):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(53,273):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(53,272):{'3_1':0.09,'4_1':0.03,'7_6':0.0},(53,271):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(53,270):{'3_1':0.06,'5_2':0.0},(53,269):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'-3':0.0},(53,268):{'3_1':0.09,'5_1':0.0},(53,267):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(53,266):{'3_1':0.06,'4_1':0.03,'8_21|3_1#4_1':0.0},(53,265):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(53,264):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(53,263):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(53,262):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(53,261):{'3_1':0.12,'4_1':0.0},(53,260):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(53,259):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(53,258):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(53,257):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'-3':0.0,'5_1':0.0},(53,256):{'3_1':0.18,'6_2':0.0,'-3':0.0},(53,255):{'3_1':0.12,'4_1':0.06,'6_1':0.0},(53,254):{'3_1':0.09,'4_1':0.03,'7_6':0.0},(53,253):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(53,252):{'3_1':0.18,'4_1':0.06,'6_2':0.0},(53,251):{'3_1':0.06,'4_1':0.03},(53,250):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(53,249):{'3_1':0.12,'4_1':0.06,'6_1':0.0},(53,248):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(53,247):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_6':0.0},(53,246):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(53,245):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(53,244):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(53,243):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(53,242):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(53,241):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_6':0.0},(53,240):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(53,239):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(53,238):{'3_1':0.09,'4_1':0.0},(53,237):{'3_1':0.06,'4_1':0.03},(53,236):{'4_1':0.06,'3_1':0.06},(53,235):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(53,234):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(53,233):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(53,232):{'4_1':0.03,'3_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(53,231):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(53,230):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(53,229):{'3_1':0.09,'4_1':0.06},(53,228):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(53,227):{'4_1':0.09,'3_1':0.09,'5_1':0.0},(53,226):{'3_1':0.09,'4_1':0.0},(53,225):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(53,224):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(53,223):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(53,222):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(53,221):{'3_1':0.12,'4_1':0.06},(53,220):{'3_1':0.09,'4_1':0.06},(53,219):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(53,218):{'3_1':0.09,'4_1':0.03},(53,217):{'3_1':0.06,'4_1':0.06},(53,216):{'3_1':0.09,'4_1':0.03},(53,215):{'4_1':0.03,'3_1':0.0},(53,214):{'3_1':0.03,'4_1':0.03},(53,213):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(53,212):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(53,211):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(53,210):{'4_1':0.0,'3_1':0.0},(53,209):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(53,208):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(53,207):{'4_1':0.03,'3_1':0.0},(53,206):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(53,205):{'3_1':0.03,'4_1':0.0},(53,204):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(53,203):{'3_1':0.06,'4_1':0.0},(53,202):{'4_1':0.0,'5_2':0.0},(53,201):{'3_1':0.03,'4_1':0.0},(53,200):{'3_1':0.0},(53,199):{'3_1':0.0,'4_1':0.0},(53,198):{'3_1':0.06,'4_1':0.0},(53,197):{'3_1':0.0,'4_1':0.0},(53,196):{'3_1':0.03,'4_1':0.0},(53,195):{'3_1':0.03,'4_1':0.0},(53,194):{'3_1':0.03,'4_1':0.0},(53,193):{'3_1':0.03,'4_1':0.0},(53,192):{'3_1':0.03,'6_2':0.0},(53,191):{'3_1':0.03,'4_1':0.0},(53,190):{'3_1':0.03},(53,189):{'3_1':0.0,'5_1':0.0},(53,188):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(53,187):{'3_1':0.06},(53,186):{'3_1':0.03,'4_1':0.0},(53,185):{'3_1':0.03,'4_1':0.0},(53,184):{'3_1':0.0,'4_1':0.0},(53,183):{'3_1':0.03},(53,182):{'3_1':0.03,'4_1':0.03},(53,181):{'3_1':0.06,'4_1':0.0},(53,180):{'3_1':0.03,'4_1':0.0},(53,179):{'3_1':0.0,'4_1':0.0},(53,178):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(53,177):{'3_1':0.0,'4_1':0.0},(53,176):{'3_1':0.03,'4_1':0.0,'-3':0.0},(53,175):{'3_1':0.0,'4_1':0.0},(53,174):{'3_1':0.06,'4_1':0.0},(53,173):{'3_1':0.03,'4_1':0.03},(53,172):{'3_1':0.03,'4_1':0.03},(53,171):{'3_1':0.03,'4_1':0.0},(53,170):{'4_1':0.03,'3_1':0.0},(53,169):{'3_1':0.0,'4_1':0.0},(53,168):{'3_1':0.0,'4_1':0.0},(53,167):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(53,166):{'3_1':0.03,'4_1':0.0},(53,165):{'3_1':0.03,'4_1':0.0},(53,164):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(53,163):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(53,162):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(53,161):{'4_1':0.06,'3_1':0.0},(53,160):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(53,159):{'3_1':0.03,'4_1':0.0},(53,158):{'3_1':0.0,'4_1':0.0},(53,157):{'4_1':0.03,'3_1':0.0},(53,156):{'4_1':0.03,'3_1':0.0},(53,155):{'4_1':0.03,'3_1':0.0},(53,154):{'4_1':0.03,'3_1':0.0},(53,153):{'4_1':0.03,'3_1':0.0},(53,152):{'4_1':0.06,'3_1':0.0},(53,151):{'4_1':0.0},(53,150):{'4_1':0.03,'3_1':0.0},(53,149):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(53,148):{'3_1':0.0,'4_1':0.0},(53,147):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(53,146):{'3_1':0.03,'4_1':0.0},(53,145):{'3_1':0.03,'4_1':0.03},(53,144):{'3_1':0.0,'4_1':0.0},(53,143):{'3_1':0.0,'4_1':0.0},(53,142):{'3_1':0.0,'4_1':0.0},(53,141):{'3_1':0.0,'4_1':0.0},(53,140):{'4_1':0.0,'3_1':0.0},(53,139):{'3_1':0.0,'4_1':0.0},(53,138):{'3_1':0.0},(53,137):{'3_1':0.0,'4_1':0.0},(53,136):{'3_1':0.0,'4_1':0.0},(53,135):{'4_1':0.0},(53,134):{'3_1':0.03,'4_1':0.0},(53,133):{'3_1':0.03},(53,132):{'3_1':0.0},(53,131):{'3_1':0.03,'4_1':0.0},(53,130):{'3_1':0.03},(53,128):{'3_1':0.0},(53,127):{'3_1':0.0},(53,126):{'3_1':0.0},(53,124):{'3_1':0.0},(53,123):{'3_1':0.0},(53,122):{'3_1':0.0},(53,118):{'3_1':0.0,'4_1':0.0},(53,117):{'3_1':0.0},(53,116):{'3_1':0.0},(53,115):{'3_1':0.0},(53,114):{'3_1':0.0},(53,109):{'3_1':0.0},(53,108):{'3_1':0.0},(53,107):{'3_1':0.0},(53,103):{'3_1':0.0},(53,101):{'3_1':0.0},(53,100):{'3_1':0.0},(53,99):{'3_1':0.0},(53,97):{'3_1':0.0},(54,290):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(54,289):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(54,288):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(54,287):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0},(54,286):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_4':0.0,'8_6':0.0,'-3':0.0},(54,285):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(54,284):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_13':0.0},(54,283):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(54,282):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_2':0.0,'-3':0.0},(54,281):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0},(54,280):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_5':0.0},(54,279):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(54,278):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(54,277):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_13':0.0},(54,276):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(54,275):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0},(54,274):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(54,273):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0},(54,272):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(54,271):{'3_1':0.06,'4_1':0.03},(54,270):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(54,269):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(54,268):{'3_1':0.06,'4_1':0.0},(54,267):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(54,266):{'3_1':0.06,'6_2':0.0},(54,265):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(54,264):{'3_1':0.09,'4_1':0.0},(54,263):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(54,262):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(54,261):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(54,260):{'3_1':0.18,'4_1':0.03},(54,259):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(54,258):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(54,257):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(54,256):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(54,255):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(54,254):{'3_1':0.06,'4_1':0.06,'6_3':0.0,'8_9':0.0},(54,253):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(54,252):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(54,251):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(54,250):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(54,249):{'3_1':0.06,'4_1':0.03},(54,248):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0},(54,247):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(54,246):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(54,245):{'4_1':0.09,'3_1':0.03},(54,244):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(54,243):{'3_1':0.12,'4_1':0.03},(54,242):{'3_1':0.09,'4_1':0.0},(54,241):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(54,240):{'3_1':0.06,'4_1':0.03},(54,239):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(54,238):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(54,237):{'3_1':0.12,'4_1':0.03},(54,236):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(54,235):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(54,234):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(54,233):{'3_1':0.06,'4_1':0.0},(54,232):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(54,231):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(54,230):{'3_1':0.09,'4_1':0.0},(54,229):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(54,228):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(54,227):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(54,226):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(54,225):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(54,224):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(54,223):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(54,222):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(54,221):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(54,220):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(54,219):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(54,218):{'3_1':0.06,'4_1':0.0},(54,217):{'3_1':0.06,'4_1':0.0},(54,216):{'3_1':0.09},(54,215):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(54,214):{'3_1':0.03,'4_1':0.0},(54,213):{'3_1':0.03,'4_1':0.0},(54,212):{'3_1':0.06,'4_1':0.0},(54,211):{'3_1':0.06},(54,210):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(54,209):{'3_1':0.0,'4_1':0.0},(54,208):{'3_1':0.0,'4_1':0.0},(54,207):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(54,206):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(54,205):{'3_1':0.03,'4_1':0.0},(54,204):{'3_1':0.06},(54,203):{'3_1':0.06},(54,202):{'3_1':0.03,'4_1':0.0},(54,201):{'3_1':0.03,'4_1':0.0},(54,200):{'3_1':0.0,'4_1':0.0},(54,199):{'4_1':0.0,'3_1':0.0},(54,198):{'3_1':0.09},(54,197):{'3_1':0.03},(54,196):{'3_1':0.06,'4_1':0.0},(54,195):{'3_1':0.06,'5_2':0.0},(54,194):{'3_1':0.0},(54,193):{'3_1':0.0,'4_1':0.0,'-3':0.0},(54,192):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(54,191):{'3_1':0.03,'4_1':0.0},(54,190):{'3_1':0.0,'4_1':0.0},(54,189):{'3_1':0.06,'4_1':0.03},(54,188):{'3_1':0.06},(54,187):{'3_1':0.03},(54,186):{'3_1':0.03},(54,185):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(54,184):{'3_1':0.0,'4_1':0.0},(54,183):{'3_1':0.0,'-3':0.0},(54,182):{'3_1':0.03,'4_1':0.0},(54,181):{'3_1':0.0,'4_1':0.0},(54,180):{'3_1':0.0,'4_1':0.0},(54,179):{'3_1':0.0},(54,178):{'4_1':0.0,'3_1':0.0},(54,177):{'3_1':0.03},(54,176):{'3_1':0.03,'4_1':0.0},(54,175):{'3_1':0.03},(54,174):{'3_1':0.03},(54,173):{'3_1':0.03,'4_1':0.0},(54,172):{'3_1':0.0,'4_1':0.0},(54,171):{'4_1':0.0,'3_1':0.0},(54,170):{'3_1':0.0,'4_1':0.0},(54,169):{'3_1':0.03},(54,168):{'3_1':0.0,'4_1':0.0},(54,167):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(54,166):{'3_1':0.0},(54,165):{'3_1':0.0,'4_1':0.0},(54,164):{'3_1':0.03},(54,163):{'3_1':0.03,'4_1':0.0},(54,162):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(54,161):{'3_1':0.03,'5_2':0.0},(54,160):{'3_1':0.03,'4_1':0.0},(54,159):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(54,158):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(54,157):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(54,156):{'3_1':0.03,'4_1':0.0},(54,155):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(54,154):{'3_1':0.0,'4_1':0.0},(54,153):{'3_1':0.03},(54,152):{'4_1':0.03,'3_1':0.0},(54,151):{'3_1':0.0},(54,150):{'3_1':0.03,'4_1':0.0},(54,149):{'3_1':0.03},(54,148):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(54,147):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(54,146):{'3_1':0.0,'4_1':0.0},(54,145):{'3_1':0.0},(54,144):{'3_1':0.0,'4_1':0.0},(54,143):{'4_1':0.0,'3_1':0.0},(54,142):{'3_1':0.0},(54,141):{'3_1':0.0},(54,140):{'3_1':0.0,'4_1':0.0},(54,139):{'3_1':0.0,'4_1':0.0},(54,138):{'3_1':0.0,'4_1':0.0},(54,136):{'4_1':0.0,'3_1':0.0},(54,135):{'3_1':0.0},(54,134):{'3_1':0.0},(54,133):{'3_1':0.03},(54,132):{'3_1':0.0},(54,131):{'3_1':0.06},(54,130):{'3_1':0.0},(54,129):{'3_1':0.0},(54,126):{'3_1':0.0},(54,124):{'4_1':0.0},(54,123):{'3_1':0.0},(54,122):{'3_1':0.0},(54,120):{'3_1':0.0,'4_1':0.0},(54,119):{'3_1':0.0},(54,113):{'3_1':0.0},(54,112):{'3_1':0.0},(54,110):{'3_1':0.0},(54,108):{'3_1':0.0},(54,104):{'3_1':0.0},(54,102):{'3_1':0.03},(54,101):{'3_1':0.0},(54,100):{'3_1':0.0},(54,98):{'3_1':0.0},(55,290):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_3':0.0},(55,289):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0},(55,288):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(55,287):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(55,286):{'3_1':0.09,'6_2':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(55,285):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(55,284):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(55,283):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(55,282):{'3_1':0.12,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(55,281):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(55,280):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_2':0.0},(55,279):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0},(55,278):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'-3':0.0},(55,277):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'8_5':0.0,'-3':0.0},(55,276):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0},(55,275):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0},(55,274):{'3_1':0.06,'4_1':0.03},(55,273):{'3_1':0.12,'7_6':0.0},(55,272):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(55,271):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_3':0.0},(55,270):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(55,269):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(55,268):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(55,267):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(55,266):{'3_1':0.06,'5_2':0.0},(55,265):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(55,264):{'3_1':0.09,'5_2':0.0},(55,263):{'3_1':0.06,'4_1':0.03},(55,262):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(55,261):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(55,260):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(55,259):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_5':0.0},(55,258):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(55,257):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(55,256):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'5_2':0.0},(55,255):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_9':0.0},(55,254):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(55,253):{'3_1':0.12,'4_1':0.0},(55,252):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(55,251):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(55,250):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(55,249):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_2':0.0},(55,248):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(55,247):{'3_1':0.12},(55,246):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(55,245):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(55,244):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(55,243):{'3_1':0.06,'4_1':0.03},(55,242):{'3_1':0.09,'4_1':0.03},(55,241):{'3_1':0.0,'4_1':0.0},(55,240):{'3_1':0.03,'4_1':0.0},(55,239):{'3_1':0.09,'4_1':0.0},(55,238):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(55,237):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(55,236):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(55,235):{'3_1':0.06,'4_1':0.0},(55,234):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(55,233):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(55,232):{'3_1':0.06,'4_1':0.0},(55,231):{'3_1':0.09,'4_1':0.0},(55,230):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(55,229):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(55,228):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(55,227):{'3_1':0.09,'4_1':0.0},(55,226):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(55,225):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(55,224):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(55,223):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_1':0.0},(55,222):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(55,221):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(55,220):{'3_1':0.06,'4_1':0.0},(55,219):{'3_1':0.12,'4_1':0.0},(55,218):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(55,217):{'3_1':0.03,'4_1':0.0},(55,216):{'3_1':0.06,'4_1':0.0},(55,215):{'3_1':0.06},(55,214):{'3_1':0.03,'4_1':0.0},(55,213):{'3_1':0.03,'4_1':0.0},(55,212):{'3_1':0.0,'4_1':0.0},(55,211):{'3_1':0.03,'4_1':0.0},(55,210):{'3_1':0.0,'5_1':0.0},(55,209):{'3_1':0.0,'5_2':0.0},(55,208):{'3_1':0.03,'4_1':0.0},(55,207):{'3_1':0.03,'4_1':0.0},(55,206):{'3_1':0.0,'4_1':0.0},(55,205):{'3_1':0.03},(55,204):{'3_1':0.0,'5_1':0.0},(55,203):{'3_1':0.03},(55,202):{'3_1':0.0},(55,201):{'3_1':0.0,'4_1':0.0},(55,200):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(55,199):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(55,198):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(55,197):{'3_1':0.0},(55,196):{'3_1':0.0},(55,195):{'3_1':0.0},(55,194):{'3_1':0.03,'6_2':0.0},(55,193):{'3_1':0.0},(55,192):{'3_1':0.03,'4_1':0.0},(55,191):{'3_1':0.0,'5_2':0.0},(55,190):{'3_1':0.03},(55,189):{'3_1':0.03,'4_1':0.0},(55,188):{'3_1':0.0},(55,187):{'3_1':0.03,'4_1':0.0},(55,186):{'3_1':0.03},(55,185):{'3_1':0.03,'5_2':0.0},(55,184):{'3_1':0.0,'4_1':0.0},(55,183):{'3_1':0.03,'4_1':0.0},(55,182):{'3_1':0.03,'4_1':0.0},(55,181):{'3_1':0.03,'4_1':0.0},(55,180):{'3_1':0.03,'4_1':0.0},(55,179):{'3_1':0.0,'4_1':0.0},(55,178):{'3_1':0.03},(55,177):{'3_1':0.03},(55,176):{'3_1':0.0},(55,175):{'3_1':0.0},(55,174):{'3_1':0.0},(55,173):{'3_1':0.03,'4_1':0.0},(55,172):{'3_1':0.03,'4_1':0.0},(55,171):{'3_1':0.0,'4_1':0.0},(55,170):{'3_1':0.0,'5_2':0.0},(55,169):{'3_1':0.03,'4_1':0.0},(55,168):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(55,167):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(55,166):{'4_1':0.0,'3_1':0.0},(55,165):{'3_1':0.03},(55,164):{'3_1':0.0,'4_1':0.0},(55,163):{'3_1':0.03},(55,162):{'3_1':0.0,'4_1':0.0},(55,161):{'3_1':0.0},(55,160):{'3_1':0.03,'4_1':0.0},(55,159):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(55,158):{'3_1':0.0,'5_2':0.0},(55,157):{'3_1':0.0},(55,156):{'4_1':0.0},(55,155):{'3_1':0.0,'4_1':0.0},(55,154):{'3_1':0.0,'4_1':0.0},(55,153):{'3_1':0.0},(55,152):{'3_1':0.03},(55,151):{'3_1':0.0,'4_1':0.0},(55,150):{'3_1':0.03,'4_1':0.0},(55,149):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(55,148):{'3_1':0.03,'4_1':0.0},(55,147):{'3_1':0.0,'4_1':0.0},(55,146):{'3_1':0.03},(55,145):{'3_1':0.0,'5_2':0.0},(55,144):{'3_1':0.03},(55,143):{'3_1':0.0},(55,142):{'3_1':0.0,'4_1':0.0},(55,141):{'4_1':0.0,'3_1':0.0},(55,140):{'3_1':0.0},(55,139):{'3_1':0.0},(55,137):{'4_1':0.0},(55,136):{'3_1':0.0,'4_1':0.0},(55,134):{'4_1':0.0},(55,132):{'3_1':0.0},(55,131):{'3_1':0.0,'4_1':0.0},(55,129):{'3_1':0.0},(55,124):{'3_1':0.0},(55,121):{'3_1':0.0},(55,120):{'3_1':0.0},(55,119):{'3_1':0.0},(55,117):{'3_1':0.0},(55,116):{'3_1':0.0},(55,114):{'3_1':0.0},(55,112):{'3_1':0.0},(55,107):{'3_1':0.0},(55,106):{'3_1':0.0},(55,104):{'3_1':0.0},(55,103):{'3_1':0.0},(55,102):{'3_1':0.0},(55,100):{'3_1':0.0},(55,97):{'3_1':0.0},(55,94):{'3_1':0.0},(55,91):{'3_1':0.0},(56,290):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(56,289):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(56,288):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'-3':0.0},(56,287):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_2':0.0,'7_4':0.0},(56,286):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(56,285):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(56,284):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(56,283):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(56,282):{'4_1':0.06,'3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0},(56,281):{'3_1':0.09,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(56,280):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(56,279):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(56,278):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'5_2':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0},(56,277):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(56,276):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(56,275):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'-3':0.0},(56,274):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0},(56,273):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(56,272):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(56,271):{'3_1':0.09,'4_1':0.03},(56,270):{'3_1':0.06,'4_1':0.03},(56,269):{'3_1':0.06,'4_1':0.03},(56,268):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(56,267):{'3_1':0.06,'8_20|3_1#3_1':0.0},(56,266):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(56,265):{'3_1':0.03,'4_1':0.0,'-3':0.0},(56,264):{'3_1':0.06,'5_2':0.0},(56,263):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(56,262):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(56,261):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(56,260):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(56,259):{'3_1':0.09,'4_1':0.03,'8_21|3_1#4_1':0.0},(56,258):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(56,257):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(56,256):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'7_5':0.0},(56,255):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0},(56,254):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'5_2':0.0,'6_2':0.0},(56,253):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(56,252):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(56,251):{'3_1':0.06},(56,250):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(56,249):{'3_1':0.06,'4_1':0.0},(56,248):{'3_1':0.03,'4_1':0.0,'-3':0.0},(56,247):{'3_1':0.03,'4_1':0.0,'-3':0.0},(56,246):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_3':0.0},(56,245):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(56,244):{'3_1':0.03,'4_1':0.0},(56,243):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(56,242):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(56,241):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(56,240):{'3_1':0.06},(56,239):{'4_1':0.03,'3_1':0.0},(56,238):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(56,237):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(56,236):{'3_1':0.06,'4_1':0.03},(56,235):{'3_1':0.06,'4_1':0.0},(56,234):{'3_1':0.09},(56,233):{'3_1':0.12,'6_2':0.0},(56,232):{'3_1':0.09,'4_1':0.0},(56,231):{'3_1':0.06,'4_1':0.0},(56,230):{'3_1':0.09,'5_2':0.0},(56,229):{'3_1':0.06,'4_1':0.03},(56,228):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(56,227):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(56,226):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(56,225):{'3_1':0.12,'4_1':0.0},(56,224):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(56,223):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(56,222):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(56,221):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(56,220):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(56,219):{'3_1':0.09,'4_1':0.0},(56,218):{'3_1':0.06},(56,217):{'3_1':0.06,'5_1':0.0},(56,216):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(56,215):{'3_1':0.06,'4_1':0.0},(56,214):{'3_1':0.03,'5_2':0.0},(56,213):{'3_1':0.03,'4_1':0.0},(56,212):{'3_1':0.03,'4_1':0.0},(56,211):{'3_1':0.03},(56,210):{'3_1':0.03,'5_1':0.0},(56,209):{'3_1':0.06,'5_2':0.0},(56,208):{'3_1':0.0,'4_1':0.0},(56,207):{'3_1':0.03},(56,206):{'3_1':0.06},(56,205):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(56,204):{'3_1':0.03},(56,203):{'3_1':0.06,'4_1':0.0},(56,202):{'3_1':0.03},(56,201):{'3_1':0.06,'8_20|3_1#3_1':0.0},(56,200):{'3_1':0.03,'4_1':0.0},(56,199):{'3_1':0.0},(56,198):{'4_1':0.0},(56,197):{'3_1':0.0},(56,196):{'3_1':0.03},(56,195):{'3_1':0.0},(56,194):{'3_1':0.0},(56,193):{'3_1':0.0,'4_1':0.0},(56,192):{'3_1':0.03,'5_2':0.0},(56,191):{'3_1':0.03},(56,190):{'3_1':0.03},(56,189):{'3_1':0.0,'4_1':0.0},(56,188):{'3_1':0.06},(56,187):{'3_1':0.03,'4_1':0.0},(56,186):{'3_1':0.03},(56,185):{'3_1':0.0,'4_1':0.0},(56,184):{'3_1':0.03},(56,183):{'3_1':0.03},(56,182):{'3_1':0.0},(56,181):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(56,180):{'3_1':0.03},(56,179):{'3_1':0.03},(56,178):{'3_1':0.03,'5_2':0.0},(56,177):{'3_1':0.03,'5_1':0.0},(56,176):{'3_1':0.0,'4_1':0.0},(56,175):{'3_1':0.03},(56,174):{'3_1':0.06,'8_20|3_1#3_1':0.0},(56,173):{'3_1':0.0},(56,172):{'3_1':0.06,'4_1':0.0},(56,171):{'3_1':0.03},(56,170):{'3_1':0.03},(56,169):{'3_1':0.03},(56,168):{'3_1':0.03},(56,167):{'3_1':0.03},(56,166):{'3_1':0.03},(56,165):{'3_1':0.0},(56,164):{'3_1':0.0},(56,163):{'3_1':0.0,'4_1':0.0},(56,162):{'3_1':0.0},(56,161):{'3_1':0.0},(56,160):{'3_1':0.03},(56,159):{'3_1':0.0},(56,158):{'3_1':0.0},(56,157):{'3_1':0.03},(56,156):{'3_1':0.0,'4_1':0.0},(56,155):{'3_1':0.03},(56,154):{'3_1':0.03,'4_1':0.0},(56,153):{'5_2':0.0,'3_1':0.0},(56,152):{'3_1':0.0,'4_1':0.0},(56,151):{'3_1':0.0},(56,150):{'3_1':0.0},(56,149):{'3_1':0.0},(56,148):{'3_1':0.0},(56,147):{'3_1':0.03},(56,146):{'3_1':0.0},(56,145):{'3_1':0.03},(56,144):{'3_1':0.0},(56,143):{'3_1':0.0},(56,142):{'3_1':0.0},(56,141):{'3_1':0.03},(56,140):{'3_1':0.0},(56,139):{'3_1':0.03},(56,137):{'3_1':0.0},(56,136):{'3_1':0.0},(56,134):{'3_1':0.0},(56,132):{'3_1':0.0},(56,130):{'3_1':0.03},(56,129):{'3_1':0.0},(56,127):{'3_1':0.0},(56,125):{'3_1':0.0},(56,124):{'3_1':0.0},(56,123):{'3_1':0.0},(56,122):{'3_1':0.0},(56,121):{'3_1':0.0},(56,119):{'3_1':0.0},(56,118):{'3_1':0.0},(56,115):{'3_1':0.0},(56,114):{'3_1':0.0,'4_1':0.0},(56,112):{'3_1':0.0},(56,111):{'3_1':0.0,'4_1':0.0},(56,102):{'3_1':0.03},(56,101):{'3_1':0.0},(56,100):{'3_1':0.0},(56,97):{'3_1':0.0},(56,96):{'3_1':0.0},(56,95):{'3_1':0.0},(56,94):{'3_1':0.0},(57,290):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(57,289):{'3_1':0.18,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(57,288):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(57,287):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(57,286):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0},(57,285):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(57,284):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(57,283):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0},(57,282):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(57,281):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_2':0.0},(57,280):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(57,279):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(57,278):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'-3':0.0},(57,277):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(57,276):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(57,275):{'3_1':0.06,'4_1':0.0},(57,274):{'3_1':0.09,'4_1':0.06,'6_2':0.0},(57,273):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(57,272):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(57,271):{'3_1':0.06},(57,270):{'3_1':0.0,'6_2':0.0,'4_1':0.0},(57,269):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(57,268):{'3_1':0.03,'4_1':0.0},(57,267):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(57,266):{'3_1':0.03,'4_1':0.0},(57,265):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(57,264):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(57,263):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(57,262):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(57,261):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(57,260):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(57,259):{'3_1':0.12,'4_1':0.0},(57,258):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(57,257):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(57,256):{'3_1':0.09,'6_2':0.0},(57,255):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(57,254):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(57,253):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(57,252):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(57,251):{'3_1':0.06,'4_1':0.0},(57,250):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_2':0.0},(57,249):{'3_1':0.03,'4_1':0.0},(57,248):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(57,247):{'3_1':0.03,'4_1':0.0},(57,246):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(57,245):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(57,244):{'3_1':0.06,'4_1':0.0},(57,243):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(57,242):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'6_1':0.0},(57,241):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(57,240):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(57,239):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(57,238):{'3_1':0.03,'5_2':0.0},(57,237):{'3_1':0.06,'4_1':0.0},(57,236):{'3_1':0.03,'4_1':0.0},(57,235):{'3_1':0.06,'4_1':0.0},(57,234):{'3_1':0.03,'5_2':0.0},(57,233):{'3_1':0.06,'4_1':0.0},(57,232):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(57,231):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(57,230):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(57,229):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(57,228):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(57,227):{'3_1':0.03,'4_1':0.0},(57,226):{'3_1':0.03,'5_2':0.0},(57,225):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(57,224):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(57,223):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(57,222):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(57,221):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(57,220):{'3_1':0.06,'6_2':0.0},(57,219):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(57,218):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(57,217):{'3_1':0.06,'4_1':0.0},(57,216):{'3_1':0.03},(57,215):{'3_1':0.03},(57,214):{'3_1':0.0},(57,213):{'3_1':0.03,'4_1':0.0},(57,212):{'3_1':0.0},(57,211):{'3_1':0.03,'4_1':0.0},(57,210):{'3_1':0.0,'5_2':0.0},(57,209):{'3_1':0.0},(57,208):{'3_1':0.03},(57,207):{'3_1':0.03,'6_2':0.0},(57,206):{'3_1':0.03},(57,205):{'3_1':0.03},(57,204):{'3_1':0.03},(57,203):{'3_1':0.0,'4_1':0.0},(57,202):{'3_1':0.03,'4_1':0.0},(57,201):{'3_1':0.0},(57,200):{'3_1':0.0},(57,199):{'3_1':0.0,'4_1':0.0},(57,198):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(57,197):{'3_1':0.0},(57,196):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(57,195):{'3_1':0.0},(57,194):{'3_1':0.0},(57,193):{'3_1':0.0},(57,192):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(57,191):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(57,189):{'3_1':0.03},(57,188):{'3_1':0.03},(57,187):{'3_1':0.03},(57,186):{'3_1':0.03},(57,185):{'3_1':0.0},(57,184):{'4_1':0.0,'5_1':0.0,'5_2':0.0},(57,183):{'3_1':0.0},(57,182):{'3_1':0.03},(57,181):{'3_1':0.06},(57,180):{'3_1':0.0},(57,179):{'3_1':0.0},(57,178):{'3_1':0.03},(57,177):{'3_1':0.03},(57,176):{'3_1':0.0},(57,175):{'3_1':0.0},(57,174):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(57,173):{'3_1':0.0,'4_1':0.0},(57,172):{'3_1':0.03,'4_1':0.0},(57,171):{'3_1':0.03,'4_1':0.0},(57,170):{'3_1':0.0},(57,169):{'3_1':0.0},(57,168):{'3_1':0.0},(57,167):{'3_1':0.03},(57,166):{'3_1':0.0},(57,165):{'3_1':0.03},(57,164):{'3_1':0.06},(57,163):{'3_1':0.0},(57,162):{'3_1':0.0},(57,161):{'3_1':0.0,'6_3':0.0},(57,160):{'3_1':0.03},(57,159):{'3_1':0.0},(57,158):{'3_1':0.0},(57,157):{'3_1':0.03,'4_1':0.0},(57,156):{'3_1':0.0},(57,155):{'3_1':0.0},(57,154):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(57,153):{'3_1':0.0},(57,152):{'3_1':0.03},(57,151):{'3_1':0.03},(57,150):{'3_1':0.03},(57,149):{'3_1':0.0,'5_2':0.0},(57,148):{'3_1':0.0},(57,147):{'3_1':0.0},(57,146):{'3_1':0.0},(57,145):{'3_1':0.0,'4_1':0.0},(57,144):{'3_1':0.0},(57,143):{'3_1':0.0,'4_1':0.0},(57,142):{'3_1':0.0},(57,141):{'3_1':0.0},(57,138):{'3_1':0.0},(57,136):{'3_1':0.0},(57,134):{'3_1':0.0},(57,133):{'3_1':0.0},(57,131):{'3_1':0.0},(57,127):{'3_1':0.0},(57,119):{'3_1':0.0},(57,118):{'3_1':0.0},(57,117):{'3_1':0.0},(57,115):{'3_1':0.0},(57,114):{'3_1':0.0},(57,113):{'3_1':0.0},(57,112):{'3_1':0.0},(57,111):{'3_1':0.0},(57,110):{'3_1':0.0},(57,109):{'3_1':0.0},(57,108):{'3_1':0.0},(57,107):{'3_1':0.0},(57,106):{'3_1':0.0},(57,104):{'3_1':0.0},(57,102):{'3_1':0.0},(57,100):{'3_1':0.0},(57,99):{'3_1':0.0},(57,97):{'3_1':0.0},(57,95):{'3_1':0.0},(57,93):{'3_1':0.0},(57,83):{'3_1':0.0},(58,290):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(58,289):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'6_2':0.0},(58,288):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0},(58,287):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'-3':0.0},(58,286):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_11':0.0},(58,285):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(58,284):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_16':0.0},(58,283):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0},(58,282):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(58,281):{'3_1':0.03,'4_1':0.03,'-3':0.0},(58,280):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_2':0.0,'7_4':0.0},(58,279):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(58,278):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(58,277):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_6':0.0},(58,276):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(58,275):{'3_1':0.06,'4_1':0.0,'8_17':0.0},(58,274):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(58,273):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(58,272):{'4_1':0.03,'3_1':0.03,'6_1':0.0},(58,271):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(58,270):{'3_1':0.09,'4_1':0.0},(58,269):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(58,268):{'3_1':0.06,'4_1':0.03},(58,267):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(58,266):{'3_1':0.03,'4_1':0.0},(58,265):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(58,264):{'3_1':0.09},(58,263):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,262):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(58,261):{'3_1':0.09,'6_2':0.0},(58,260):{'3_1':0.09,'5_1':0.0},(58,259):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(58,258):{'3_1':0.03,'8_20|3_1#3_1':0.0},(58,257):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_5':0.0},(58,256):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(58,255):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(58,254):{'3_1':0.06,'4_1':0.0,'8_9':0.0},(58,253):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(58,252):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(58,251):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(58,250):{'3_1':0.06,'6_2':0.0},(58,249):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(58,248):{'3_1':0.06,'4_1':0.0},(58,247):{'3_1':0.03,'4_1':0.0},(58,246):{'3_1':0.06},(58,245):{'3_1':0.12,'5_2':0.0,'8_21|3_1#4_1':0.0},(58,244):{'3_1':0.03,'8_11':0.0,'4_1':0.0},(58,243):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,242):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(58,241):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,240):{'3_1':0.06,'4_1':0.0},(58,239):{'3_1':0.03,'5_2':0.0},(58,238):{'3_1':0.06,'6_2':0.0,'5_2':0.0},(58,237):{'3_1':0.03},(58,236):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(58,235):{'3_1':0.09},(58,234):{'3_1':0.06,'4_1':0.0},(58,233):{'3_1':0.06,'4_1':0.0},(58,232):{'3_1':0.03,'5_2':0.0},(58,231):{'3_1':0.03,'4_1':0.0},(58,230):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(58,229):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(58,228):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(58,227):{'3_1':0.09},(58,226):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(58,225):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(58,224):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(58,223):{'3_1':0.03,'8_20|3_1#3_1':0.0},(58,222):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(58,221):{'3_1':0.09,'4_1':0.0},(58,220):{'3_1':0.12,'4_1':0.0},(58,219):{'3_1':0.06},(58,218):{'3_1':0.03,'4_1':0.0},(58,217):{'3_1':0.03},(58,216):{'3_1':0.03},(58,215):{'3_1':0.03,'4_1':0.0},(58,214):{'3_1':0.03,'4_1':0.0},(58,213):{'3_1':0.0},(58,212):{'3_1':0.0},(58,211):{'3_1':0.0},(58,210):{'3_1':0.0},(58,209):{'3_1':0.0},(58,208):{'3_1':0.03,'4_1':0.0},(58,207):{'3_1':0.03,'6_3':0.0},(58,206):{'3_1':0.09},(58,205):{'3_1':0.03},(58,204):{'3_1':0.0,'5_2':0.0},(58,203):{'3_1':0.0,'4_1':0.0},(58,202):{'3_1':0.03},(58,201):{'3_1':0.03,'4_1':0.0},(58,200):{'3_1':0.0},(58,199):{'3_1':0.0},(58,198):{'3_1':0.0,'5_2':0.0},(58,197):{'3_1':0.03},(58,196):{'3_1':0.03},(58,194):{'3_1':0.0},(58,193):{'3_1':0.03},(58,192):{'3_1':0.0,'6_2':0.0},(58,191):{'3_1':0.03,'5_2':0.0},(58,190):{'3_1':0.0,'4_1':0.0},(58,189):{'3_1':0.0},(58,188):{'3_1':0.03},(58,187):{'3_1':0.03,'5_2':0.0},(58,186):{'3_1':0.0,'4_1':0.0},(58,185):{'3_1':0.0,'4_1':0.0},(58,184):{'3_1':0.03},(58,183):{'3_1':0.0,'4_1':0.0},(58,182):{'3_1':0.03},(58,181):{'3_1':0.0},(58,180):{'3_1':0.0},(58,179):{'3_1':0.03},(58,178):{'3_1':0.06,'8_20|3_1#3_1':0.0},(58,177):{'3_1':0.06,'8_20|3_1#3_1':0.0},(58,176):{'3_1':0.0,'5_2':0.0},(58,175):{'3_1':0.06,'4_1':0.0},(58,174):{'3_1':0.03},(58,173):{'3_1':0.0},(58,172):{'3_1':0.0},(58,171):{'3_1':0.0,'4_1':0.0},(58,170):{'3_1':0.0},(58,169):{'4_1':0.0},(58,168):{'3_1':0.0},(58,167):{'5_2':0.0},(58,166):{'3_1':0.0},(58,165):{'3_1':0.03},(58,164):{'3_1':0.0},(58,163):{'3_1':0.0,'4_1':0.0},(58,162):{'3_1':0.0,'5_2':0.0},(58,161):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,160):{'3_1':0.0,'5_2':0.0},(58,159):{'3_1':0.0,'4_1':0.0},(58,158):{'3_1':0.0},(58,157):{'3_1':0.0,'5_1':0.0},(58,156):{'3_1':0.0},(58,154):{'3_1':0.0,'5_2':0.0},(58,153):{'3_1':0.0,'4_1':0.0},(58,151):{'4_1':0.0},(58,150):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(58,149):{'3_1':0.0},(58,148):{'3_1':0.0,'4_1':0.0},(58,147):{'3_1':0.0,'5_2':0.0},(58,146):{'3_1':0.0},(58,145):{'3_1':0.0,'4_1':0.0},(58,144):{'3_1':0.0,'4_1':0.0},(58,143):{'3_1':0.0},(58,142):{'3_1':0.0},(58,141):{'3_1':0.0},(58,140):{'3_1':0.0},(58,139):{'4_1':0.0},(58,137):{'3_1':0.0},(58,136):{'3_1':0.0},(58,134):{'3_1':0.0},(58,132):{'3_1':0.0},(58,131):{'3_1':0.0},(58,128):{'3_1':0.0},(58,125):{'3_1':0.0},(58,118):{'3_1':0.0},(58,117):{'3_1':0.0},(58,113):{'3_1':0.0},(58,112):{'3_1':0.0},(58,109):{'3_1':0.0},(58,107):{'3_1':0.0},(58,106):{'3_1':0.0},(58,104):{'3_1':0.0},(58,103):{'3_1':0.0},(58,102):{'3_1':0.0},(58,101):{'3_1':0.0},(58,100):{'3_1':0.0},(58,99):{'3_1':0.0},(58,97):{'3_1':0.0},(58,93):{'3_1':0.0},(58,92):{'3_1':0.0},(58,90):{'3_1':0.0},(58,82):{'3_1':0.0},(59,290):{'3_1':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0},(59,289):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(59,288):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'8_11':0.0},(59,287):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(59,286):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'6_2':0.0,'8_17':0.0,'-3':0.0},(59,285):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(59,284):{'3_1':0.06,'4_1':0.03},(59,283):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_2':0.0},(59,282):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0},(59,281):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(59,280):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(59,279):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(59,278):{'3_1':0.12,'4_1':0.03,'7_3':0.0},(59,277):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(59,276):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(59,275):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(59,274):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0},(59,273):{'3_1':0.09,'4_1':0.0},(59,272):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(59,271):{'3_1':0.09,'4_1':0.0},(59,270):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(59,269):{'3_1':0.03,'4_1':0.0},(59,268):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(59,267):{'3_1':0.06,'5_1':0.0},(59,266):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(59,265):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(59,264):{'3_1':0.03,'6_2':0.0},(59,263):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(59,262):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(59,261):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0},(59,260):{'3_1':0.12,'4_1':0.03},(59,259):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(59,258):{'3_1':0.15,'5_1':0.0},(59,257):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_5':0.0},(59,256):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(59,255):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(59,254):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(59,253):{'3_1':0.09,'4_1':0.0},(59,252):{'3_1':0.09,'4_1':0.03},(59,251):{'3_1':0.09,'4_1':0.0},(59,250):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(59,249):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(59,248):{'3_1':0.03,'5_2':0.0},(59,247):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(59,246):{'3_1':0.06,'4_1':0.03},(59,245):{'3_1':0.12,'4_1':0.0},(59,244):{'3_1':0.06,'4_1':0.0},(59,243):{'3_1':0.06},(59,242):{'3_1':0.06},(59,241):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(59,240):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(59,239):{'3_1':0.03},(59,238):{'3_1':0.03,'4_1':0.0},(59,237):{'3_1':0.0,'4_1':0.0},(59,236):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(59,235):{'3_1':0.06,'4_1':0.0},(59,234):{'3_1':0.09,'4_1':0.0},(59,233):{'3_1':0.09,'4_1':0.0},(59,232):{'3_1':0.03},(59,231):{'3_1':0.09,'4_1':0.0},(59,230):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(59,229):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(59,228):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(59,227):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(59,226):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(59,225):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(59,224):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(59,223):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(59,222):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(59,221):{'3_1':0.12,'6_2':0.0},(59,220):{'3_1':0.12},(59,219):{'3_1':0.09,'5_2':0.0},(59,218):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(59,217):{'3_1':0.03,'4_1':0.0},(59,216):{'3_1':0.09,'4_1':0.0},(59,215):{'3_1':0.03},(59,214):{'3_1':0.09,'4_1':0.0},(59,213):{'3_1':0.0,'4_1':0.0},(59,212):{'3_1':0.03},(59,211):{'3_1':0.0},(59,210):{'3_1':0.03,'4_1':0.0},(59,209):{'3_1':0.0},(59,208):{'3_1':0.0,'4_1':0.0},(59,207):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(59,206):{'4_1':0.0,'5_1':0.0},(59,205):{'3_1':0.03,'5_1':0.0},(59,204):{'3_1':0.03},(59,203):{'3_1':0.03,'4_1':0.0},(59,202):{'3_1':0.06},(59,201):{'3_1':0.03,'4_1':0.0},(59,200):{'3_1':0.0},(59,199):{'3_1':0.0},(59,198):{'6_2':0.0},(59,197):{'3_1':0.0},(59,196):{'3_1':0.0,'4_1':0.0},(59,195):{'3_1':0.0},(59,194):{'3_1':0.0},(59,193):{'3_1':0.0},(59,192):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(59,191):{'3_1':0.0,'4_1':0.0},(59,190):{'3_1':0.03},(59,189):{'3_1':0.0,'4_1':0.0},(59,188):{'3_1':0.0},(59,187):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(59,186):{'3_1':0.0},(59,185):{'3_1':0.06},(59,184):{'3_1':0.03},(59,183):{'3_1':0.03},(59,182):{'3_1':0.03,'4_1':0.0},(59,181):{'3_1':0.03},(59,180):{'3_1':0.0},(59,179):{'3_1':0.03},(59,178):{'3_1':0.03},(59,177):{'3_1':0.06,'4_1':0.0},(59,176):{'3_1':0.0},(59,174):{'3_1':0.0},(59,173):{'3_1':0.0},(59,172):{'3_1':0.0},(59,171):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(59,170):{'3_1':0.03,'4_1':0.0},(59,169):{'3_1':0.03,'5_2':0.0},(59,168):{'3_1':0.0},(59,167):{'3_1':0.0},(59,166):{'3_1':0.0},(59,165):{'3_1':0.0},(59,164):{'3_1':0.0},(59,163):{'3_1':0.0},(59,162):{'3_1':0.03},(59,161):{'3_1':0.0},(59,160):{'3_1':0.0},(59,158):{'3_1':0.0},(59,157):{'3_1':0.0},(59,156):{'3_1':0.0,'4_1':0.0},(59,155):{'3_1':0.0},(59,154):{'3_1':0.0,'5_2':0.0},(59,153):{'3_1':0.03},(59,152):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(59,151):{'3_1':0.0,'4_1':0.0},(59,150):{'3_1':0.03,'4_1':0.0},(59,149):{'3_1':0.0},(59,148):{'3_1':0.0},(59,147):{'3_1':0.0},(59,146):{'4_1':0.0},(59,145):{'3_1':0.0,'5_2':0.0},(59,144):{'4_1':0.0,'3_1':0.0},(59,141):{'3_1':0.0},(59,140):{'4_1':0.0,'3_1':0.0},(59,139):{'3_1':0.0},(59,138):{'3_1':0.0},(59,137):{'3_1':0.0},(59,136):{'3_1':0.0},(59,134):{'3_1':0.0},(59,131):{'3_1':0.0},(59,127):{'3_1':0.0},(59,125):{'3_1':0.0},(59,122):{'3_1':0.0},(59,121):{'4_1':0.0},(59,119):{'3_1':0.0},(59,117):{'3_1':0.0},(59,114):{'3_1':0.0},(59,113):{'3_1':0.0},(59,109):{'3_1':0.0},(59,108):{'3_1':0.03},(59,107):{'3_1':0.0},(59,106):{'3_1':0.0},(59,104):{'3_1':0.0},(59,102):{'3_1':0.0},(59,100):{'3_1':0.0},(59,99):{'3_1':0.0},(59,97):{'3_1':0.0},(59,95):{'3_1':0.0},(59,94):{'3_1':0.0},(59,93):{'3_1':0.0},(59,91):{'3_1':0.0},(59,90):{'3_1':0.0},(60,290):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(60,289):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(60,288):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(60,287):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(60,286):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(60,285):{'3_1':0.09,'4_1':0.0},(60,284):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(60,283):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(60,282):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0},(60,281):{'4_1':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0},(60,280):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(60,279):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(60,278):{'3_1':0.09,'4_1':0.03},(60,277):{'3_1':0.06,'4_1':0.0,'-3':0.0},(60,276):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_7':0.0},(60,275):{'3_1':0.09,'4_1':0.0,'-3':0.0},(60,274):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(60,273):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(60,272):{'3_1':0.09,'4_1':0.0},(60,271):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(60,270):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0},(60,269):{'3_1':0.06},(60,268):{'3_1':0.06},(60,267):{'3_1':0.03,'5_2':0.0},(60,266):{'3_1':0.03,'5_2':0.0},(60,265):{'3_1':0.09,'6_2':0.0},(60,264):{'3_1':0.06,'4_1':0.0},(60,263):{'3_1':0.06,'4_1':0.0},(60,262):{'3_1':0.0,'4_1':0.0},(60,261):{'3_1':0.03,'5_1':0.0},(60,260):{'3_1':0.03,'6_2':0.0},(60,259):{'3_1':0.12},(60,258):{'3_1':0.12,'4_1':0.0},(60,257):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_3':0.0},(60,256):{'3_1':0.03},(60,255):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(60,254):{'3_1':0.03,'4_1':0.0},(60,253):{'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(60,252):{'3_1':0.0,'4_1':0.0},(60,251):{'3_1':0.06,'4_1':0.0},(60,250):{'3_1':0.03},(60,249):{'3_1':0.06},(60,248):{'3_1':0.06,'4_1':0.0},(60,247):{'3_1':0.03,'4_1':0.0},(60,246):{'3_1':0.0},(60,245):{'3_1':0.03},(60,244):{'3_1':0.09,'4_1':0.0},(60,243):{'3_1':0.06},(60,242):{'3_1':0.03},(60,241):{'3_1':0.03,'5_2':0.0},(60,240):{'3_1':0.0,'4_1':0.0},(60,239):{'3_1':0.03,'4_1':0.0},(60,238):{'3_1':0.0,'4_1':0.0},(60,237):{'3_1':0.03},(60,236):{'3_1':0.03,'6_1':0.0},(60,235):{'3_1':0.03},(60,234):{'3_1':0.06,'4_1':0.0},(60,233):{'3_1':0.03},(60,232):{'3_1':0.03,'5_2':0.0},(60,231):{'3_1':0.03,'4_1':0.0},(60,230):{'3_1':0.06,'4_1':0.0},(60,229):{'3_1':0.06,'4_1':0.0},(60,228):{'3_1':0.09},(60,227):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(60,226):{'3_1':0.09,'5_2':0.0},(60,225):{'3_1':0.12},(60,224):{'3_1':0.06,'4_1':0.0},(60,223):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(60,222):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(60,221):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(60,220):{'3_1':0.09,'5_2':0.0},(60,219):{'3_1':0.06,'4_1':0.0},(60,218):{'3_1':0.06,'4_1':0.0},(60,217):{'3_1':0.06,'8_20|3_1#3_1':0.0},(60,216):{'3_1':0.03,'4_1':0.0},(60,215):{'3_1':0.03},(60,214):{'3_1':0.03},(60,213):{'3_1':0.03,'4_1':0.0},(60,212):{'3_1':0.03},(60,211):{'3_1':0.03},(60,210):{'3_1':0.0},(60,209):{'3_1':0.0},(60,208):{'3_1':0.03,'4_1':0.0},(60,207):{'3_1':0.03,'4_1':0.0},(60,206):{'3_1':0.0},(60,205):{'3_1':0.03},(60,204):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(60,203):{'3_1':0.03},(60,202):{'3_1':0.0,'5_2':0.0},(60,201):{'3_1':0.03},(60,200):{'3_1':0.0,'4_1':0.0},(60,199):{'3_1':0.03},(60,198):{'3_1':0.03},(60,197):{'3_1':0.03},(60,196):{'3_1':0.03},(60,195):{'3_1':0.0},(60,194):{'3_1':0.0},(60,193):{'3_1':0.0,'4_1':0.0},(60,192):{'3_1':0.03},(60,191):{'3_1':0.03,'4_1':0.0},(60,190):{'3_1':0.0},(60,189):{'3_1':0.0,'5_2':0.0},(60,188):{'3_1':0.0},(60,187):{'3_1':0.0},(60,186):{'3_1':0.0},(60,185):{'3_1':0.03},(60,184):{'3_1':0.03},(60,183):{'3_1':0.03},(60,182):{'3_1':0.0},(60,181):{'3_1':0.03},(60,180):{'3_1':0.0},(60,179):{'3_1':0.03,'5_1':0.0},(60,178):{'3_1':0.03},(60,177):{'3_1':0.0,'5_1':0.0},(60,176):{'3_1':0.03},(60,175):{'3_1':0.06,'4_1':0.0},(60,174):{'3_1':0.03,'5_2':0.0},(60,173):{'3_1':0.0,'5_2':0.0},(60,172):{'3_1':0.0},(60,171):{'3_1':0.0},(60,170):{'3_1':0.0},(60,169):{'3_1':0.0},(60,168):{'3_1':0.0,'4_1':0.0},(60,167):{'3_1':0.0},(60,166):{'3_1':0.06},(60,164):{'3_1':0.0},(60,163):{'3_1':0.0},(60,162):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(60,161):{'3_1':0.03},(60,160):{'3_1':0.0},(60,159):{'3_1':0.0,'4_1':0.0},(60,158):{'3_1':0.03},(60,157):{'3_1':0.0},(60,156):{'3_1':0.0},(60,155):{'3_1':0.0,'4_1':0.0},(60,154):{'3_1':0.0},(60,153):{'3_1':0.0},(60,151):{'3_1':0.0,'4_1':0.0},(60,150):{'3_1':0.0,'4_1':0.0},(60,149):{'3_1':0.0},(60,148):{'3_1':0.03},(60,147):{'3_1':0.0},(60,146):{'3_1':0.0},(60,145):{'3_1':0.0,'4_1':0.0},(60,144):{'3_1':0.0},(60,143):{'3_1':0.0},(60,142):{'3_1':0.0},(60,140):{'3_1':0.0},(60,138):{'3_1':0.0},(60,137):{'3_1':0.0},(60,136):{'3_1':0.0},(60,134):{'3_1':0.0},(60,133):{'3_1':0.0},(60,131):{'3_1':0.0},(60,129):{'3_1':0.0},(60,126):{'3_1':0.0},(60,122):{'3_1':0.0},(60,117):{'3_1':0.0},(60,115):{'3_1':0.0},(60,110):{'3_1':0.0},(60,109):{'3_1':0.0},(60,106):{'3_1':0.0},(60,103):{'3_1':0.0},(60,102):{'3_1':0.0},(60,99):{'3_1':0.0},(60,98):{'3_1':0.0},(61,290):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(61,289):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_6':0.0},(61,288):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(61,287):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_17':0.0,'8_21|3_1#4_1':0.0},(61,286):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(61,285):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(61,284):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(61,283):{'3_1':0.06,'4_1':0.06,'8_21|3_1#4_1':0.0},(61,282):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(61,281):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(61,280):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(61,279):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_3':0.0},(61,278):{'4_1':0.03,'3_1':0.03,'6_3':0.0},(61,277):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(61,276):{'3_1':0.03,'4_1':0.03},(61,275):{'3_1':0.03,'4_1':0.03},(61,274):{'3_1':0.09,'4_1':0.03},(61,273):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(61,272):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(61,271):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(61,270):{'3_1':0.06,'4_1':0.0},(61,269):{'3_1':0.03},(61,268):{'3_1':0.06},(61,267):{'3_1':0.09,'4_1':0.0},(61,266):{'3_1':0.06},(61,265):{'3_1':0.06,'5_1':0.0},(61,264):{'3_1':0.09,'5_1':0.0},(61,263):{'3_1':0.03},(61,262):{'3_1':0.03},(61,261):{'3_1':0.0,'5_2':0.0},(61,260):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(61,259):{'3_1':0.09,'4_1':0.0},(61,258):{'3_1':0.12,'5_2':0.0,'8_18':0.0,'8_21|3_1#4_1':0.0},(61,257):{'3_1':0.12,'4_1':0.0},(61,256):{'3_1':0.06,'5_1':0.0},(61,255):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(61,254):{'3_1':0.09,'4_1':0.03},(61,253):{'3_1':0.03,'4_1':0.0},(61,252):{'3_1':0.03},(61,251):{'3_1':0.03,'4_1':0.0},(61,250):{'3_1':0.06},(61,249):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(61,248):{'3_1':0.03,'8_21|3_1#4_1':0.0},(61,247):{'3_1':0.03,'4_1':0.0},(61,246):{'3_1':0.03,'4_1':0.0},(61,245):{'3_1':0.06,'4_1':0.0},(61,244):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(61,243):{'3_1':0.09},(61,242):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(61,241):{'3_1':0.03},(61,240):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(61,239):{'3_1':0.03},(61,238):{'3_1':0.0,'4_1':0.0},(61,237):{'3_1':0.03},(61,236):{'3_1':0.03},(61,235):{'3_1':0.03},(61,234):{'3_1':0.03,'8_20|3_1#3_1':0.0},(61,233):{'3_1':0.0,'5_2':0.0},(61,232):{'3_1':0.06},(61,231):{'3_1':0.06,'4_1':0.0},(61,230):{'3_1':0.09},(61,229):{'3_1':0.0,'5_2':0.0},(61,228):{'3_1':0.09},(61,227):{'3_1':0.06},(61,226):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(61,225):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(61,224):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(61,223):{'3_1':0.09},(61,222):{'3_1':0.12,'5_2':0.0},(61,221):{'3_1':0.06,'4_1':0.0},(61,220):{'3_1':0.06},(61,219):{'3_1':0.12,'4_1':0.0},(61,218):{'3_1':0.06},(61,217):{'3_1':0.09,'4_1':0.0},(61,216):{'3_1':0.03,'4_1':0.0},(61,215):{'3_1':0.0},(61,214):{'3_1':0.03,'4_1':0.0},(61,213):{'3_1':0.03},(61,212):{'3_1':0.06},(61,211):{'3_1':0.03},(61,210):{'3_1':0.0},(61,209):{'3_1':0.0},(61,208):{'3_1':0.03},(61,207):{'3_1':0.0},(61,206):{'3_1':0.03},(61,205):{'3_1':0.03},(61,204):{'3_1':0.03,'4_1':0.0},(61,203):{'3_1':0.03},(61,202):{'3_1':0.06},(61,201):{'3_1':0.03,'4_1':0.0},(61,200):{'3_1':0.03},(61,199):{'3_1':0.0,'4_1':0.0},(61,198):{'3_1':0.03},(61,197):{'3_1':0.03},(61,196):{'3_1':0.03},(61,195):{'3_1':0.0,'4_1':0.0},(61,194):{'3_1':0.0},(61,193):{'3_1':0.0},(61,192):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(61,191):{'3_1':0.03},(61,190):{'3_1':0.03},(61,189):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(61,188):{'3_1':0.0},(61,187):{'3_1':0.0},(61,186):{'3_1':0.0},(61,185):{'3_1':0.0},(61,184):{'3_1':0.03},(61,183):{'3_1':0.06,'4_1':0.0},(61,181):{'3_1':0.0},(61,180):{'3_1':0.0},(61,179):{'3_1':0.0},(61,178):{'3_1':0.0},(61,177):{'3_1':0.0,'4_1':0.0},(61,175):{'3_1':0.0},(61,174):{'3_1':0.0},(61,172):{'3_1':0.03},(61,170):{'3_1':0.0},(61,168):{'3_1':0.0},(61,167):{'3_1':0.0},(61,166):{'3_1':0.03},(61,164):{'3_1':0.0,'6_3':0.0},(61,163):{'3_1':0.03},(61,162):{'3_1':0.03,'4_1':0.0},(61,161):{'3_1':0.0},(61,160):{'3_1':0.0},(61,159):{'3_1':0.0,'5_2':0.0},(61,158):{'3_1':0.0},(61,157):{'3_1':0.03},(61,156):{'3_1':0.0},(61,155):{'3_1':0.0,'4_1':0.0},(61,154):{'3_1':0.0},(61,153):{'3_1':0.0,'5_2':0.0},(61,152):{'3_1':0.0},(61,150):{'3_1':0.03},(61,149):{'3_1':0.0},(61,148):{'3_1':0.0},(61,147):{'3_1':0.0},(61,146):{'3_1':0.0},(61,145):{'3_1':0.0},(61,144):{'3_1':0.0},(61,142):{'3_1':0.0},(61,140):{'3_1':0.0},(61,139):{'3_1':0.0},(61,137):{'3_1':0.0},(61,136):{'3_1':0.0,'4_1':0.0},(61,113):{'3_1':0.0},(61,112):{'3_1':0.0},(61,111):{'3_1':0.0},(61,110):{'3_1':0.0},(61,106):{'3_1':0.0},(61,98):{'3_1':0.0},(61,97):{'3_1':0.0},(61,96):{'4_1':0.0},(61,95):{'3_1':0.0},(61,94):{'3_1':0.0},(61,93):{'3_1':0.0},(61,90):{'3_1':0.0},(61,85):{'3_1':0.0},(62,290):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(62,289):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(62,288):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(62,287):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(62,286):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(62,285):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(62,284):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(62,283):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(62,282):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(62,281):{'3_1':0.12,'4_1':0.0},(62,280):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0},(62,279):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(62,278):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(62,277):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(62,276):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'5_1':0.0,'7_6':0.0},(62,275):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0},(62,274):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(62,273):{'3_1':0.06,'6_1':0.0,'6_2':0.0},(62,272):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(62,271):{'3_1':0.06,'5_1':0.0},(62,270):{'3_1':0.06,'6_1':0.0},(62,269):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(62,268):{'3_1':0.03},(62,267):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(62,266):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(62,265):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(62,264):{'3_1':0.15},(62,263):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(62,262):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(62,261):{'3_1':0.09,'4_1':0.0},(62,260):{'3_1':0.09,'5_1':0.0},(62,259):{'3_1':0.21,'-3':0.0},(62,258):{'3_1':0.09,'5_2':0.0},(62,257):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(62,256):{'3_1':0.12,'5_1':0.0},(62,255):{'3_1':0.09},(62,254):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(62,253):{'3_1':0.06},(62,252):{'3_1':0.03,'5_1':0.0},(62,251):{'3_1':0.06,'5_1':0.0},(62,250):{'3_1':0.03},(62,249):{'3_1':0.06,'5_1':0.0},(62,248):{'3_1':0.09,'5_1':0.0},(62,247):{'3_1':0.06},(62,246):{'3_1':0.06,'4_1':0.0},(62,245):{'3_1':0.06,'5_2':0.0},(62,244):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(62,243):{'3_1':0.09},(62,242):{'3_1':0.06,'4_1':0.0},(62,241):{'3_1':0.03,'4_1':0.03},(62,240):{'3_1':0.03,'4_1':0.0},(62,238):{'3_1':0.06},(62,237):{'3_1':0.0},(62,236):{'3_1':0.03},(62,235):{'3_1':0.03},(62,234):{'3_1':0.03,'4_1':0.0},(62,233):{'3_1':0.03,'4_1':0.0},(62,232):{'3_1':0.03,'4_1':0.0},(62,231):{'3_1':0.06,'4_1':0.0},(62,230):{'3_1':0.06,'4_1':0.0},(62,229):{'3_1':0.03,'4_1':0.0},(62,228):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0},(62,227):{'3_1':0.06,'5_2':0.0},(62,226):{'3_1':0.06,'5_2':0.0},(62,225):{'3_1':0.09},(62,224):{'3_1':0.03,'4_1':0.0},(62,223):{'3_1':0.09,'4_1':0.0},(62,222):{'3_1':0.06,'4_1':0.0},(62,221):{'3_1':0.06},(62,220):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(62,219):{'3_1':0.03,'4_1':0.0},(62,218):{'3_1':0.03},(62,217):{'3_1':0.06,'8_20|3_1#3_1':0.0},(62,216):{'3_1':0.03},(62,215):{'3_1':0.03,'4_1':0.0},(62,214):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(62,213):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(62,212):{'3_1':0.0,'4_1':0.0},(62,211):{'3_1':0.03},(62,210):{'3_1':0.06,'4_1':0.0},(62,209):{'3_1':0.0,'4_1':0.0},(62,208):{'3_1':0.0},(62,207):{'3_1':0.03},(62,206):{'3_1':0.0},(62,205):{'3_1':0.03},(62,204):{'3_1':0.03},(62,203):{'3_1':0.03},(62,202):{'3_1':0.0},(62,201):{'3_1':0.0},(62,200):{'3_1':0.03},(62,199):{'3_1':0.0},(62,198):{'3_1':0.0},(62,197):{'3_1':0.0},(62,196):{'3_1':0.0},(62,195):{'3_1':0.0},(62,194):{'3_1':0.03,'8_21|3_1#4_1':0.0},(62,193):{'3_1':0.0},(62,192):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(62,191):{'3_1':0.0,'4_1':0.0},(62,190):{'3_1':0.0,'8_20|3_1#3_1':0.0},(62,189):{'3_1':0.03},(62,188):{'3_1':0.0},(62,187):{'3_1':0.03},(62,186):{'3_1':0.0},(62,185):{'3_1':0.0},(62,184):{'3_1':0.0,'5_2':0.0},(62,183):{'3_1':0.0},(62,182):{'3_1':0.0},(62,181):{'3_1':0.0},(62,180):{'3_1':0.03,'4_1':0.0},(62,179):{'3_1':0.06},(62,178):{'3_1':0.0},(62,177):{'3_1':0.0,'8_20|3_1#3_1':0.0},(62,176):{'3_1':0.0,'4_1':0.0},(62,175):{'3_1':0.03},(62,174):{'3_1':0.03,'4_1':0.0},(62,173):{'4_1':0.0},(62,172):{'3_1':0.0},(62,171):{'4_1':0.0},(62,170):{'3_1':0.03},(62,169):{'3_1':0.0},(62,168):{'3_1':0.03},(62,167):{'3_1':0.0,'4_1':0.0},(62,164):{'3_1':0.0,'4_1':0.0},(62,163):{'3_1':0.0,'4_1':0.0},(62,162):{'3_1':0.03},(62,161):{'3_1':0.0,'4_1':0.0},(62,160):{'3_1':0.0},(62,159):{'3_1':0.03,'4_1':0.0},(62,158):{'3_1':0.0,'4_1':0.0},(62,157):{'3_1':0.0},(62,156):{'3_1':0.03},(62,155):{'3_1':0.03},(62,154):{'3_1':0.03,'4_1':0.0},(62,153):{'3_1':0.0},(62,152):{'3_1':0.0,'4_1':0.0},(62,151):{'3_1':0.03},(62,150):{'3_1':0.03},(62,149):{'3_1':0.0},(62,148):{'3_1':0.0,'4_1':0.0},(62,147):{'3_1':0.0},(62,146):{'4_1':0.0},(62,145):{'3_1':0.0},(62,143):{'3_1':0.0},(62,141):{'3_1':0.0},(62,139):{'3_1':0.0},(62,137):{'3_1':0.0,'4_1':0.0},(62,136):{'3_1':0.0},(62,134):{'3_1':0.0,'4_1':0.0},(62,132):{'3_1':0.0},(62,110):{'3_1':0.0},(62,107):{'3_1':0.0},(62,99):{'3_1':0.0},(62,98):{'3_1':0.03},(62,97):{'3_1':0.0},(62,96):{'3_1':0.0},(62,94):{'3_1':0.0},(62,85):{'3_1':0.0},(63,290):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(63,289):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(63,288):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(63,287):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(63,286):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(63,285):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(63,284):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(63,283):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(63,282):{'3_1':0.12,'4_1':0.0},(63,281):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(63,280):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(63,279):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(63,278):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_3':0.0},(63,277):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(63,276):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,275):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(63,274):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(63,273):{'3_1':0.12,'4_1':0.0},(63,272):{'3_1':0.12,'5_2':0.0,'-3':0.0},(63,271):{'3_1':0.03,'8_20|3_1#3_1':0.0},(63,270):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(63,269):{'3_1':0.03},(63,268):{'3_1':0.06,'4_1':0.0},(63,267):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(63,266):{'3_1':0.03,'4_1':0.0},(63,265):{'3_1':0.12,'6_3':0.0},(63,264):{'3_1':0.03,'4_1':0.0},(63,263):{'3_1':0.03,'4_1':0.0},(63,262):{'3_1':0.09},(63,261):{'3_1':0.06},(63,260):{'3_1':0.09,'7_2':0.0},(63,259):{'3_1':0.12,'5_2':0.0},(63,258):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(63,257):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(63,256):{'3_1':0.06,'4_1':0.0},(63,255):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(63,254):{'3_1':0.09},(63,253):{'3_1':0.06},(63,252):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(63,251):{'3_1':0.03,'8_17':0.0},(63,250):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(63,249):{'3_1':0.06},(63,248):{'3_1':0.06},(63,247):{'3_1':0.06},(63,246):{'3_1':0.06,'4_1':0.0},(63,245):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(63,244):{'3_1':0.09},(63,243):{'3_1':0.09},(63,242):{'3_1':0.03},(63,241):{'3_1':0.0},(63,240):{'3_1':0.03},(63,239):{'3_1':0.03,'4_1':0.0},(63,238):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(63,237):{'3_1':0.0,'4_1':0.0},(63,236):{'3_1':0.03,'4_1':0.0},(63,235):{'3_1':0.0},(63,234):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(63,233):{'3_1':0.0},(63,232):{'3_1':0.06},(63,231):{'3_1':0.09,'4_1':0.0},(63,230):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(63,229):{'3_1':0.09,'4_1':0.0},(63,228):{'3_1':0.06},(63,227):{'3_1':0.09,'4_1':0.0},(63,226):{'3_1':0.06},(63,225):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(63,224):{'3_1':0.0,'4_1':0.0},(63,223):{'3_1':0.06,'4_1':0.0},(63,222):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(63,221):{'3_1':0.06,'4_1':0.0},(63,220):{'3_1':0.06,'5_2':0.0},(63,219):{'3_1':0.03,'5_2':0.0},(63,218):{'3_1':0.0},(63,217):{'3_1':0.03},(63,216):{'3_1':0.03,'4_1':0.0},(63,215):{'3_1':0.0},(63,214):{'3_1':0.03},(63,213):{'3_1':0.0,'4_1':0.0},(63,212):{'3_1':0.0},(63,211):{'3_1':0.03,'4_1':0.0},(63,210):{'3_1':0.03,'5_1':0.0},(63,209):{'3_1':0.0},(63,208):{'3_1':0.03},(63,207):{'3_1':0.0,'5_2':0.0},(63,206):{'3_1':0.0,'4_1':0.0},(63,205):{'3_1':0.03,'5_1':0.0},(63,204):{'3_1':0.03},(63,203):{'3_1':0.06,'4_1':0.0},(63,202):{'3_1':0.03,'4_1':0.0},(63,201):{'3_1':0.03},(63,200):{'3_1':0.03},(63,199):{'3_1':0.0,'4_1':0.0},(63,198):{'3_1':0.0,'5_2':0.0},(63,197):{'3_1':0.0,'8_21|3_1#4_1':0.0},(63,196):{'3_1':0.0},(63,195):{'3_1':0.03},(63,194):{'3_1':0.0},(63,193):{'3_1':0.03,'4_1':0.0},(63,192):{'3_1':0.0},(63,191):{'6_2':0.0},(63,190):{'3_1':0.03,'5_2':0.0},(63,189):{'3_1':0.0},(63,188):{'3_1':0.0,'5_2':0.0},(63,187):{'3_1':0.0},(63,186):{'3_1':0.03,'5_1':0.0},(63,185):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(63,184):{'3_1':0.0},(63,183):{'3_1':0.03,'4_1':0.0},(63,182):{'3_1':0.03,'4_1':0.0},(63,181):{'3_1':0.03,'5_1':0.0},(63,180):{'3_1':0.0},(63,179):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(63,178):{'3_1':0.0,'5_1':0.0},(63,177):{'3_1':0.0},(63,176):{'3_1':0.03},(63,175):{'3_1':0.03},(63,174):{'3_1':0.0},(63,173):{'3_1':0.0,'5_2':0.0},(63,172):{'4_1':0.0},(63,171):{'3_1':0.0},(63,170):{'3_1':0.0},(63,169):{'3_1':0.0},(63,168):{'4_1':0.0},(63,167):{'3_1':0.0},(63,166):{'3_1':0.03},(63,163):{'3_1':0.0},(63,162):{'3_1':0.0},(63,161):{'3_1':0.0},(63,160):{'3_1':0.0,'4_1':0.0},(63,159):{'3_1':0.0},(63,158):{'3_1':0.0},(63,155):{'3_1':0.0},(63,154):{'3_1':0.0},(63,153):{'3_1':0.03},(63,152):{'3_1':0.0},(63,150):{'3_1':0.03},(63,149):{'3_1':0.0},(63,148):{'3_1':0.03},(63,147):{'3_1':0.03},(63,146):{'3_1':0.0},(63,145):{'3_1':0.0},(63,144):{'3_1':0.0},(63,143):{'3_1':0.0},(63,142):{'3_1':0.0},(63,141):{'3_1':0.0},(63,140):{'3_1':0.0,'4_1':0.0},(63,139):{'3_1':0.0},(63,138):{'3_1':0.0,'4_1':0.0},(63,137):{'3_1':0.0},(63,133):{'3_1':0.0,'4_1':0.0},(63,132):{'6_3':0.0},(63,118):{'4_1':0.0},(63,117):{'3_1':0.0},(63,115):{'3_1':0.0},(63,114):{'3_1':0.0,'4_1':0.0},(63,111):{'3_1':0.0},(63,109):{'4_1':0.0},(63,107):{'3_1':0.0},(63,97):{'3_1':0.0},(63,96):{'3_1':0.0},(63,95):{'3_1':0.0},(64,290):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(64,289):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(64,288):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(64,287):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(64,286):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_3':0.0},(64,285):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_11':0.0},(64,284):{'3_1':0.03,'4_1':0.0},(64,283):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(64,282):{'3_1':0.15,'4_1':0.0},(64,281):{'3_1':0.09,'4_1':0.03},(64,280):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(64,279):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_19':0.0},(64,278):{'3_1':0.06,'4_1':0.06},(64,277):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(64,276):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(64,275):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(64,274):{'3_1':0.12,'4_1':0.0},(64,273):{'3_1':0.09,'6_2':0.0},(64,272):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(64,271):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(64,270):{'3_1':0.06,'4_1':0.0},(64,269):{'3_1':0.06,'8_20|3_1#3_1':0.0},(64,268):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(64,267):{'3_1':0.06,'4_1':0.0},(64,266):{'3_1':0.03,'4_1':0.0},(64,265):{'3_1':0.06},(64,264):{'3_1':0.09,'8_20|3_1#3_1':0.0},(64,263):{'3_1':0.06,'8_20|3_1#3_1':0.0},(64,262):{'3_1':0.06,'5_2':0.0},(64,261):{'3_1':0.12},(64,260):{'3_1':0.06,'6_1':0.0,'6_3':0.0},(64,259):{'3_1':0.12,'5_1':0.0},(64,258):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(64,257):{'3_1':0.15,'5_2':0.0,'7_5':0.0},(64,256):{'3_1':0.09,'4_1':0.0,'3_1#5_1':0.0},(64,255):{'3_1':0.09},(64,254):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(64,253):{'3_1':0.06,'4_1':0.0,'-3':0.0},(64,252):{'3_1':0.06,'4_1':0.0},(64,251):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(64,250):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(64,249):{'3_1':0.09,'6_3':0.0},(64,248):{'3_1':0.06},(64,247):{'3_1':0.06},(64,246):{'3_1':0.09,'4_1':0.0},(64,245):{'3_1':0.06},(64,244):{'3_1':0.06,'8_20|3_1#3_1':0.0},(64,243):{'3_1':0.03},(64,242):{'3_1':0.06,'4_1':0.0},(64,241):{'3_1':0.06},(64,240):{'3_1':0.03,'4_1':0.03},(64,239):{'3_1':0.03,'4_1':0.0},(64,238):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(64,237):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(64,236):{'3_1':0.0,'6_1':0.0},(64,235):{'3_1':0.03,'4_1':0.0},(64,234):{'3_1':0.09,'4_1':0.0},(64,233):{'3_1':0.03,'4_1':0.0},(64,232):{'3_1':0.03,'4_1':0.0},(64,231):{'3_1':0.03,'4_1':0.0},(64,230):{'3_1':0.03,'4_1':0.0},(64,229):{'3_1':0.06,'4_1':0.0},(64,228):{'3_1':0.03},(64,227):{'3_1':0.03,'4_1':0.0},(64,226):{'3_1':0.09,'4_1':0.0},(64,225):{'3_1':0.06,'4_1':0.0},(64,224):{'3_1':0.0},(64,223):{'3_1':0.06},(64,222):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(64,221):{'3_1':0.03,'4_1':0.0},(64,220):{'3_1':0.06},(64,219):{'3_1':0.03},(64,218):{'3_1':0.03},(64,217):{'3_1':0.03},(64,216):{'3_1':0.09,'4_1':0.0},(64,215):{'3_1':0.03,'4_1':0.0},(64,214):{'3_1':0.03},(64,213):{'3_1':0.0,'4_1':0.0},(64,212):{'3_1':0.03},(64,211):{'3_1':0.0,'4_1':0.0},(64,210):{'3_1':0.03,'5_1':0.0},(64,209):{'3_1':0.03,'4_1':0.0},(64,208):{'3_1':0.0,'8_19':0.0},(64,207):{'3_1':0.06},(64,206):{'3_1':0.03},(64,205):{'3_1':0.03},(64,204):{'3_1':0.06},(64,203):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(64,202):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(64,201):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(64,200):{'3_1':0.03,'5_2':0.0},(64,199):{'3_1':0.0,'5_2':0.0},(64,198):{'3_1':0.0},(64,197):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(64,196):{'3_1':0.0},(64,195):{'3_1':0.03,'4_1':0.0},(64,194):{'3_1':0.0},(64,193):{'3_1':0.0},(64,192):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(64,191):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(64,190):{'3_1':0.03,'5_2':0.0},(64,189):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(64,188):{'3_1':0.0},(64,187):{'3_1':0.0},(64,186):{'3_1':0.0},(64,185):{'3_1':0.0,'4_1':0.0},(64,184):{'3_1':0.0,'4_1':0.0},(64,183):{'3_1':0.03},(64,182):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(64,181):{'3_1':0.03},(64,180):{'3_1':0.03},(64,179):{'3_1':0.03},(64,178):{'3_1':0.03,'4_1':0.0},(64,177):{'3_1':0.0},(64,175):{'3_1':0.0,'4_1':0.0},(64,174):{'3_1':0.0,'4_1':0.0},(64,173):{'3_1':0.0},(64,172):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(64,170):{'3_1':0.03,'4_1':0.0},(64,169):{'3_1':0.0,'4_1':0.0},(64,168):{'3_1':0.0,'4_1':0.0},(64,167):{'4_1':0.0},(64,166):{'4_1':0.0},(64,164):{'3_1':0.0,'4_1':0.0},(64,163):{'3_1':0.03},(64,162):{'3_1':0.0,'4_1':0.0},(64,161):{'3_1':0.0},(64,160):{'3_1':0.0},(64,159):{'3_1':0.0,'4_1':0.0},(64,158):{'3_1':0.0,'4_1':0.0},(64,157):{'3_1':0.0,'4_1':0.0},(64,156):{'3_1':0.0},(64,155):{'3_1':0.0},(64,154):{'3_1':0.0},(64,153):{'3_1':0.03},(64,152):{'3_1':0.03},(64,151):{'3_1':0.0},(64,150):{'3_1':0.0},(64,149):{'3_1':0.0},(64,148):{'3_1':0.0},(64,147):{'3_1':0.03},(64,146):{'3_1':0.0},(64,145):{'3_1':0.03},(64,144):{'3_1':0.03,'4_1':0.0},(64,142):{'3_1':0.0},(64,141):{'3_1':0.0},(64,140):{'3_1':0.0},(64,139):{'3_1':0.0,'4_1':0.0},(64,138):{'3_1':0.0},(64,135):{'3_1':0.0},(64,132):{'4_1':0.0},(64,117):{'4_1':0.0},(64,116):{'4_1':0.0},(64,115):{'4_1':0.0},(64,114):{'3_1':0.0},(64,113):{'3_1':0.0,'4_1':0.0},(64,112):{'4_1':0.0},(64,111):{'3_1':0.0},(64,109):{'3_1':0.0},(64,107):{'3_1':0.0,'4_1':0.0},(64,106):{'3_1':0.0},(64,99):{'3_1':0.0},(64,95):{'3_1':0.0},(65,290):{'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_3':0.0},(65,289):{'3_1':0.12,'5_1':0.0},(65,288):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0},(65,287):{'3_1':0.12,'4_1':0.03,'3_1#5_1':0.0},(65,286):{'3_1':0.12,'4_1':0.0},(65,285):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(65,284):{'3_1':0.03,'4_1':0.0},(65,283):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(65,282):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(65,281):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(65,280):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(65,279):{'3_1':0.06,'4_1':0.0,'8_5':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(65,278):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(65,277):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(65,276):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(65,275):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(65,274):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(65,273):{'3_1':0.06,'4_1':0.0},(65,272):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_14':0.0},(65,271):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(65,270):{'3_1':0.03,'5_2':0.0},(65,269):{'3_1':0.03,'8_20|3_1#3_1':0.0},(65,268):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(65,267):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(65,266):{'3_1':0.06,'8_20|3_1#3_1':0.0},(65,265):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(65,264):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(65,263):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(65,262):{'3_1':0.09,'4_1':0.0},(65,261):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(65,260):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(65,259):{'3_1':0.15},(65,258):{'3_1':0.15,'5_2':0.0,'8_21|3_1#4_1':0.0},(65,257):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(65,256):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(65,255):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(65,254):{'3_1':0.12,'4_1':0.0},(65,253):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(65,252):{'3_1':0.15,'4_1':0.0},(65,251):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(65,250):{'3_1':0.12},(65,249):{'3_1':0.09,'4_1':0.0},(65,248):{'3_1':0.06,'4_1':0.0},(65,247):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(65,246):{'3_1':0.09,'4_1':0.03},(65,245):{'3_1':0.09,'4_1':0.0},(65,244):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(65,243):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(65,242):{'3_1':0.09,'4_1':0.0},(65,241):{'3_1':0.09,'4_1':0.0},(65,240):{'3_1':0.0},(65,239):{'3_1':0.03,'4_1':0.0},(65,238):{'3_1':0.06,'4_1':0.0},(65,237):{'3_1':0.06,'4_1':0.03},(65,236):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_1':0.0},(65,235):{'3_1':0.03,'4_1':0.0},(65,234):{'3_1':0.03},(65,233):{'3_1':0.06,'8_21|3_1#4_1':0.0},(65,232):{'3_1':0.03},(65,231):{'3_1':0.06,'4_1':0.0},(65,230):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(65,229):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(65,228):{'3_1':0.06,'4_1':0.0},(65,227):{'3_1':0.03,'4_1':0.0},(65,226):{'3_1':0.06},(65,225):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(65,224):{'3_1':0.0},(65,223):{'3_1':0.06,'6_2':0.0},(65,222):{'3_1':0.03,'4_1':0.0},(65,221):{'3_1':0.06,'4_1':0.0},(65,220):{'3_1':0.06,'4_1':0.0},(65,219):{'3_1':0.03,'4_1':0.0},(65,218):{'3_1':0.03,'4_1':0.0},(65,217):{'3_1':0.03,'4_1':0.0},(65,216):{'3_1':0.03},(65,215):{'3_1':0.0,'4_1':0.0},(65,214):{'3_1':0.0},(65,213):{'3_1':0.03,'5_1':0.0},(65,212):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(65,211):{'3_1':0.0,'4_1':0.0},(65,210):{'3_1':0.03,'5_1':0.0},(65,209):{'3_1':0.03},(65,208):{'3_1':0.03},(65,207):{'3_1':0.09},(65,206):{'3_1':0.03,'5_2':0.0},(65,205):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(65,204):{'3_1':0.03,'4_1':0.0},(65,203):{'3_1':0.03,'4_1':0.0},(65,202):{'3_1':0.03},(65,201):{'3_1':0.09,'4_1':0.0},(65,200):{'3_1':0.03},(65,199):{'3_1':0.0,'5_1':0.0},(65,198):{'3_1':0.0,'5_1':0.0},(65,197):{'3_1':0.0},(65,196):{'3_1':0.03},(65,195):{'3_1':0.03},(65,194):{'3_1':0.0},(65,193):{'4_1':0.03,'3_1':0.0},(65,192):{'3_1':0.03},(65,191):{'3_1':0.0},(65,190):{'3_1':0.03,'8_20|3_1#3_1':0.0},(65,189):{'3_1':0.03,'4_1':0.0},(65,188):{'3_1':0.0},(65,187):{'3_1':0.0,'8_20|3_1#3_1':0.0},(65,186):{'3_1':0.0},(65,185):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(65,184):{'3_1':0.03},(65,183):{'3_1':0.03,'5_2':0.0},(65,182):{'3_1':0.0},(65,181):{'3_1':0.03},(65,180):{'3_1':0.0},(65,179):{'3_1':0.06},(65,178):{'3_1':0.03},(65,177):{'3_1':0.0,'4_1':0.0},(65,176):{'3_1':0.0,'4_1':0.0},(65,175):{'3_1':0.06,'4_1':0.0},(65,174):{'3_1':0.0},(65,173):{'3_1':0.0},(65,172):{'3_1':0.03,'4_1':0.0},(65,171):{'3_1':0.0},(65,170):{'3_1':0.03,'5_2':0.0},(65,169):{'3_1':0.0,'5_2':0.0},(65,168):{'3_1':0.0},(65,167):{'3_1':0.0},(65,166):{'4_1':0.0,'3_1':0.0},(65,164):{'3_1':0.03},(65,163):{'3_1':0.0},(65,162):{'3_1':0.03,'4_1':0.0},(65,161):{'3_1':0.0},(65,160):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(65,158):{'4_1':0.0},(65,157):{'3_1':0.0},(65,156):{'3_1':0.0},(65,155):{'3_1':0.0},(65,154):{'3_1':0.0},(65,153):{'5_2':0.0},(65,152):{'3_1':0.0},(65,151):{'3_1':0.0},(65,150):{'3_1':0.0},(65,149):{'3_1':0.0},(65,147):{'3_1':0.0},(65,146):{'3_1':0.0},(65,145):{'3_1':0.0},(65,144):{'3_1':0.0},(65,143):{'3_1':0.0,'4_1':0.0},(65,142):{'3_1':0.0},(65,138):{'3_1':0.0,'4_1':0.0},(65,137):{'3_1':0.0},(65,136):{'4_1':0.0},(65,135):{'3_1':0.0},(65,132):{'3_1':0.0},(65,119):{'3_1':0.0,'4_1':0.0},(65,117):{'3_1':0.0},(65,115):{'3_1':0.0,'4_1':0.0},(65,113):{'3_1':0.0},(65,112):{'4_1':0.0,'3_1':0.0},(65,111):{'3_1':0.0},(65,110):{'3_1':0.0},(65,109):{'3_1':0.0},(65,108):{'3_1':0.0},(65,107):{'3_1':0.0},(65,106):{'3_1':0.0},(65,99):{'3_1':0.0},(65,97):{'3_1':0.0},(65,96):{'3_1':0.0},(65,93):{'3_1':0.0},(65,91):{'3_1':0.0},(66,290):{'3_1':0.12,'5_1':0.0},(66,289):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(66,288):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(66,287):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(66,286):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(66,285):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(66,284):{'3_1':0.09,'4_1':0.03},(66,283):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(66,282):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(66,281):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(66,280):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_3':0.0},(66,279):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_7':0.0},(66,278):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(66,277):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(66,276):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_3':0.0,'5_2':0.0},(66,275):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(66,274):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(66,273):{'3_1':0.09,'5_1':0.0,'8_6':0.0},(66,272):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_13':0.0},(66,271):{'3_1':0.03,'5_1':0.0},(66,270):{'3_1':0.06},(66,269):{'3_1':0.12,'5_2':0.0},(66,268):{'3_1':0.06},(66,267):{'3_1':0.06,'5_2':0.0},(66,266):{'3_1':0.09,'5_1':0.0},(66,265):{'3_1':0.12},(66,264):{'3_1':0.12},(66,263):{'3_1':0.09},(66,262):{'3_1':0.09,'4_1':0.0,'8_4':0.0},(66,261):{'3_1':0.06,'5_1':0.0},(66,260):{'3_1':0.15,'5_2':0.0},(66,259):{'3_1':0.12,'7_5':0.0},(66,258):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(66,257):{'3_1':0.21,'5_1':0.0,'8_20|3_1#3_1':0.0},(66,256):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(66,255):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(66,254):{'3_1':0.06,'4_1':0.0},(66,253):{'3_1':0.06,'4_1':0.0},(66,252):{'3_1':0.09},(66,251):{'3_1':0.06,'4_1':0.0},(66,250):{'3_1':0.03,'5_1':0.0},(66,249):{'3_1':0.0,'5_1':0.0},(66,248):{'3_1':0.09,'5_2':0.0},(66,247):{'3_1':0.06},(66,246):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(66,245):{'3_1':0.09},(66,244):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(66,243):{'3_1':0.06,'4_1':0.0},(66,242):{'3_1':0.06,'4_1':0.0},(66,241):{'3_1':0.09,'4_1':0.0},(66,240):{'3_1':0.0},(66,239):{'3_1':0.03},(66,238):{'3_1':0.03,'4_1':0.0},(66,237):{'3_1':0.03},(66,236):{'3_1':0.03,'6_3':0.0},(66,235):{'3_1':0.03,'4_1':0.0},(66,234):{'3_1':0.03},(66,233):{'3_1':0.03},(66,232):{'3_1':0.0,'4_1':0.0},(66,231):{'3_1':0.03,'4_1':0.0},(66,230):{'3_1':0.03},(66,229):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(66,228):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(66,227):{'3_1':0.03,'6_2':0.0,'4_1':0.0},(66,226):{'3_1':0.03,'6_3':0.0},(66,225):{'3_1':0.06,'4_1':0.0},(66,224):{'3_1':0.03},(66,223):{'3_1':0.06,'4_1':0.0},(66,222):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(66,221):{'3_1':0.06,'4_1':0.0},(66,220):{'3_1':0.03,'4_1':0.0},(66,219):{'3_1':0.03},(66,218):{'3_1':0.06},(66,217):{'3_1':0.03,'4_1':0.0},(66,216):{'3_1':0.03,'4_1':0.0},(66,215):{'3_1':0.06},(66,214):{'3_1':0.06},(66,213):{'3_1':0.03},(66,212):{'3_1':0.06,'4_1':0.0},(66,211):{'3_1':0.03},(66,210):{'3_1':0.03},(66,209):{'3_1':0.0},(66,208):{'3_1':0.0,'5_1':0.0},(66,207):{'3_1':0.03},(66,206):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(66,205):{'3_1':0.0,'5_2':0.0},(66,204):{'3_1':0.03},(66,203):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(66,202):{'5_1':0.0},(66,201):{'3_1':0.0},(66,200):{'3_1':0.0,'4_1':0.0},(66,199):{'3_1':0.03},(66,198):{'3_1':0.0,'4_1':0.0},(66,197):{'3_1':0.03},(66,196):{'3_1':0.03,'4_1':0.0},(66,195):{'3_1':0.06,'4_1':0.0},(66,194):{'3_1':0.0,'4_1':0.0},(66,193):{'3_1':0.0,'4_1':0.0},(66,192):{'3_1':0.03},(66,191):{'3_1':0.03,'4_1':0.0},(66,190):{'3_1':0.0,'4_1':0.0},(66,189):{'3_1':0.0,'6_2':0.0},(66,188):{'4_1':0.0},(66,187):{'3_1':0.03},(66,186):{'3_1':0.03,'4_1':0.0},(66,185):{'3_1':0.03,'8_20|3_1#3_1':0.0},(66,184):{'3_1':0.03,'6_1':0.0},(66,183):{'3_1':0.0},(66,182):{'3_1':0.03},(66,181):{'3_1':0.03,'5_2':0.0},(66,180):{'3_1':0.06,'4_1':0.0},(66,179):{'3_1':0.03,'5_1':0.0},(66,178):{'3_1':0.06},(66,177):{'3_1':0.03},(66,176):{'3_1':0.03},(66,175):{'3_1':0.03},(66,174):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(66,173):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(66,172):{'3_1':0.0},(66,170):{'3_1':0.0},(66,169):{'3_1':0.0},(66,168):{'3_1':0.0,'4_1':0.0},(66,167):{'4_1':0.0},(66,166):{'3_1':0.0,'4_1':0.0},(66,164):{'3_1':0.0},(66,163):{'3_1':0.0},(66,162):{'3_1':0.0},(66,161):{'3_1':0.0},(66,160):{'3_1':0.0},(66,159):{'3_1':0.0},(66,158):{'3_1':0.0},(66,157):{'3_1':0.0},(66,154):{'3_1':0.0,'4_1':0.0},(66,153):{'3_1':0.0},(66,152):{'3_1':0.0},(66,151):{'3_1':0.0},(66,150):{'3_1':0.0},(66,149):{'3_1':0.0},(66,148):{'3_1':0.03,'4_1':0.0},(66,147):{'3_1':0.0},(66,138):{'3_1':0.0},(66,135):{'3_1':0.0},(66,134):{'3_1':0.0},(66,133):{'3_1':0.0},(66,132):{'3_1':0.0},(66,119):{'4_1':0.0},(66,117):{'5_2':0.0},(66,116):{'4_1':0.0},(66,115):{'3_1':0.0},(66,113):{'3_1':0.0},(66,112):{'3_1':0.0},(66,110):{'3_1':0.0},(66,109):{'3_1':0.0},(66,108):{'3_1':0.0},(66,107):{'3_1':0.0},(67,290):{'3_1':0.06,'4_1':0.0},(67,289):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'8_19':0.0},(67,288):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(67,287):{'3_1':0.06,'4_1':0.03},(67,286):{'3_1':0.09,'4_1':0.0},(67,285):{'3_1':0.12,'4_1':0.0},(67,284):{'3_1':0.12,'4_1':0.0},(67,283):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(67,282):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(67,281):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(67,280):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0},(67,279):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(67,278):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(67,277):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_3':0.0},(67,276):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0},(67,275):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(67,274):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(67,273):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_17':0.0,'-3':0.0},(67,272):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(67,271):{'3_1':0.0,'5_1':0.0},(67,270):{'3_1':0.06},(67,269):{'3_1':0.06,'4_1':0.0},(67,268):{'3_1':0.03,'4_1':0.0},(67,267):{'3_1':0.12},(67,266):{'3_1':0.03,'5_2':0.0},(67,265):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(67,264):{'3_1':0.06,'5_1':0.0},(67,263):{'3_1':0.06,'4_1':0.0},(67,262):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(67,261):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(67,260):{'3_1':0.06,'5_1':0.0},(67,259):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(67,258):{'3_1':0.12,'5_1':0.0,'8_21|3_1#4_1':0.0},(67,257):{'3_1':0.12,'5_2':0.0},(67,256):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(67,255):{'3_1':0.06,'4_1':0.0},(67,254):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(67,253):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(67,252):{'3_1':0.09},(67,251):{'3_1':0.03,'4_1':0.0},(67,250):{'3_1':0.09,'4_1':0.0},(67,249):{'3_1':0.06,'5_2':0.0},(67,248):{'3_1':0.06},(67,247):{'3_1':0.03,'4_1':0.0},(67,246):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(67,245):{'3_1':0.06,'4_1':0.0},(67,244):{'3_1':0.06},(67,243):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(67,242):{'3_1':0.06,'5_1':0.0},(67,241):{'3_1':0.06,'5_1':0.0},(67,240):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,239):{'3_1':0.03},(67,238):{'3_1':0.03,'5_1':0.0},(67,237):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(67,236):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(67,235):{'4_1':0.0},(67,234):{'3_1':0.06,'4_1':0.0},(67,233):{'3_1':0.03,'4_1':0.0},(67,232):{'4_1':0.0,'3_1':0.0},(67,231):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(67,230):{'3_1':0.03,'4_1':0.0},(67,229):{'3_1':0.03},(67,228):{'3_1':0.03,'4_1':0.0},(67,227):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0},(67,226):{'3_1':0.06,'4_1':0.0},(67,225):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(67,224):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(67,223):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(67,222):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(67,221):{'3_1':0.03,'4_1':0.0},(67,220):{'3_1':0.06},(67,219):{'3_1':0.03,'4_1':0.0},(67,218):{'3_1':0.03},(67,217):{'3_1':0.03},(67,216):{'3_1':0.03,'4_1':0.0},(67,215):{'3_1':0.0},(67,214):{'3_1':0.03,'4_1':0.0},(67,213):{'3_1':0.03,'4_1':0.0},(67,212):{'3_1':0.0},(67,211):{'3_1':0.0,'4_1':0.0},(67,210):{'3_1':0.0},(67,209):{'3_1':0.03},(67,208):{'3_1':0.03},(67,207):{'3_1':0.03,'5_1':0.0},(67,206):{'3_1':0.03},(67,205):{'3_1':0.03},(67,204):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(67,203):{'3_1':0.03},(67,202):{'3_1':0.03,'8_20|3_1#3_1':0.0},(67,201):{'3_1':0.03,'4_1':0.0},(67,200):{'3_1':0.0},(67,199):{'3_1':0.0},(67,198):{'5_1':0.0},(67,197):{'5_2':0.0,'3_1':0.0},(67,196):{'3_1':0.0},(67,195):{'3_1':0.0},(67,194):{'3_1':0.0},(67,193):{'3_1':0.0},(67,192):{'3_1':0.0,'4_1':0.0},(67,191):{'3_1':0.0,'4_1':0.0},(67,190):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(67,189):{'3_1':0.0,'4_1':0.0},(67,188):{'3_1':0.03},(67,187):{'3_1':0.0},(67,186):{'3_1':0.0,'5_1':0.0},(67,184):{'3_1':0.0,'4_1':0.0},(67,183):{'3_1':0.0},(67,182):{'4_1':0.0,'3_1':0.0},(67,181):{'3_1':0.03,'4_1':0.0},(67,180):{'3_1':0.0,'4_1':0.0},(67,179):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(67,178):{'3_1':0.03},(67,177):{'3_1':0.0},(67,176):{'3_1':0.0},(67,175):{'3_1':0.0},(67,174):{'3_1':0.0,'4_1':0.0},(67,173):{'3_1':0.0},(67,172):{'3_1':0.03},(67,171):{'3_1':0.0},(67,170):{'3_1':0.0,'4_1':0.0},(67,169):{'3_1':0.03,'4_1':0.0},(67,168):{'3_1':0.0},(67,167):{'3_1':0.0,'4_1':0.0},(67,166):{'3_1':0.0},(67,165):{'3_1':0.0},(67,164):{'3_1':0.0},(67,163):{'3_1':0.0,'4_1':0.0},(67,162):{'3_1':0.0},(67,161):{'3_1':0.0},(67,160):{'3_1':0.0},(67,159):{'3_1':0.0,'4_1':0.0},(67,156):{'4_1':0.0},(67,155):{'3_1':0.0},(67,154):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(67,153):{'3_1':0.0,'7_7':0.0},(67,151):{'3_1':0.0},(67,150):{'3_1':0.0},(67,149):{'3_1':0.0,'4_1':0.0},(67,148):{'4_1':0.0},(67,146):{'3_1':0.0,'4_1':0.0},(67,145):{'3_1':0.0},(67,143):{'3_1':0.0},(67,142):{'3_1':0.0,'4_1':0.0},(67,139):{'4_1':0.0},(67,137):{'4_1':0.0},(67,135):{'4_1':0.0},(67,132):{'4_1':0.0},(67,123):{'4_1':0.0},(67,122):{'4_1':0.0},(67,120):{'3_1':0.0,'4_1':0.0},(67,119):{'3_1':0.0},(67,118):{'4_1':0.0},(67,117):{'4_1':0.0},(67,116):{'4_1':0.0},(67,115):{'4_1':0.0},(67,113):{'3_1':0.0,'4_1':0.0},(67,112):{'3_1':0.0},(67,111):{'3_1':0.0},(67,110):{'3_1':0.0,'4_1':0.0},(67,109):{'3_1':0.0},(67,107):{'3_1':0.0},(67,106):{'3_1':0.0,'4_1':0.0},(68,290):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(68,289):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(68,288):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(68,287):{'3_1':0.06,'4_1':0.0},(68,286):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(68,285):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(68,284):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(68,283):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(68,282):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(68,281):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'5_2':0.0},(68,280):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(68,279):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_7':0.0},(68,278):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(68,277):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(68,276):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(68,275):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(68,274):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(68,273):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(68,272):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(68,271):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,270):{'3_1':0.15},(68,269):{'3_1':0.03},(68,268):{'3_1':0.09,'4_1':0.0},(68,267):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(68,266):{'3_1':0.06,'5_2':0.0},(68,265):{'3_1':0.12,'5_1':0.0},(68,264):{'3_1':0.06},(68,263):{'3_1':0.09},(68,262):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(68,261):{'3_1':0.09,'6_2':0.0},(68,260):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(68,259):{'3_1':0.18,'5_2':0.0},(68,258):{'3_1':0.15,'5_1':0.0},(68,257):{'3_1':0.09,'5_1':0.0},(68,256):{'3_1':0.15,'4_1':0.0},(68,255):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(68,254):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(68,253):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,252):{'3_1':0.03},(68,251):{'3_1':0.09,'4_1':0.0},(68,250):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(68,249):{'3_1':0.09,'4_1':0.0},(68,248):{'3_1':0.03,'4_1':0.0},(68,247):{'3_1':0.06,'4_1':0.0},(68,246):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,245):{'3_1':0.12,'4_1':0.0},(68,244):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(68,243):{'3_1':0.06,'5_1':0.0},(68,242):{'3_1':0.03,'6_2':0.0},(68,241):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(68,240):{'3_1':0.03},(68,239):{'3_1':0.06,'4_1':0.0},(68,238):{'3_1':0.0},(68,237):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(68,236):{'3_1':0.03},(68,235):{'3_1':0.0,'4_1':0.0},(68,234):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,233):{'3_1':0.03},(68,232):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,231):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(68,230):{'3_1':0.06},(68,229):{'3_1':0.06,'4_1':0.0},(68,228):{'3_1':0.03,'4_1':0.03},(68,227):{'3_1':0.06,'4_1':0.0},(68,226):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,225):{'3_1':0.03,'4_1':0.0},(68,224):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(68,223):{'3_1':0.06,'6_1':0.0},(68,222):{'3_1':0.06},(68,221):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(68,220):{'3_1':0.0},(68,219):{'3_1':0.03},(68,218):{'3_1':0.03,'4_1':0.0},(68,217):{'3_1':0.06,'4_1':0.0},(68,216):{'3_1':0.03,'8_9':0.0},(68,215):{'3_1':0.03},(68,214):{'3_1':0.0},(68,213):{'3_1':0.0,'4_1':0.0},(68,212):{'3_1':0.0},(68,211):{'3_1':0.0,'4_1':0.0},(68,210):{'3_1':0.06},(68,209):{'3_1':0.06,'4_1':0.0},(68,208):{'3_1':0.03,'4_1':0.0},(68,207):{'3_1':0.03},(68,206):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(68,205):{'3_1':0.03},(68,204):{'3_1':0.03},(68,203):{'3_1':0.0,'4_1':0.0},(68,202):{'3_1':0.0},(68,201):{'3_1':0.03,'4_1':0.0},(68,200):{'4_1':0.0,'3_1':0.0},(68,199):{'3_1':0.0,'4_1':0.0},(68,198):{'3_1':0.06,'4_1':0.0},(68,197):{'3_1':0.03},(68,196):{'3_1':0.0},(68,195):{'3_1':0.0},(68,194):{'3_1':0.0,'4_1':0.0},(68,193):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(68,192):{'3_1':0.0,'7_7':0.0},(68,191):{'3_1':0.0},(68,190):{'3_1':0.03},(68,189):{'3_1':0.0,'5_1':0.0},(68,188):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(68,187):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(68,186):{'3_1':0.03,'4_1':0.0},(68,185):{'3_1':0.03},(68,184):{'3_1':0.0},(68,183):{'3_1':0.03},(68,182):{'3_1':0.03,'5_1':0.0},(68,181):{'3_1':0.03,'4_1':0.0},(68,180):{'3_1':0.0},(68,179):{'3_1':0.03,'4_1':0.0},(68,178):{'3_1':0.0,'4_1':0.0},(68,177):{'3_1':0.03,'4_1':0.0},(68,176):{'3_1':0.03,'4_1':0.0},(68,175):{'3_1':0.0,'4_1':0.0},(68,174):{'4_1':0.0,'3_1':0.0},(68,173):{'3_1':0.03},(68,172):{'3_1':0.0,'4_1':0.0},(68,171):{'3_1':0.0,'4_1':0.0},(68,170):{'4_1':0.0},(68,169):{'3_1':0.0},(68,168):{'3_1':0.0},(68,167):{'4_1':0.0,'3_1':0.0},(68,166):{'3_1':0.0,'4_1':0.0},(68,164):{'3_1':0.0,'4_1':0.0},(68,163):{'3_1':0.0},(68,162):{'3_1':0.03},(68,161):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(68,160):{'3_1':0.0},(68,159):{'3_1':0.0},(68,158):{'3_1':0.0,'4_1':0.0},(68,157):{'4_1':0.0,'3_1':0.0},(68,156):{'3_1':0.0},(68,155):{'3_1':0.03},(68,154):{'3_1':0.0},(68,153):{'3_1':0.0},(68,152):{'3_1':0.0},(68,151):{'3_1':0.0},(68,150):{'3_1':0.0,'4_1':0.0},(68,149):{'3_1':0.0,'5_2':0.0},(68,148):{'3_1':0.0},(68,147):{'3_1':0.0},(68,146):{'3_1':0.0,'4_1':0.0},(68,145):{'3_1':0.0},(68,144):{'4_1':0.0},(68,143):{'3_1':0.0,'4_1':0.0},(68,142):{'4_1':0.0,'3_1':0.0},(68,141):{'3_1':0.03},(68,140):{'3_1':0.0,'4_1':0.0},(68,138):{'4_1':0.0},(68,137):{'3_1':0.0,'4_1':0.0},(68,136):{'3_1':0.0,'4_1':0.0},(68,135):{'6_3':0.0},(68,134):{'3_1':0.0},(68,133):{'4_1':0.0},(68,132):{'3_1':0.0},(68,123):{'4_1':0.0},(68,122):{'4_1':0.0},(68,120):{'4_1':0.0},(68,118):{'4_1':0.0},(68,117):{'3_1':0.0,'4_1':0.0},(68,115):{'4_1':0.0,'3_1':0.0},(68,114):{'4_1':0.0,'3_1':0.0},(68,112):{'4_1':0.0},(68,111):{'4_1':0.0},(68,109):{'3_1':0.0},(68,108):{'3_1':0.0},(68,107):{'3_1':0.0},(68,106):{'3_1':0.0},(69,290):{'3_1':0.12,'5_2':0.0},(69,289):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(69,288):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0},(69,287):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(69,286):{'3_1':0.12,'4_1':0.0},(69,285):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0},(69,284):{'3_1':0.09,'8_20|3_1#3_1':0.0,'4_1':0.0},(69,283):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(69,282):{'3_1':0.09,'6_1':0.0},(69,281):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(69,280):{'3_1':0.09,'4_1':0.03},(69,279):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(69,278):{'3_1':0.12,'4_1':0.06,'6_3':0.0},(69,277):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(69,276):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(69,275):{'3_1':0.09,'4_1':0.03},(69,274):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(69,273):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(69,272):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_6':0.0},(69,271):{'3_1':0.12},(69,270):{'3_1':0.12},(69,269):{'3_1':0.15,'5_2':0.0},(69,268):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(69,267):{'3_1':0.09},(69,266):{'3_1':0.09,'4_1':0.0},(69,265):{'3_1':0.12},(69,264):{'3_1':0.06,'4_1':0.0},(69,263):{'3_1':0.12,'4_1':0.0},(69,262):{'3_1':0.12,'8_20|3_1#3_1':0.0},(69,261):{'3_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0},(69,260):{'3_1':0.12,'5_2':0.0},(69,259):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(69,258):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(69,257):{'3_1':0.09,'5_2':0.0},(69,256):{'3_1':0.09,'4_1':0.0},(69,255):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(69,254):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(69,253):{'3_1':0.09},(69,252):{'3_1':0.09},(69,251):{'3_1':0.06},(69,250):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(69,249):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(69,248):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(69,247):{'3_1':0.09,'4_1':0.0},(69,246):{'3_1':0.09},(69,245):{'3_1':0.09},(69,244):{'3_1':0.06,'5_1':0.0},(69,243):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(69,242):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(69,241):{'3_1':0.06,'4_1':0.0},(69,240):{'3_1':0.03,'4_1':0.0},(69,239):{'3_1':0.03,'4_1':0.0},(69,238):{'3_1':0.06,'4_1':0.0},(69,237):{'3_1':0.06},(69,236):{'3_1':0.03,'5_2':0.0},(69,235):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(69,234):{'3_1':0.06},(69,233):{'3_1':0.06,'4_1':0.0},(69,232):{'3_1':0.03},(69,231):{'3_1':0.12,'4_1':0.03},(69,230):{'3_1':0.06,'4_1':0.0},(69,229):{'3_1':0.03},(69,228):{'3_1':0.03,'4_1':0.0},(69,227):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(69,226):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(69,225):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(69,224):{'3_1':0.06},(69,223):{'3_1':0.06,'4_1':0.0},(69,222):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(69,221):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(69,220):{'3_1':0.06},(69,219):{'3_1':0.03,'4_1':0.0},(69,218):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(69,217):{'3_1':0.03,'4_1':0.0},(69,216):{'3_1':0.0},(69,215):{'3_1':0.0},(69,214):{'3_1':0.0},(69,213):{'3_1':0.0,'4_1':0.0},(69,212):{'3_1':0.03},(69,211):{'3_1':0.03},(69,210):{'3_1':0.03},(69,209):{'3_1':0.0,'4_1':0.0},(69,208):{'3_1':0.03,'5_2':0.0},(69,207):{'3_1':0.03,'4_1':0.0},(69,206):{'3_1':0.06,'4_1':0.0},(69,205):{'3_1':0.06,'4_1':0.0},(69,204):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(69,203):{'3_1':0.06,'5_2':0.0},(69,202):{'3_1':0.03},(69,201):{'3_1':0.09,'4_1':0.0},(69,200):{'3_1':0.03,'5_1':0.0},(69,199):{'3_1':0.03},(69,198):{'3_1':0.03},(69,197):{'3_1':0.0},(69,196):{'3_1':0.0},(69,195):{'3_1':0.0},(69,194):{'3_1':0.03,'4_1':0.0},(69,193):{'3_1':0.0,'4_1':0.0},(69,192):{'3_1':0.0},(69,191):{'3_1':0.0},(69,190):{'3_1':0.03,'4_1':0.0},(69,189):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(69,188):{'3_1':0.0},(69,187):{'3_1':0.0},(69,186):{'3_1':0.03},(69,185):{'3_1':0.06},(69,184):{'3_1':0.03},(69,183):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(69,182):{'3_1':0.0},(69,181):{'3_1':0.06,'7_3':0.0},(69,180):{'3_1':0.03,'5_1':0.0},(69,179):{'3_1':0.06,'4_1':0.0},(69,178):{'3_1':0.0},(69,177):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(69,176):{'3_1':0.03,'5_1':0.0},(69,175):{'3_1':0.0},(69,174):{'3_1':0.0},(69,173):{'3_1':0.0,'4_1':0.0},(69,172):{'3_1':0.0},(69,171):{'3_1':0.0},(69,170):{'3_1':0.0},(69,169):{'3_1':0.0},(69,168):{'3_1':0.0},(69,167):{'3_1':0.0},(69,166):{'3_1':0.0,'4_1':0.0},(69,165):{'3_1':0.0},(69,164):{'3_1':0.0,'4_1':0.0},(69,163):{'3_1':0.03,'4_1':0.0},(69,162):{'3_1':0.0,'5_2':0.0},(69,161):{'3_1':0.0},(69,160):{'3_1':0.0,'4_1':0.0},(69,159):{'3_1':0.0},(69,158):{'4_1':0.0},(69,157):{'3_1':0.0,'4_1':0.0},(69,156):{'3_1':0.0,'4_1':0.0},(69,155):{'3_1':0.0,'5_1':0.0},(69,154):{'3_1':0.0},(69,152):{'3_1':0.0},(69,151):{'3_1':0.0,'4_1':0.0},(69,150):{'4_1':0.0},(69,149):{'3_1':0.0},(69,148):{'3_1':0.03},(69,147):{'3_1':0.0},(69,145):{'3_1':0.0},(69,143):{'3_1':0.0},(69,142):{'3_1':0.0},(69,141):{'4_1':0.0},(69,140):{'3_1':0.0},(69,139):{'3_1':0.0,'4_1':0.0},(69,138):{'3_1':0.0},(69,135):{'4_1':0.0},(69,134):{'3_1':0.0},(69,133):{'3_1':0.0},(69,132):{'3_1':0.0},(69,124):{'3_1':0.0},(69,123):{'3_1':0.0,'4_1':0.0},(69,122):{'3_1':0.0},(69,121):{'3_1':0.0},(69,120):{'3_1':0.0},(69,116):{'3_1':0.0},(69,115):{'4_1':0.0},(69,113):{'3_1':0.0},(69,112):{'4_1':0.0},(69,110):{'3_1':0.0},(69,109):{'3_1':0.0},(69,108):{'3_1':0.0},(69,107):{'3_1':0.0},(70,290):{'3_1':0.15},(70,289):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(70,288):{'3_1':0.24,'4_1':0.06,'6_1':0.0,'6_2':0.0,'6_3':0.0},(70,287):{'3_1':0.15,'6_3':0.0,'4_1':0.0},(70,286):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(70,285):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(70,284):{'3_1':0.09,'4_1':0.0,'7_6':0.0},(70,283):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(70,282):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(70,281):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(70,280):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0},(70,279):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(70,278):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(70,277):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(70,276):{'3_1':0.12},(70,275):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(70,274):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(70,273):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(70,272):{'3_1':0.12,'4_1':0.06},(70,271):{'3_1':0.12},(70,270):{'3_1':0.15,'4_1':0.0},(70,269):{'3_1':0.18,'4_1':0.0},(70,268):{'3_1':0.06},(70,267):{'3_1':0.12,'5_1':0.0},(70,266):{'3_1':0.18,'6_3':0.0},(70,265):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(70,264):{'3_1':0.12},(70,263):{'3_1':0.15,'5_1':0.0},(70,262):{'3_1':0.15},(70,261):{'3_1':0.12},(70,260):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(70,259):{'3_1':0.18,'5_1':0.0},(70,258):{'3_1':0.12,'5_2':0.0,'8_21|3_1#4_1':0.0},(70,257):{'3_1':0.21,'5_2':0.0,'8_20|3_1#3_1':0.0},(70,256):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(70,255):{'3_1':0.18,'4_1':0.0},(70,254):{'3_1':0.12,'4_1':0.0},(70,253):{'3_1':0.15},(70,252):{'3_1':0.06,'5_2':0.0},(70,251):{'3_1':0.12},(70,250):{'3_1':0.09,'4_1':0.0},(70,249):{'3_1':0.09,'4_1':0.0},(70,248):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(70,247):{'3_1':0.12,'4_1':0.0},(70,246):{'3_1':0.12,'4_1':0.0},(70,245):{'3_1':0.12,'5_2':0.0},(70,244):{'3_1':0.15},(70,243):{'3_1':0.09,'5_2':0.0},(70,242):{'3_1':0.06,'5_2':0.0},(70,241):{'3_1':0.06,'4_1':0.0},(70,240):{'3_1':0.12},(70,239):{'3_1':0.03,'4_1':0.0},(70,238):{'3_1':0.06,'4_1':0.0},(70,237):{'3_1':0.03},(70,236):{'3_1':0.06,'4_1':0.0},(70,235):{'3_1':0.06,'4_1':0.0},(70,234):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(70,233):{'3_1':0.06,'4_1':0.0},(70,232):{'3_1':0.06,'4_1':0.0},(70,231):{'3_1':0.06,'4_1':0.0},(70,230):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(70,229):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(70,228):{'3_1':0.03,'4_1':0.0},(70,227):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(70,226):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(70,225):{'3_1':0.03,'4_1':0.0},(70,224):{'3_1':0.06},(70,223):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(70,222):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(70,221):{'3_1':0.0,'4_1':0.0},(70,220):{'3_1':0.09,'4_1':0.0},(70,219):{'3_1':0.06},(70,218):{'3_1':0.03,'4_1':0.0},(70,217):{'3_1':0.0,'4_1':0.0},(70,216):{'3_1':0.03},(70,215):{'3_1':0.03},(70,214):{'3_1':0.0},(70,213):{'3_1':0.0,'4_1':0.0},(70,212):{'3_1':0.06,'5_2':0.0},(70,211):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(70,210):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(70,209):{'3_1':0.03},(70,208):{'3_1':0.03},(70,207):{'3_1':0.03,'5_1':0.0},(70,206):{'3_1':0.03,'4_1':0.0},(70,205):{'3_1':0.03},(70,204):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(70,203):{'3_1':0.03,'4_1':0.0},(70,202):{'3_1':0.06,'5_2':0.0},(70,201):{'3_1':0.03},(70,200):{'3_1':0.03},(70,199):{'3_1':0.0},(70,198):{'3_1':0.0,'5_2':0.0},(70,196):{'3_1':0.0},(70,195):{'3_1':0.03},(70,194):{'3_1':0.0},(70,193):{'4_1':0.03,'3_1':0.0},(70,192):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(70,191):{'3_1':0.0},(70,190):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(70,189):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(70,188):{'3_1':0.0},(70,187):{'3_1':0.03},(70,186):{'3_1':0.03},(70,185):{'3_1':0.0},(70,184):{'3_1':0.0},(70,183):{'3_1':0.06,'5_1':0.0},(70,182):{'3_1':0.03},(70,181):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(70,180):{'3_1':0.06},(70,179):{'3_1':0.03},(70,178):{'3_1':0.0,'5_2':0.0},(70,177):{'3_1':0.03},(70,176):{'3_1':0.03},(70,175):{'3_1':0.0},(70,174):{'3_1':0.03},(70,173):{'3_1':0.0,'4_1':0.0},(70,172):{'4_1':0.0,'3_1':0.0},(70,171):{'3_1':0.0},(70,170):{'3_1':0.0},(70,169):{'4_1':0.0},(70,168):{'3_1':0.0},(70,167):{'3_1':0.03},(70,166):{'3_1':0.0},(70,165):{'3_1':0.0},(70,164):{'3_1':0.0},(70,163):{'3_1':0.0},(70,162):{'3_1':0.0},(70,161):{'3_1':0.03},(70,160):{'3_1':0.0,'4_1':0.0},(70,159):{'3_1':0.03},(70,158):{'3_1':0.0},(70,156):{'3_1':0.0},(70,155):{'3_1':0.0},(70,153):{'3_1':0.03},(70,151):{'3_1':0.0},(70,150):{'3_1':0.0},(70,149):{'3_1':0.0},(70,148):{'3_1':0.03},(70,147):{'3_1':0.0},(70,146):{'3_1':0.0},(70,145):{'3_1':0.03,'4_1':0.0},(70,144):{'3_1':0.03},(70,143):{'3_1':0.0,'4_1':0.0},(70,142):{'3_1':0.0},(70,141):{'3_1':0.0},(70,140):{'3_1':0.0},(70,139):{'3_1':0.0},(70,138):{'3_1':0.0},(70,137):{'3_1':0.0},(70,135):{'3_1':0.0},(70,133):{'3_1':0.0},(70,131):{'3_1':0.0},(70,124):{'3_1':0.0},(70,123):{'3_1':0.0},(70,122):{'3_1':0.0},(70,121):{'3_1':0.0},(70,119):{'3_1':0.0},(70,118):{'3_1':0.0},(70,117):{'3_1':0.0},(70,114):{'3_1':0.0},(70,113):{'3_1':0.0},(70,110):{'3_1':0.0},(70,109):{'4_1':0.0},(70,107):{'3_1':0.0},(71,290):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(71,289):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(71,288):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_3':0.0},(71,287):{'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_3':0.0},(71,286):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(71,285):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(71,284):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(71,283):{'3_1':0.15,'4_1':0.0},(71,282):{'3_1':0.06,'4_1':0.0},(71,281):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(71,280):{'3_1':0.09,'4_1':0.03,'8_21|3_1#4_1':0.0},(71,279):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(71,278):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(71,277):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(71,276):{'3_1':0.06,'4_1':0.03,'6_3':0.0,'5_2':0.0},(71,275):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(71,274):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(71,273):{'3_1':0.06,'4_1':0.0},(71,272):{'3_1':0.09,'4_1':0.0},(71,271):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(71,270):{'3_1':0.09},(71,269):{'3_1':0.09},(71,268):{'3_1':0.06,'4_1':0.0},(71,267):{'3_1':0.15,'8_21|3_1#4_1':0.0},(71,266):{'3_1':0.12},(71,265):{'3_1':0.15,'5_2':0.0},(71,264):{'3_1':0.03,'5_2':0.0},(71,263):{'3_1':0.09},(71,262):{'3_1':0.06},(71,261):{'3_1':0.09},(71,260):{'3_1':0.12,'8_21|3_1#4_1':0.0},(71,259):{'3_1':0.09,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(71,258):{'3_1':0.18},(71,257):{'3_1':0.15,'6_2':0.0,'6_3':0.0},(71,256):{'3_1':0.15,'4_1':0.0},(71,255):{'3_1':0.12},(71,254):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(71,253):{'3_1':0.06},(71,252):{'3_1':0.15,'5_2':0.0},(71,251):{'3_1':0.12},(71,250):{'3_1':0.09},(71,249):{'3_1':0.12,'4_1':0.0},(71,248):{'3_1':0.03},(71,247):{'3_1':0.09,'4_1':0.0},(71,246):{'3_1':0.09},(71,245):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(71,244):{'3_1':0.06},(71,243):{'3_1':0.15,'5_2':0.0},(71,242):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(71,241):{'3_1':0.09,'5_2':0.0},(71,240):{'3_1':0.06,'4_1':0.0},(71,239):{'3_1':0.03,'4_1':0.0},(71,238):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(71,237):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(71,236):{'3_1':0.09,'6_1':0.0},(71,235):{'3_1':0.03,'4_1':0.0},(71,234):{'3_1':0.03},(71,233):{'3_1':0.06,'4_1':0.0},(71,232):{'3_1':0.03,'4_1':0.0},(71,231):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(71,230):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(71,229):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(71,228):{'3_1':0.0,'4_1':0.0},(71,227):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(71,226):{'3_1':0.06,'4_1':0.0},(71,225):{'3_1':0.03,'4_1':0.0},(71,224):{'3_1':0.0,'4_1':0.0},(71,223):{'3_1':0.03,'4_1':0.0},(71,222):{'3_1':0.06,'4_1':0.0},(71,221):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(71,220):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(71,219):{'3_1':0.03,'4_1':0.0},(71,218):{'3_1':0.0,'4_1':0.0},(71,217):{'3_1':0.03,'4_1':0.0},(71,216):{'3_1':0.03},(71,215):{'3_1':0.0},(71,214):{'3_1':0.0,'4_1':0.0},(71,213):{'3_1':0.06},(71,212):{'3_1':0.06},(71,211):{'3_1':0.03},(71,210):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(71,209):{'3_1':0.0},(71,208):{'3_1':0.0,'4_1':0.0},(71,207):{'3_1':0.06,'4_1':0.0},(71,206):{'3_1':0.03,'5_1':0.0},(71,205):{'3_1':0.06},(71,204):{'3_1':0.09,'4_1':0.0},(71,203):{'3_1':0.06},(71,202):{'3_1':0.03},(71,201):{'3_1':0.0},(71,200):{'3_1':0.03},(71,199):{'3_1':0.03},(71,198):{'3_1':0.03,'5_2':0.0},(71,197):{'3_1':0.0,'4_1':0.0},(71,196):{'3_1':0.03},(71,195):{'3_1':0.03},(71,194):{'3_1':0.06},(71,193):{'3_1':0.0,'4_1':0.0},(71,192):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,191):{'3_1':0.0,'5_1':0.0},(71,190):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(71,189):{'3_1':0.03},(71,188):{'3_1':0.0},(71,187):{'3_1':0.03},(71,186):{'3_1':0.06},(71,185):{'3_1':0.03,'4_1':0.0},(71,184):{'3_1':0.0},(71,183):{'3_1':0.0},(71,182):{'3_1':0.06,'4_1':0.0},(71,181):{'3_1':0.03,'4_1':0.0},(71,180):{'3_1':0.06},(71,179):{'3_1':0.06,'4_1':0.0},(71,178):{'3_1':0.0,'4_1':0.0},(71,177):{'3_1':0.03},(71,176):{'3_1':0.0},(71,175):{'3_1':0.0},(71,173):{'3_1':0.03},(71,172):{'3_1':0.03,'4_1':0.0},(71,171):{'3_1':0.03},(71,170):{'3_1':0.03,'4_1':0.0},(71,169):{'3_1':0.0,'4_1':0.0},(71,168):{'3_1':0.0},(71,167):{'3_1':0.03,'4_1':0.0},(71,166):{'3_1':0.0},(71,165):{'3_1':0.03},(71,164):{'3_1':0.0,'4_1':0.0},(71,162):{'3_1':0.0},(71,161):{'3_1':0.0},(71,160):{'3_1':0.03},(71,159):{'3_1':0.0,'4_1':0.0},(71,158):{'3_1':0.0},(71,157):{'3_1':0.0,'4_1':0.0},(71,156):{'3_1':0.0},(71,155):{'3_1':0.0},(71,154):{'3_1':0.03},(71,153):{'3_1':0.0,'4_1':0.0},(71,152):{'3_1':0.0},(71,151):{'3_1':0.0,'5_2':0.0},(71,150):{'3_1':0.0},(71,149):{'3_1':0.0,'4_1':0.0},(71,148):{'3_1':0.0},(71,147):{'3_1':0.0},(71,146):{'3_1':0.03},(71,145):{'3_1':0.03,'5_2':0.0},(71,144):{'4_1':0.0},(71,143):{'3_1':0.0},(71,142):{'3_1':0.0},(71,141):{'3_1':0.0},(71,140):{'3_1':0.0},(71,139):{'3_1':0.0},(71,138):{'3_1':0.0},(71,136):{'3_1':0.0,'4_1':0.0},(71,135):{'3_1':0.0},(71,134):{'3_1':0.0},(71,132):{'3_1':0.0},(71,131):{'3_1':0.0},(71,124):{'3_1':0.0},(71,123):{'4_1':0.0},(71,122):{'3_1':0.0},(71,121):{'3_1':0.0},(71,120):{'3_1':0.0},(71,119):{'3_1':0.0},(71,117):{'3_1':0.0,'4_1':0.0},(71,115):{'3_1':0.0},(71,114):{'3_1':0.0,'4_1':0.0},(71,112):{'3_1':0.0},(71,110):{'3_1':0.0},(71,109):{'3_1':0.0},(71,108):{'3_1':0.0},(71,106):{'4_1':0.0,'3_1':0.0},(72,290):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(72,289):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(72,288):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(72,287):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(72,286):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(72,285):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(72,284):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(72,283):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(72,282):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(72,281):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'7_6':0.0},(72,280):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(72,279):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(72,278):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(72,277):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_3':0.0},(72,276):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(72,275):{'3_1':0.15,'5_2':0.0,'6_1':0.0,'6_3':0.0},(72,274):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(72,273):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(72,272):{'3_1':0.15,'4_1':0.0},(72,271):{'3_1':0.03},(72,270):{'3_1':0.12,'4_1':0.0},(72,269):{'3_1':0.09,'5_2':0.0},(72,268):{'3_1':0.09,'7_7':0.0},(72,267):{'3_1':0.09,'5_2':0.0},(72,266):{'3_1':0.15,'4_1':0.0},(72,265):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(72,264):{'3_1':0.09,'5_2':0.0},(72,263):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(72,262):{'3_1':0.12,'5_2':0.0},(72,261):{'3_1':0.15},(72,260):{'3_1':0.12},(72,259):{'3_1':0.12,'5_1':0.0},(72,258):{'3_1':0.15,'5_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'7_5':0.0},(72,257):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(72,256):{'3_1':0.09,'5_2':0.0},(72,255):{'3_1':0.12,'4_1':0.0},(72,254):{'3_1':0.09,'5_2':0.0},(72,253):{'3_1':0.09,'4_1':0.0},(72,252):{'3_1':0.15,'4_1':0.0},(72,251):{'3_1':0.06,'4_1':0.0},(72,250):{'3_1':0.12,'4_1':0.0},(72,249):{'3_1':0.09},(72,248):{'3_1':0.06},(72,247):{'3_1':0.18,'5_1':0.0},(72,246):{'3_1':0.15},(72,245):{'3_1':0.12,'4_1':0.0},(72,244):{'3_1':0.09,'5_1':0.0},(72,243):{'3_1':0.06,'4_1':0.0},(72,242):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(72,241):{'3_1':0.06},(72,240):{'3_1':0.06,'5_2':0.0},(72,239):{'3_1':0.03},(72,238):{'3_1':0.06,'4_1':0.0},(72,237):{'3_1':0.06,'4_1':0.0},(72,236):{'3_1':0.0},(72,235):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(72,234):{'3_1':0.0,'4_1':0.0},(72,233):{'3_1':0.06,'4_1':0.0},(72,232):{'3_1':0.0,'4_1':0.0},(72,231):{'3_1':0.03,'4_1':0.0},(72,230):{'3_1':0.03,'5_2':0.0},(72,229):{'3_1':0.03,'4_1':0.0,'8_6':0.0},(72,228):{'3_1':0.03,'4_1':0.0},(72,227):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(72,226):{'3_1':0.06,'4_1':0.03},(72,225):{'3_1':0.03,'4_1':0.0},(72,224):{'3_1':0.09,'4_1':0.0},(72,223):{'3_1':0.03,'4_1':0.0},(72,222):{'3_1':0.03,'4_1':0.0},(72,221):{'3_1':0.03,'4_1':0.0},(72,220):{'3_1':0.03,'4_1':0.0},(72,219):{'3_1':0.0,'4_1':0.0},(72,218):{'3_1':0.03,'4_1':0.0},(72,217):{'3_1':0.03},(72,216):{'3_1':0.03,'6_3':0.0},(72,215):{'4_1':0.0},(72,214):{'3_1':0.0},(72,213):{'3_1':0.06},(72,212):{'3_1':0.0},(72,211):{'3_1':0.0},(72,210):{'3_1':0.03},(72,209):{'3_1':0.0},(72,208):{'3_1':0.03},(72,207):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(72,206):{'3_1':0.0},(72,205):{'3_1':0.06},(72,204):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(72,203):{'3_1':0.03,'4_1':0.0},(72,202):{'3_1':0.03,'4_1':0.0},(72,201):{'3_1':0.03},(72,200):{'3_1':0.03},(72,199):{'3_1':0.0},(72,198):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(72,197):{'3_1':0.06},(72,196):{'3_1':0.0,'4_1':0.0},(72,195):{'3_1':0.0},(72,194):{'3_1':0.0},(72,193):{'4_1':0.0},(72,192):{'3_1':0.0},(72,191):{'3_1':0.0},(72,190):{'3_1':0.03,'5_2':0.0},(72,189):{'3_1':0.03,'5_2':0.0},(72,188):{'3_1':0.03},(72,187):{'3_1':0.0,'4_1':0.0},(72,186):{'3_1':0.03,'5_2':0.0},(72,185):{'3_1':0.03},(72,184):{'3_1':0.0,'4_1':0.0},(72,183):{'3_1':0.06},(72,182):{'3_1':0.06},(72,181):{'3_1':0.03},(72,180):{'3_1':0.03,'4_1':0.0},(72,179):{'3_1':0.03},(72,178):{'3_1':0.06},(72,177):{'3_1':0.03},(72,176):{'3_1':0.03},(72,175):{'3_1':0.03},(72,174):{'3_1':0.0},(72,173):{'3_1':0.0},(72,172):{'3_1':0.0},(72,171):{'3_1':0.06,'4_1':0.0},(72,170):{'3_1':0.0},(72,169):{'3_1':0.0},(72,168):{'3_1':0.0},(72,167):{'4_1':0.0,'6_3':0.0},(72,166):{'3_1':0.0},(72,165):{'3_1':0.0},(72,164):{'4_1':0.0},(72,163):{'3_1':0.0},(72,162):{'3_1':0.03,'4_1':0.0},(72,161):{'3_1':0.0,'6_3':0.0},(72,160):{'3_1':0.03,'4_1':0.0},(72,159):{'3_1':0.0},(72,158):{'3_1':0.03,'6_3':0.0},(72,157):{'3_1':0.0},(72,156):{'3_1':0.0},(72,155):{'3_1':0.0},(72,154):{'3_1':0.03},(72,152):{'3_1':0.0},(72,151):{'3_1':0.03,'4_1':0.0},(72,150):{'3_1':0.0},(72,148):{'3_1':0.0,'4_1':0.0},(72,147):{'3_1':0.0,'4_1':0.0},(72,146):{'3_1':0.0,'4_1':0.0},(72,145):{'3_1':0.0},(72,144):{'3_1':0.0},(72,143):{'3_1':0.0},(72,142):{'3_1':0.0},(72,141):{'3_1':0.0,'4_1':0.0},(72,138):{'3_1':0.0},(72,136):{'3_1':0.0},(72,134):{'3_1':0.0},(72,133):{'3_1':0.0},(72,132):{'3_1':0.0,'4_1':0.0},(72,131):{'3_1':0.0},(72,124):{'3_1':0.0,'4_1':0.0},(72,122):{'3_1':0.0},(72,120):{'3_1':0.0},(72,118):{'3_1':0.0},(72,117):{'3_1':0.0},(72,116):{'3_1':0.0},(72,114):{'3_1':0.0,'4_1':0.0},(72,112):{'3_1':0.0},(72,110):{'3_1':0.0},(72,109):{'3_1':0.0},(72,105):{'3_1':0.0,'4_1':0.0},(72,104):{'3_1':0.0},(72,102):{'3_1':0.0},(72,101):{'4_1':0.0},(72,94):{'3_1':0.0},(72,93):{'3_1':0.0},(72,91):{'3_1':0.0},(72,90):{'3_1':0.0},(73,290):{'3_1':0.09,'4_1':0.0},(73,289):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(73,288):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(73,287):{'3_1':0.06,'4_1':0.03},(73,286):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(73,285):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(73,284):{'3_1':0.09,'4_1':0.03},(73,283):{'3_1':0.12,'4_1':0.03},(73,282):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(73,281):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(73,280):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(73,279):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_7':0.0},(73,278):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0},(73,277):{'3_1':0.09,'4_1':0.0},(73,276):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(73,275):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(73,274):{'3_1':0.15,'4_1':0.06,'8_21|3_1#4_1':0.0},(73,273):{'3_1':0.09},(73,272):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'-3':0.0},(73,271):{'3_1':0.09,'5_2':0.0},(73,270):{'3_1':0.03,'4_1':0.0},(73,269):{'3_1':0.06},(73,268):{'3_1':0.09,'4_1':0.0},(73,267):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(73,266):{'3_1':0.12,'4_1':0.0},(73,265):{'3_1':0.12,'5_1':0.0},(73,264):{'3_1':0.09},(73,263):{'3_1':0.0,'6_2':0.0},(73,262):{'3_1':0.06,'4_1':0.0},(73,261):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(73,260):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(73,259):{'3_1':0.12},(73,258):{'3_1':0.09,'5_2':0.0},(73,257):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(73,256):{'3_1':0.15,'4_1':0.0},(73,255):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(73,254):{'3_1':0.15,'4_1':0.0},(73,253):{'3_1':0.09,'4_1':0.0},(73,252):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(73,251):{'3_1':0.09,'5_2':0.0},(73,250):{'3_1':0.06},(73,249):{'3_1':0.09},(73,248):{'3_1':0.06,'4_1':0.0},(73,247):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(73,246):{'3_1':0.09},(73,245):{'3_1':0.06,'6_3':0.0},(73,244):{'3_1':0.03},(73,243):{'3_1':0.09,'5_1':0.0},(73,242):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(73,241):{'3_1':0.09,'4_1':0.0},(73,240):{'3_1':0.03},(73,239):{'3_1':0.03,'4_1':0.0},(73,238):{'3_1':0.06,'4_1':0.0},(73,237):{'3_1':0.0,'4_1':0.0},(73,236):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(73,235):{'3_1':0.03},(73,234):{'3_1':0.03,'4_1':0.0},(73,233):{'3_1':0.06,'5_1':0.0},(73,232):{'3_1':0.03,'4_1':0.0},(73,231):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(73,230):{'3_1':0.09,'4_1':0.0},(73,229):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(73,228):{'3_1':0.09,'5_2':0.0},(73,227):{'3_1':0.09},(73,226):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(73,225):{'3_1':0.06,'4_1':0.0},(73,224):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(73,223):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(73,222):{'3_1':0.09,'4_1':0.0},(73,221):{'3_1':0.03,'4_1':0.0},(73,220):{'3_1':0.03},(73,219):{'3_1':0.06},(73,218):{'3_1':0.03,'4_1':0.0},(73,217):{'3_1':0.03},(73,216):{'3_1':0.03,'4_1':0.0},(73,215):{'3_1':0.06,'5_1':0.0},(73,214):{'3_1':0.03,'4_1':0.0},(73,213):{'3_1':0.03},(73,212):{'3_1':0.03,'4_1':0.0},(73,211):{'3_1':0.03,'4_1':0.0},(73,210):{'3_1':0.03},(73,209):{'3_1':0.0},(73,208):{'3_1':0.03},(73,207):{'3_1':0.06},(73,206):{'3_1':0.03,'4_1':0.0},(73,205):{'3_1':0.0,'4_1':0.0},(73,204):{'3_1':0.0},(73,203):{'3_1':0.06},(73,202):{'3_1':0.06,'4_1':0.0},(73,201):{'3_1':0.03,'5_1':0.0},(73,200):{'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(73,199):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(73,198):{'3_1':0.09,'5_2':0.0},(73,197):{'3_1':0.0},(73,196):{'3_1':0.03,'4_1':0.0},(73,195):{'3_1':0.03},(73,194):{'3_1':0.0},(73,193):{'3_1':0.0},(73,192):{'3_1':0.03},(73,191):{'3_1':0.03,'5_1':0.0},(73,190):{'3_1':0.03},(73,189):{'3_1':0.03},(73,188):{'3_1':0.06},(73,187):{'3_1':0.03,'4_1':0.0},(73,186):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(73,185):{'3_1':0.03,'5_1':0.0},(73,184):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(73,183):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(73,182):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(73,181):{'3_1':0.03,'4_1':0.0},(73,180):{'3_1':0.0},(73,179):{'3_1':0.06},(73,178):{'3_1':0.06},(73,177):{'3_1':0.03},(73,176):{'3_1':0.0},(73,175):{'3_1':0.0,'5_1':0.0},(73,174):{'3_1':0.0},(73,173):{'3_1':0.0,'4_1':0.0},(73,172):{'3_1':0.03},(73,171):{'3_1':0.03},(73,170):{'3_1':0.0,'5_2':0.0},(73,169):{'3_1':0.0},(73,168):{'3_1':0.0,'4_1':0.0},(73,167):{'3_1':0.0,'4_1':0.0},(73,166):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(73,165):{'3_1':0.0},(73,164):{'3_1':0.06},(73,163):{'3_1':0.0},(73,161):{'3_1':0.0},(73,160):{'3_1':0.0},(73,159):{'3_1':0.0},(73,158):{'3_1':0.03},(73,157):{'3_1':0.0,'4_1':0.0},(73,156):{'3_1':0.0,'4_1':0.0},(73,155):{'3_1':0.0,'4_1':0.0},(73,154):{'3_1':0.0},(73,153):{'3_1':0.03,'4_1':0.0},(73,152):{'3_1':0.0},(73,151):{'3_1':0.03},(73,150):{'3_1':0.0},(73,149):{'3_1':0.0,'4_1':0.0},(73,148):{'4_1':0.0},(73,147):{'3_1':0.03},(73,145):{'3_1':0.0},(73,144):{'3_1':0.0,'5_2':0.0},(73,143):{'3_1':0.0},(73,142):{'3_1':0.0},(73,141):{'3_1':0.0},(73,140):{'3_1':0.0},(73,139):{'3_1':0.0},(73,138):{'3_1':0.0},(73,137):{'3_1':0.0},(73,136):{'3_1':0.0},(73,134):{'3_1':0.0},(73,133):{'3_1':0.0},(73,132):{'3_1':0.0},(73,122):{'3_1':0.0},(73,120):{'3_1':0.0},(73,119):{'3_1':0.0,'4_1':0.0},(73,118):{'3_1':0.0,'4_1':0.0},(73,117):{'3_1':0.0},(73,116):{'3_1':0.0,'4_1':0.0},(73,115):{'3_1':0.0,'4_1':0.0},(73,113):{'3_1':0.0},(73,111):{'3_1':0.0,'4_1':0.0},(73,110):{'3_1':0.0},(73,109):{'3_1':0.0,'4_1':0.0},(73,108):{'3_1':0.0},(73,107):{'3_1':0.0},(73,102):{'3_1':0.0},(73,101):{'3_1':0.0},(73,94):{'3_1':0.0},(73,93):{'3_1':0.0},(74,290):{'3_1':0.09,'4_1':0.0},(74,289):{'3_1':0.09,'4_1':0.0},(74,288):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(74,287):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(74,286):{'3_1':0.03,'4_1':0.0},(74,285):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(74,284):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(74,283):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(74,282):{'3_1':0.12,'4_1':0.03},(74,281):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(74,280):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(74,279):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(74,278):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(74,277):{'3_1':0.12,'4_1':0.0},(74,276):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(74,275):{'3_1':0.09,'4_1':0.0},(74,274):{'3_1':0.15,'4_1':0.06,'6_2':0.0},(74,273):{'3_1':0.12},(74,272):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(74,271):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(74,270):{'3_1':0.18},(74,269):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(74,268):{'3_1':0.03},(74,267):{'3_1':0.06,'8_20|3_1#3_1':0.0},(74,266):{'3_1':0.12,'5_2':0.0},(74,265):{'3_1':0.09,'5_2':0.0},(74,264):{'3_1':0.12},(74,263):{'3_1':0.09,'5_2':0.0},(74,262):{'3_1':0.06,'4_1':0.0},(74,261):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(74,260):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(74,259):{'3_1':0.09},(74,258):{'3_1':0.06,'8_20|3_1#3_1':0.0},(74,257):{'3_1':0.15,'4_1':0.0,'8_21|3_1#4_1':0.0},(74,256):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(74,255):{'3_1':0.12,'5_1':0.0},(74,254):{'3_1':0.09,'5_2':0.0},(74,253):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(74,252):{'3_1':0.06,'5_2':0.0},(74,251):{'3_1':0.03,'4_1':0.0},(74,250):{'3_1':0.09},(74,249):{'3_1':0.15},(74,248):{'3_1':0.06,'5_2':0.0},(74,247):{'3_1':0.06},(74,246):{'3_1':0.12,'5_2':0.0,'6_2':0.0,'8_19':0.0},(74,245):{'3_1':0.12},(74,244):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(74,243):{'3_1':0.15,'5_2':0.0},(74,242):{'3_1':0.06,'5_1':0.0},(74,241):{'3_1':0.06,'5_2':0.0},(74,240):{'3_1':0.06},(74,239):{'3_1':0.06,'4_1':0.0},(74,238):{'3_1':0.06},(74,237):{'3_1':0.06,'4_1':0.0},(74,236):{'3_1':0.06,'4_1':0.0},(74,235):{'3_1':0.03},(74,234):{'3_1':0.03,'5_2':0.0},(74,233):{'3_1':0.06,'4_1':0.0},(74,232):{'3_1':0.03,'4_1':0.0},(74,231):{'3_1':0.03,'4_1':0.0},(74,230):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0},(74,229):{'3_1':0.06,'4_1':0.0},(74,228):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(74,227):{'3_1':0.03,'6_2':0.0},(74,226):{'3_1':0.03,'4_1':0.0},(74,225):{'3_1':0.06,'4_1':0.0},(74,224):{'3_1':0.03},(74,223):{'3_1':0.09,'4_1':0.0},(74,222):{'3_1':0.06,'4_1':0.0},(74,221):{'3_1':0.03},(74,220):{'3_1':0.03},(74,219):{'3_1':0.06,'5_2':0.0},(74,218):{'3_1':0.09},(74,217):{'3_1':0.09,'4_1':0.0},(74,216):{'3_1':0.03,'4_1':0.0},(74,215):{'3_1':0.03},(74,214):{'3_1':0.0},(74,213):{'3_1':0.03},(74,212):{'3_1':0.0,'5_2':0.0},(74,211):{'3_1':0.03},(74,210):{'3_1':0.0,'4_1':0.0},(74,209):{'3_1':0.03,'6_3':0.0},(74,208):{'3_1':0.03},(74,207):{'3_1':0.06},(74,206):{'3_1':0.0},(74,205):{'3_1':0.03,'4_1':0.0},(74,204):{'3_1':0.03,'4_1':0.0},(74,203):{'3_1':0.09,'4_1':0.0},(74,202):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(74,201):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,200):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(74,199):{'3_1':0.0,'5_1':0.0},(74,198):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(74,197):{'3_1':0.03,'5_1':0.0},(74,196):{'3_1':0.03,'5_2':0.0},(74,195):{'3_1':0.0,'4_1':0.0},(74,194):{'3_1':0.0,'4_1':0.0},(74,193):{'3_1':0.0,'4_1':0.0},(74,192):{'3_1':0.03},(74,191):{'3_1':0.03,'5_1':0.0},(74,190):{'3_1':0.06,'8_19':0.0},(74,189):{'3_1':0.03,'5_1':0.0},(74,188):{'3_1':0.03,'4_1':0.0},(74,187):{'3_1':0.03,'5_1':0.0},(74,186):{'3_1':0.03,'5_1':0.0},(74,185):{'3_1':0.0},(74,184):{'3_1':0.03},(74,183):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(74,182):{'3_1':0.03,'5_1':0.0},(74,181):{'3_1':0.06,'4_1':0.0},(74,180):{'3_1':0.03},(74,179):{'3_1':0.06},(74,178):{'3_1':0.06},(74,177):{'3_1':0.06},(74,176):{'3_1':0.03},(74,175):{'3_1':0.0,'4_1':0.0},(74,174):{'3_1':0.0,'5_1':0.0},(74,173):{'3_1':0.03},(74,172):{'3_1':0.03},(74,171):{'3_1':0.03},(74,170):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,169):{'5_2':0.0},(74,168):{'3_1':0.0},(74,167):{'3_1':0.03,'4_1':0.0},(74,166):{'3_1':0.0,'4_1':0.0},(74,165):{'3_1':0.03,'4_1':0.0},(74,164):{'3_1':0.03,'4_1':0.0},(74,163):{'3_1':0.0},(74,162):{'3_1':0.0,'4_1':0.0},(74,161):{'3_1':0.03,'5_2':0.0},(74,160):{'3_1':0.0},(74,159):{'3_1':0.0},(74,158):{'3_1':0.0},(74,157):{'3_1':0.0},(74,156):{'3_1':0.03},(74,155):{'4_1':0.0,'3_1':0.0},(74,154):{'3_1':0.03,'4_1':0.0},(74,153):{'3_1':0.0},(74,152):{'3_1':0.03,'4_1':0.0},(74,151):{'3_1':0.0},(74,150):{'3_1':0.0,'4_1':0.0},(74,148):{'3_1':0.03},(74,147):{'3_1':0.0,'4_1':0.0},(74,146):{'3_1':0.0},(74,145):{'3_1':0.0},(74,144):{'3_1':0.0},(74,143):{'3_1':0.03},(74,142):{'3_1':0.03},(74,141):{'3_1':0.0},(74,139):{'3_1':0.0},(74,138):{'3_1':0.0},(74,136):{'3_1':0.0},(74,135):{'3_1':0.0},(74,134):{'3_1':0.0},(74,132):{'3_1':0.0},(74,131):{'3_1':0.0},(74,124):{'3_1':0.0},(74,123):{'3_1':0.0},(74,122):{'3_1':0.0},(74,121):{'3_1':0.0},(74,119):{'3_1':0.0},(74,118):{'3_1':0.0},(74,117):{'3_1':0.0},(74,116):{'3_1':0.0},(74,114):{'3_1':0.0},(74,113):{'3_1':0.0},(74,112):{'3_1':0.0},(74,103):{'3_1':0.0},(74,102):{'3_1':0.0},(74,101):{'3_1':0.0},(74,94):{'3_1':0.0},(74,93):{'3_1':0.0},(74,92):{'3_1':0.0},(75,290):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(75,289):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(75,288):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(75,287):{'3_1':0.09,'4_1':0.0},(75,286):{'3_1':0.06,'4_1':0.03,'8_21|3_1#4_1':0.0},(75,285):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(75,284):{'4_1':0.06,'3_1':0.03},(75,283):{'3_1':0.09,'4_1':0.03},(75,282):{'3_1':0.03,'4_1':0.03},(75,281):{'3_1':0.06,'4_1':0.03},(75,280):{'3_1':0.09,'4_1':0.06,'7_6':0.0,'5_2':0.0,'6_1':0.0},(75,279):{'4_1':0.06,'3_1':0.03},(75,278):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(75,277):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(75,276):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(75,275):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(75,274):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(75,273):{'3_1':0.06,'4_1':0.0},(75,272):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(75,271):{'3_1':0.03},(75,270):{'3_1':0.09,'5_2':0.0},(75,269):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(75,268):{'3_1':0.06,'4_1':0.0},(75,267):{'3_1':0.09},(75,266):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(75,265):{'3_1':0.06},(75,264):{'3_1':0.03,'4_1':0.0},(75,263):{'3_1':0.09},(75,262):{'3_1':0.03,'4_1':0.0},(75,261):{'3_1':0.09,'4_1':0.0},(75,260):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(75,259):{'3_1':0.09,'4_1':0.0},(75,258):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(75,257):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(75,256):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(75,255):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_3':0.0},(75,254):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0},(75,253):{'3_1':0.12,'4_1':0.0},(75,252):{'3_1':0.06,'4_1':0.0},(75,251):{'3_1':0.06},(75,250):{'3_1':0.03,'7_6':0.0},(75,249):{'3_1':0.06,'4_1':0.0},(75,248):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(75,247):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(75,246):{'3_1':0.09,'5_2':0.0},(75,245):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(75,244):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(75,243):{'3_1':0.06,'4_1':0.0},(75,242):{'3_1':0.06,'4_1':0.0},(75,241):{'3_1':0.06},(75,240):{'3_1':0.06,'4_1':0.0},(75,239):{'3_1':0.09},(75,238):{'3_1':0.06,'5_2':0.0},(75,237):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(75,236):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(75,235):{'3_1':0.06,'4_1':0.0},(75,234):{'3_1':0.09,'4_1':0.0},(75,233):{'3_1':0.09},(75,232):{'3_1':0.03,'5_1':0.0},(75,231):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(75,230):{'3_1':0.03},(75,229):{'3_1':0.09,'4_1':0.0},(75,228):{'3_1':0.03},(75,227):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(75,226):{'3_1':0.15,'4_1':0.0},(75,225):{'3_1':0.03},(75,224):{'3_1':0.03,'6_2':0.0},(75,223):{'3_1':0.09,'4_1':0.0},(75,222):{'3_1':0.06},(75,221):{'3_1':0.06,'4_1':0.0},(75,220):{'3_1':0.06},(75,219):{'3_1':0.06,'4_1':0.0},(75,218):{'3_1':0.03,'4_1':0.0},(75,217):{'3_1':0.03},(75,216):{'3_1':0.0,'4_1':0.0},(75,215):{'3_1':0.0},(75,214):{'3_1':0.03},(75,213):{'3_1':0.09},(75,212):{'3_1':0.03},(75,211):{'3_1':0.03},(75,210):{'3_1':0.0},(75,209):{'3_1':0.06,'4_1':0.0},(75,208):{'3_1':0.03},(75,207):{'3_1':0.0},(75,206):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(75,205):{'3_1':0.03,'5_2':0.0},(75,204):{'3_1':0.03,'4_1':0.0},(75,203):{'3_1':0.0,'4_1':0.0},(75,202):{'3_1':0.03},(75,201):{'3_1':0.03},(75,200):{'3_1':0.06,'4_1':0.0},(75,199):{'3_1':0.0,'6_2':0.0},(75,198):{'3_1':0.03,'4_1':0.0},(75,197):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(75,196):{'3_1':0.0},(75,195):{'3_1':0.0},(75,194):{'3_1':0.0},(75,193):{'3_1':0.0,'4_1':0.0},(75,192):{'3_1':0.0,'4_1':0.0},(75,191):{'3_1':0.0},(75,190):{'3_1':0.06,'5_2':0.0},(75,189):{'3_1':0.03,'5_1':0.0},(75,188):{'3_1':0.06},(75,187):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(75,186):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(75,185):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(75,184):{'3_1':0.06},(75,183):{'3_1':0.03},(75,182):{'3_1':0.06,'5_1':0.0},(75,181):{'3_1':0.0},(75,180):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(75,179):{'3_1':0.0,'4_1':0.0},(75,178):{'3_1':0.03},(75,177):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(75,176):{'3_1':0.03},(75,175):{'3_1':0.0},(75,174):{'3_1':0.03},(75,173):{'3_1':0.0},(75,172):{'3_1':0.03,'5_1':0.0},(75,171):{'3_1':0.0},(75,170):{'3_1':0.0},(75,169):{'4_1':0.0},(75,167):{'4_1':0.0},(75,166):{'3_1':0.0},(75,165):{'3_1':0.0},(75,164):{'3_1':0.0},(75,163):{'3_1':0.0,'4_1':0.0},(75,161):{'3_1':0.0},(75,160):{'3_1':0.0},(75,159):{'3_1':0.0},(75,158):{'3_1':0.0,'4_1':0.0},(75,157):{'3_1':0.0,'4_1':0.0},(75,156):{'3_1':0.0,'5_2':0.0},(75,155):{'3_1':0.0,'4_1':0.0},(75,154):{'3_1':0.0,'5_2':0.0},(75,153):{'3_1':0.0},(75,152):{'3_1':0.0,'5_2':0.0},(75,151):{'3_1':0.0},(75,150):{'3_1':0.0},(75,149):{'4_1':0.0,'3_1':0.0},(75,148):{'3_1':0.0},(75,147):{'3_1':0.0},(75,146):{'3_1':0.0},(75,145):{'3_1':0.0},(75,144):{'3_1':0.0},(75,143):{'3_1':0.0},(75,142):{'3_1':0.0,'4_1':0.0},(75,141):{'3_1':0.0},(75,140):{'3_1':0.0},(75,139):{'3_1':0.0},(75,138):{'3_1':0.0,'4_1':0.0},(75,136):{'3_1':0.0},(75,135):{'3_1':0.0},(75,134):{'3_1':0.0},(75,133):{'3_1':0.0},(75,131):{'3_1':0.03},(75,124):{'3_1':0.0},(75,123):{'3_1':0.0},(75,122):{'3_1':0.0},(75,120):{'3_1':0.0},(75,119):{'3_1':0.0},(75,118):{'3_1':0.0},(75,117):{'3_1':0.0},(75,116):{'4_1':0.0},(75,115):{'3_1':0.0},(75,114):{'3_1':0.0},(75,113):{'4_1':0.0},(75,112):{'4_1':0.0},(75,111):{'4_1':0.0},(75,109):{'3_1':0.0},(75,107):{'3_1':0.0},(75,106):{'3_1':0.0},(75,105):{'3_1':0.0},(75,103):{'3_1':0.0},(75,102):{'3_1':0.0},(75,94):{'3_1':0.0},(75,93):{'3_1':0.0},(75,92):{'3_1':0.0},(76,290):{'3_1':0.06,'4_1':0.03},(76,289):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(76,288):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(76,287):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(76,286):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(76,285):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'8_20|3_1#3_1':0.0},(76,284):{'3_1':0.03,'4_1':0.0},(76,283):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(76,282):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(76,281):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'8_17':0.0},(76,280):{'4_1':0.09,'3_1':0.03,'6_1':0.0},(76,279):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'-3':0.0},(76,278):{'3_1':0.06,'4_1':0.03,'7_7':0.0,'5_2':0.0,'8_14':0.0},(76,277):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_7':0.0},(76,276):{'3_1':0.06,'4_1':0.03,'7_6':0.0},(76,275):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(76,274):{'3_1':0.06,'4_1':0.03},(76,273):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(76,272):{'3_1':0.06,'4_1':0.03},(76,271):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(76,270):{'3_1':0.03,'4_1':0.0},(76,269):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(76,268):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(76,267):{'3_1':0.06,'4_1':0.0},(76,266):{'3_1':0.06,'4_1':0.03},(76,265):{'3_1':0.03},(76,264):{'3_1':0.03,'4_1':0.0},(76,263):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(76,262):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(76,261):{'3_1':0.15,'4_1':0.0},(76,260):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(76,259):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(76,258):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(76,257):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(76,256):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(76,255):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(76,254):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(76,253):{'3_1':0.06},(76,252):{'3_1':0.06,'4_1':0.0},(76,251):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(76,250):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(76,249):{'3_1':0.03},(76,248):{'3_1':0.06,'4_1':0.0},(76,247):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(76,246):{'3_1':0.09,'4_1':0.0},(76,245):{'3_1':0.09,'4_1':0.0},(76,244):{'3_1':0.03},(76,243):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(76,242):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(76,241):{'3_1':0.03,'6_1':0.0},(76,240):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(76,239):{'3_1':0.0},(76,238):{'3_1':0.0,'4_1':0.0},(76,237):{'3_1':0.03},(76,236):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(76,235):{'3_1':0.03,'4_1':0.0},(76,234):{'3_1':0.0,'4_1':0.0},(76,233):{'3_1':0.06},(76,232):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(76,231):{'3_1':0.09,'4_1':0.0},(76,230):{'3_1':0.03},(76,229):{'3_1':0.09,'4_1':0.0},(76,228):{'3_1':0.06,'4_1':0.0},(76,227):{'3_1':0.06,'5_2':0.0},(76,226):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(76,225):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(76,224):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(76,223):{'3_1':0.06,'4_1':0.0},(76,222):{'3_1':0.03,'4_1':0.0},(76,221):{'3_1':0.03},(76,220):{'3_1':0.06},(76,219):{'3_1':0.03},(76,218):{'3_1':0.0,'4_1':0.0},(76,217):{'3_1':0.0,'4_1':0.0},(76,216):{'3_1':0.03,'4_1':0.0},(76,215):{'3_1':0.0,'4_1':0.0},(76,214):{'3_1':0.0,'4_1':0.0},(76,213):{'3_1':0.03,'4_1':0.0},(76,212):{'3_1':0.0},(76,211):{'4_1':0.0,'3_1':0.0},(76,210):{'3_1':0.03,'4_1':0.0},(76,209):{'3_1':0.03},(76,208):{'3_1':0.06},(76,207):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(76,206):{'3_1':0.03},(76,205):{'3_1':0.0},(76,204):{'3_1':0.06},(76,203):{'3_1':0.06,'4_1':0.0},(76,202):{'3_1':0.03},(76,201):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(76,200):{'3_1':0.03,'5_1':0.0},(76,199):{'3_1':0.03},(76,198):{'3_1':0.0},(76,197):{'3_1':0.0},(76,196):{'3_1':0.0},(76,195):{'3_1':0.06,'4_1':0.0},(76,194):{'3_1':0.03,'4_1':0.0},(76,193):{'3_1':0.03,'4_1':0.0},(76,192):{'3_1':0.0},(76,191):{'3_1':0.03},(76,190):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(76,189):{'3_1':0.03},(76,188):{'3_1':0.03,'5_1':0.0},(76,187):{'3_1':0.03},(76,186):{'3_1':0.09,'5_1':0.0},(76,185):{'3_1':0.03,'4_1':0.0},(76,184):{'3_1':0.06},(76,183):{'3_1':0.06,'5_1':0.0},(76,182):{'3_1':0.09,'5_1':0.0},(76,181):{'3_1':0.06},(76,180):{'3_1':0.0},(76,179):{'3_1':0.0},(76,178):{'3_1':0.03},(76,177):{'3_1':0.0,'4_1':0.0},(76,176):{'3_1':0.03},(76,175):{'3_1':0.03,'5_1':0.0},(76,174):{'3_1':0.03},(76,173):{'3_1':0.06,'4_1':0.0},(76,172):{'3_1':0.0},(76,170):{'3_1':0.0},(76,169):{'3_1':0.0},(76,168):{'3_1':0.0},(76,167):{'3_1':0.03,'4_1':0.0},(76,165):{'3_1':0.0},(76,164):{'3_1':0.0},(76,163):{'3_1':0.0,'4_1':0.0},(76,162):{'3_1':0.03,'4_1':0.0},(76,161):{'3_1':0.0},(76,160):{'3_1':0.0,'4_1':0.0},(76,159):{'3_1':0.0},(76,158):{'4_1':0.0},(76,156):{'3_1':0.0},(76,155):{'3_1':0.0},(76,154):{'3_1':0.0},(76,153):{'3_1':0.0,'4_1':0.0},(76,152):{'3_1':0.0},(76,151):{'4_1':0.0,'3_1':0.0},(76,150):{'3_1':0.0},(76,149):{'4_1':0.0},(76,148):{'3_1':0.0,'4_1':0.0},(76,147):{'3_1':0.0},(76,146):{'3_1':0.03},(76,145):{'3_1':0.0,'4_1':0.0},(76,143):{'3_1':0.0},(76,142):{'3_1':0.0},(76,141):{'3_1':0.0},(76,140):{'3_1':0.0},(76,138):{'3_1':0.0},(76,137):{'3_1':0.0},(76,124):{'3_1':0.0},(76,123):{'3_1':0.0},(76,122):{'3_1':0.0},(76,121):{'3_1':0.0},(76,120):{'3_1':0.0},(76,119):{'3_1':0.0},(76,118):{'3_1':0.0},(76,117):{'3_1':0.0},(76,115):{'3_1':0.0},(76,114):{'3_1':0.0},(76,112):{'3_1':0.0,'4_1':0.0},(76,106):{'3_1':0.0},(76,105):{'3_1':0.0},(76,103):{'3_1':0.0},(76,94):{'3_1':0.0},(76,92):{'3_1':0.0},(76,90):{'3_1':0.0},(77,290):{'3_1':0.12,'4_1':0.0},(77,289):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_14':0.0,'-3':0.0},(77,288):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_3':0.0},(77,287):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(77,286):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(77,285):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(77,284):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_3':0.0},(77,283):{'3_1':0.09,'4_1':0.0,'8_6':0.0},(77,282):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(77,281):{'3_1':0.15,'4_1':0.0},(77,280):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(77,279):{'3_1':0.15,'5_2':0.0},(77,278):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,277):{'3_1':0.18,'4_1':0.03,'6_1':0.0},(77,276):{'3_1':0.12,'5_2':0.0},(77,275):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(77,274):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(77,273):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(77,272):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(77,271):{'3_1':0.06},(77,270):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(77,269):{'3_1':0.06},(77,268):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,267):{'3_1':0.06,'4_1':0.0},(77,266):{'3_1':0.09},(77,265):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(77,264):{'3_1':0.12,'5_2':0.0},(77,263):{'3_1':0.12,'5_2':0.0},(77,262):{'3_1':0.03,'4_1':0.0},(77,261):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(77,260):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(77,259):{'3_1':0.15,'4_1':0.0,'3_1#5_1':0.0},(77,258):{'3_1':0.15,'8_20|3_1#3_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(77,257):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(77,256):{'3_1':0.09},(77,255):{'3_1':0.15,'4_1':0.0},(77,254):{'3_1':0.12,'4_1':0.03},(77,253):{'3_1':0.15},(77,252):{'3_1':0.09,'5_2':0.0},(77,251):{'3_1':0.06,'4_1':0.0},(77,250):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(77,249):{'3_1':0.06,'5_2':0.0},(77,248):{'3_1':0.09,'4_1':0.0},(77,247):{'3_1':0.06,'4_1':0.0},(77,246):{'3_1':0.06,'4_1':0.0},(77,245):{'3_1':0.12,'5_2':0.0},(77,244):{'3_1':0.06},(77,243):{'3_1':0.12},(77,242):{'3_1':0.06,'5_2':0.0},(77,241):{'3_1':0.03},(77,240):{'3_1':0.03},(77,239):{'3_1':0.03,'4_1':0.0},(77,238):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(77,237):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(77,236):{'3_1':0.03},(77,235):{'3_1':0.06},(77,234):{'3_1':0.12,'4_1':0.0},(77,233):{'3_1':0.03},(77,232):{'3_1':0.0,'4_1':0.0},(77,231):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(77,230):{'3_1':0.03},(77,229):{'3_1':0.09,'4_1':0.0},(77,228):{'3_1':0.0,'4_1':0.0},(77,227):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(77,226):{'3_1':0.06,'4_1':0.0},(77,225):{'4_1':0.0,'3_1':0.0},(77,224):{'3_1':0.09},(77,223):{'3_1':0.03,'4_1':0.0},(77,222):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(77,221):{'3_1':0.06,'4_1':0.0},(77,220):{'3_1':0.03,'4_1':0.0},(77,219):{'3_1':0.03},(77,218):{'3_1':0.06},(77,217):{'3_1':0.03,'6_2':0.0},(77,216):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(77,215):{'3_1':0.0},(77,214):{'3_1':0.0},(77,213):{'3_1':0.0,'4_1':0.0},(77,211):{'3_1':0.0,'4_1':0.0},(77,210):{'3_1':0.0,'4_1':0.0},(77,209):{'3_1':0.06},(77,208):{'3_1':0.03},(77,207):{'3_1':0.06},(77,206):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(77,205):{'3_1':0.0},(77,204):{'3_1':0.03,'5_2':0.0},(77,203):{'3_1':0.06},(77,202):{'3_1':0.06},(77,201):{'3_1':0.03},(77,200):{'3_1':0.03},(77,199):{'3_1':0.0},(77,198):{'3_1':0.06,'5_1':0.0},(77,197):{'3_1':0.06},(77,196):{'3_1':0.0},(77,195):{'3_1':0.0},(77,194):{'3_1':0.03},(77,193):{'3_1':0.0,'4_1':0.0},(77,192):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(77,191):{'3_1':0.0},(77,190):{'3_1':0.03,'5_2':0.0},(77,189):{'3_1':0.06,'5_1':0.0},(77,188):{'3_1':0.0,'5_2':0.0},(77,187):{'3_1':0.03,'5_1':0.0},(77,186):{'3_1':0.06},(77,185):{'3_1':0.0,'5_2':0.0},(77,184):{'3_1':0.0},(77,183):{'3_1':0.03},(77,182):{'3_1':0.03,'4_1':0.0},(77,181):{'3_1':0.03,'5_1':0.0},(77,180):{'3_1':0.0},(77,179):{'3_1':0.03},(77,178):{'3_1':0.03},(77,177):{'3_1':0.03},(77,176):{'3_1':0.03,'4_1':0.0},(77,175):{'3_1':0.0},(77,174):{'3_1':0.03},(77,173):{'3_1':0.03},(77,172):{'3_1':0.0},(77,171):{'4_1':0.0},(77,170):{'3_1':0.03,'4_1':0.0},(77,169):{'3_1':0.0},(77,168):{'3_1':0.0},(77,165):{'3_1':0.0},(77,164):{'3_1':0.0,'4_1':0.0},(77,163):{'3_1':0.0},(77,162):{'3_1':0.0,'4_1':0.0},(77,161):{'3_1':0.0},(77,160):{'3_1':0.0},(77,159):{'3_1':0.0},(77,158):{'4_1':0.0,'3_1':0.0},(77,157):{'4_1':0.0},(77,156):{'3_1':0.0},(77,155):{'3_1':0.0},(77,154):{'3_1':0.0},(77,152):{'3_1':0.0},(77,151):{'3_1':0.0},(77,149):{'3_1':0.0},(77,148):{'3_1':0.03,'4_1':0.0},(77,147):{'3_1':0.03},(77,146):{'3_1':0.0},(77,145):{'3_1':0.0},(77,144):{'3_1':0.03},(77,143):{'3_1':0.0},(77,142):{'3_1':0.0},(77,141):{'3_1':0.03},(77,140):{'3_1':0.0},(77,138):{'3_1':0.0},(77,137):{'3_1':0.0},(77,136):{'3_1':0.0},(77,126):{'3_1':0.0},(77,125):{'3_1':0.0},(77,124):{'3_1':0.0},(77,122):{'3_1':0.0},(77,120):{'3_1':0.0},(77,118):{'3_1':0.0},(77,117):{'3_1':0.0},(77,116):{'4_1':0.0,'3_1':0.0},(77,112):{'3_1':0.0},(77,111):{'3_1':0.0},(77,109):{'3_1':0.0},(77,108):{'3_1':0.0},(77,103):{'4_1':0.0},(77,102):{'4_1':0.0},(77,101):{'3_1':0.0},(77,94):{'3_1':0.0},(77,92):{'3_1':0.0},(77,89):{'3_1':0.0},(78,290):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(78,289):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(78,288):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(78,287):{'3_1':0.09,'5_1':0.0},(78,286):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(78,285):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(78,284):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(78,283):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'6_3':0.0},(78,282):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(78,281):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(78,280):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0},(78,279):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(78,278):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(78,277):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'5_2':0.0,'6_1':0.0},(78,276):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(78,275):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(78,274):{'3_1':0.12,'4_1':0.03},(78,273):{'3_1':0.09,'4_1':0.0},(78,272):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(78,271):{'3_1':0.12,'4_1':0.0},(78,270):{'3_1':0.09,'5_1':0.0},(78,269):{'3_1':0.03,'5_2':0.0},(78,268):{'3_1':0.12},(78,267):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(78,266):{'3_1':0.06},(78,265):{'3_1':0.03},(78,264):{'3_1':0.09,'5_1':0.0},(78,263):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(78,262):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(78,261):{'3_1':0.06,'5_2':0.0},(78,260):{'3_1':0.12,'5_2':0.0},(78,259):{'3_1':0.06,'5_2':0.0},(78,258):{'3_1':0.09,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(78,257):{'3_1':0.09,'5_2':0.0},(78,256):{'3_1':0.09,'5_2':0.0},(78,255):{'3_1':0.12},(78,254):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(78,253):{'3_1':0.09,'4_1':0.0},(78,252):{'3_1':0.06},(78,251):{'3_1':0.03},(78,250):{'3_1':0.03,'5_2':0.0},(78,249):{'3_1':0.03,'5_2':0.0},(78,248):{'3_1':0.06},(78,247):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(78,246):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(78,245):{'3_1':0.12,'5_2':0.0},(78,244):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(78,243):{'3_1':0.06},(78,242):{'3_1':0.09,'5_2':0.0},(78,241):{'3_1':0.0,'4_1':0.0},(78,240):{'3_1':0.0,'4_1':0.0},(78,239):{'3_1':0.0,'4_1':0.0},(78,238):{'3_1':0.03},(78,237):{'3_1':0.03},(78,236):{'3_1':0.0,'6_1':0.0,'8_12':0.0},(78,235):{'3_1':0.0,'4_1':0.0},(78,233):{'3_1':0.09},(78,232):{'3_1':0.03},(78,231):{'3_1':0.09},(78,230):{'3_1':0.03},(78,229):{'3_1':0.03,'4_1':0.0},(78,228):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(78,227):{'3_1':0.0},(78,226):{'3_1':0.03},(78,225):{'3_1':0.03,'4_1':0.0},(78,224):{'3_1':0.06,'4_1':0.0},(78,223):{'3_1':0.09,'4_1':0.0},(78,222):{'3_1':0.03,'6_2':0.0},(78,221):{'3_1':0.03,'6_3':0.0},(78,220):{'3_1':0.03,'4_1':0.0},(78,219):{'3_1':0.03,'4_1':0.0},(78,218):{'3_1':0.06},(78,217):{'3_1':0.06},(78,216):{'3_1':0.0},(78,215):{'3_1':0.0,'4_1':0.0},(78,214):{'3_1':0.0},(78,212):{'3_1':0.03},(78,211):{'3_1':0.0,'5_2':0.0},(78,210):{'3_1':0.03},(78,209):{'3_1':0.03},(78,208):{'3_1':0.0,'6_1':0.0},(78,207):{'3_1':0.03},(78,206):{'3_1':0.0,'5_2':0.0},(78,205):{'3_1':0.0},(78,204):{'3_1':0.0},(78,203):{'3_1':0.03},(78,202):{'3_1':0.03},(78,201):{'3_1':0.0,'4_1':0.0},(78,200):{'3_1':0.06,'4_1':0.0},(78,199):{'3_1':0.0},(78,198):{'3_1':0.03},(78,197):{'3_1':0.06,'5_2':0.0},(78,196):{'3_1':0.03},(78,195):{'3_1':0.03},(78,194):{'4_1':0.0},(78,193):{'3_1':0.0,'4_1':0.0},(78,192):{'3_1':0.06,'5_2':0.0},(78,191):{'3_1':0.0,'5_1':0.0},(78,190):{'3_1':0.0,'5_2':0.0},(78,189):{'3_1':0.06,'5_1':0.0},(78,188):{'3_1':0.0,'5_1':0.0},(78,187):{'3_1':0.0,'5_1':0.0},(78,186):{'3_1':0.03,'5_1':0.0},(78,185):{'3_1':0.0},(78,184):{'3_1':0.0},(78,183):{'3_1':0.03,'5_1':0.0},(78,182):{'3_1':0.03},(78,181):{'3_1':0.0},(78,180):{'3_1':0.0},(78,178):{'3_1':0.0},(78,177):{'3_1':0.0},(78,176):{'3_1':0.0,'4_1':0.0},(78,175):{'4_1':0.0},(78,173):{'3_1':0.0},(78,172):{'3_1':0.0},(78,171):{'3_1':0.03,'4_1':0.0},(78,170):{'3_1':0.0,'4_1':0.0},(78,169):{'3_1':0.0},(78,166):{'3_1':0.0},(78,165):{'3_1':0.0},(78,163):{'3_1':0.0},(78,162):{'3_1':0.0},(78,161):{'3_1':0.0},(78,160):{'3_1':0.0},(78,159):{'3_1':0.0},(78,157):{'3_1':0.0},(78,156):{'3_1':0.0},(78,155):{'3_1':0.03},(78,151):{'3_1':0.0},(78,150):{'3_1':0.0},(78,149):{'4_1':0.0,'3_1':0.0},(78,148):{'3_1':0.0},(78,147):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(78,145):{'5_1':0.0},(78,144):{'3_1':0.0},(78,143):{'3_1':0.0},(78,142):{'3_1':0.03},(78,137):{'3_1':0.0},(78,136):{'3_1':0.0},(78,129):{'3_1':0.0},(78,126):{'3_1':0.0},(78,124):{'3_1':0.0},(78,123):{'3_1':0.0},(78,122):{'3_1':0.0},(78,121):{'3_1':0.0},(78,118):{'3_1':0.0},(78,115):{'3_1':0.0},(78,114):{'3_1':0.0},(78,106):{'4_1':0.0},(78,105):{'4_1':0.0},(78,104):{'3_1':0.0},(78,92):{'3_1':0.0},(78,89):{'3_1':0.0},(79,290):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(79,289):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(79,288):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(79,287):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(79,286):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(79,285):{'3_1':0.09,'5_1':0.0},(79,284):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(79,283):{'3_1':0.18,'4_1':0.0},(79,282):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(79,281):{'3_1':0.15,'4_1':0.03},(79,280):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'-3':0.0},(79,279):{'3_1':0.12,'4_1':0.03},(79,278):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'-3':0.0},(79,277):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(79,276):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(79,275):{'3_1':0.15,'4_1':0.03},(79,274):{'3_1':0.06,'4_1':0.03},(79,273):{'3_1':0.15},(79,272):{'3_1':0.06,'4_1':0.0},(79,271):{'3_1':0.12,'4_1':0.0},(79,270):{'3_1':0.06},(79,269):{'3_1':0.09},(79,268):{'3_1':0.12},(79,267):{'3_1':0.06,'5_1':0.0},(79,266):{'3_1':0.12},(79,265):{'3_1':0.09},(79,264):{'3_1':0.06,'5_1':0.0,'8_10':0.0},(79,263):{'3_1':0.09},(79,262):{'3_1':0.03,'5_2':0.0},(79,261):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(79,260):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(79,259):{'3_1':0.09},(79,258):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(79,257):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(79,256):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(79,255):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(79,254):{'3_1':0.06,'4_1':0.0},(79,253):{'3_1':0.09},(79,252):{'3_1':0.06},(79,251):{'3_1':0.09},(79,250):{'3_1':0.06},(79,249):{'3_1':0.09},(79,248):{'3_1':0.0,'4_1':0.0},(79,247):{'3_1':0.06},(79,246):{'3_1':0.12,'5_2':0.0},(79,245):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(79,244):{'3_1':0.06},(79,243):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(79,242):{'3_1':0.03},(79,241):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(79,240):{'3_1':0.03},(79,239):{'3_1':0.06},(79,238):{'3_1':0.03},(79,237):{'3_1':0.0},(79,236):{'3_1':0.03,'4_1':0.0},(79,235):{'3_1':0.0,'4_1':0.0},(79,234):{'3_1':0.03},(79,233):{'3_1':0.0,'4_1':0.0},(79,232):{'3_1':0.03,'4_1':0.0},(79,231):{'3_1':0.03,'4_1':0.03},(79,230):{'3_1':0.03},(79,229):{'3_1':0.06},(79,228):{'3_1':0.03,'4_1':0.0},(79,227):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(79,226):{'3_1':0.06,'4_1':0.0},(79,225):{'3_1':0.03,'4_1':0.0},(79,224):{'3_1':0.03,'4_1':0.0},(79,223):{'4_1':0.0},(79,222):{'3_1':0.06,'4_1':0.03},(79,221):{'3_1':0.03},(79,220):{'3_1':0.03},(79,219):{'3_1':0.03,'4_1':0.0},(79,218):{'3_1':0.03},(79,217):{'3_1':0.03},(79,216):{'3_1':0.0},(79,215):{'3_1':0.0},(79,214):{'3_1':0.0},(79,213):{'3_1':0.03},(79,212):{'3_1':0.03},(79,211):{'3_1':0.03},(79,210):{'3_1':0.0},(79,209):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(79,208):{'3_1':0.06,'4_1':0.0},(79,207):{'3_1':0.0,'4_1':0.0},(79,206):{'3_1':0.0,'4_1':0.0},(79,205):{'3_1':0.0},(79,204):{'3_1':0.0,'5_2':0.0},(79,203):{'3_1':0.06,'4_1':0.0},(79,202):{'3_1':0.0,'4_1':0.0},(79,201):{'3_1':0.03},(79,200):{'3_1':0.0},(79,199):{'3_1':0.0},(79,198):{'3_1':0.06},(79,197):{'3_1':0.03,'4_1':0.0},(79,196):{'3_1':0.0,'4_1':0.0},(79,195):{'3_1':0.03,'4_1':0.0},(79,194):{'3_1':0.03},(79,193):{'3_1':0.0},(79,192):{'3_1':0.03,'5_1':0.0},(79,191):{'3_1':0.0,'4_1':0.0},(79,190):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(79,189):{'3_1':0.0},(79,188):{'3_1':0.03},(79,187):{'3_1':0.03,'5_2':0.0},(79,186):{'3_1':0.03},(79,185):{'3_1':0.0},(79,184):{'3_1':0.0},(79,183):{'3_1':0.0},(79,182):{'3_1':0.0},(79,181):{'3_1':0.0},(79,180):{'3_1':0.0},(79,179):{'3_1':0.03},(79,178):{'3_1':0.0},(79,177):{'3_1':0.0},(79,176):{'3_1':0.0},(79,175):{'3_1':0.0,'4_1':0.0},(79,174):{'3_1':0.0,'4_1':0.0},(79,173):{'3_1':0.0,'4_1':0.0},(79,171):{'3_1':0.03},(79,170):{'4_1':0.0},(79,168):{'4_1':0.0},(79,165):{'3_1':0.0},(79,164):{'3_1':0.0},(79,163):{'3_1':0.0},(79,162):{'3_1':0.0,'4_1':0.0},(79,161):{'4_1':0.0},(79,158):{'3_1':0.0},(79,157):{'3_1':0.0},(79,156):{'3_1':0.03},(79,154):{'3_1':0.0},(79,153):{'3_1':0.0},(79,152):{'3_1':0.0},(79,151):{'3_1':0.0},(79,148):{'4_1':0.0,'3_1':0.0},(79,146):{'3_1':0.0},(79,144):{'3_1':0.0},(79,142):{'3_1':0.0},(79,141):{'3_1':0.0},(79,138):{'3_1':0.0},(79,137):{'3_1':0.0},(79,125):{'3_1':0.0},(80,290):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_3':0.0},(80,289):{'3_1':0.21,'5_2':0.0,'6_3':0.0},(80,288):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(80,287):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'5_2':0.0},(80,286):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(80,285):{'3_1':0.21,'4_1':0.0},(80,284):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(80,283):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(80,282):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(80,281):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(80,280):{'3_1':0.21,'4_1':0.0},(80,279):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(80,278):{'3_1':0.15,'5_2':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(80,277):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(80,276):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(80,275):{'3_1':0.15,'4_1':0.06,'6_3':0.0,'-3':0.0},(80,274):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(80,273):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(80,272):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(80,271):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,270):{'3_1':0.12,'5_2':0.0},(80,269):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(80,268):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(80,267):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(80,266):{'3_1':0.21,'5_1':0.0},(80,265):{'3_1':0.15},(80,264):{'3_1':0.15,'5_2':0.0,'8_21|3_1#4_1':0.0},(80,263):{'3_1':0.15,'4_1':0.0},(80,262):{'3_1':0.18},(80,261):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(80,260):{'3_1':0.18,'5_2':0.0},(80,259):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(80,258):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(80,257):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(80,256):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(80,255):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,254):{'3_1':0.18,'4_1':0.0},(80,253):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(80,252):{'3_1':0.09},(80,251):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(80,250):{'3_1':0.18,'4_1':0.0},(80,249):{'3_1':0.18},(80,248):{'3_1':0.09,'4_1':0.0},(80,247):{'3_1':0.09},(80,246):{'3_1':0.09,'4_1':0.0},(80,245):{'3_1':0.12},(80,244):{'3_1':0.12},(80,243):{'3_1':0.09,'4_1':0.0},(80,242):{'3_1':0.09,'4_1':0.0},(80,241):{'3_1':0.09,'5_2':0.0},(80,240):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,239):{'3_1':0.06,'4_1':0.0},(80,238):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(80,237):{'3_1':0.03},(80,236):{'3_1':0.0},(80,235):{'3_1':0.09,'4_1':0.0},(80,234):{'3_1':0.0,'4_1':0.0},(80,233):{'3_1':0.03,'4_1':0.0},(80,232):{'3_1':0.0,'6_2':0.0},(80,231):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(80,230):{'3_1':0.03,'4_1':0.0},(80,229):{'3_1':0.06},(80,228):{'3_1':0.03,'4_1':0.0},(80,227):{'3_1':0.03,'4_1':0.0},(80,226):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(80,225):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(80,224):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(80,223):{'3_1':0.0,'4_1':0.0},(80,222):{'3_1':0.06,'4_1':0.0},(80,221):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(80,220):{'3_1':0.06,'4_1':0.0},(80,219):{'3_1':0.0},(80,218):{'3_1':0.03,'4_1':0.0},(80,217):{'3_1':0.03},(80,216):{'3_1':0.03},(80,215):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(80,214):{'3_1':0.06,'4_1':0.0},(80,213):{'3_1':0.03},(80,212):{'3_1':0.03},(80,211):{'3_1':0.0},(80,210):{'3_1':0.09,'4_1':0.0},(80,209):{'3_1':0.0},(80,208):{'3_1':0.06,'5_2':0.0},(80,207):{'3_1':0.03},(80,206):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(80,205):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(80,204):{'3_1':0.0,'4_1':0.0},(80,203):{'3_1':0.03,'4_1':0.0},(80,202):{'3_1':0.0,'5_2':0.0},(80,201):{'3_1':0.03},(80,200):{'3_1':0.03},(80,199):{'3_1':0.0},(80,198):{'3_1':0.03},(80,197):{'3_1':0.0},(80,196):{'3_1':0.0,'4_1':0.0},(80,195):{'3_1':0.0},(80,194):{'3_1':0.03},(80,193):{'3_1':0.03,'4_1':0.0},(80,192):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(80,191):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(80,190):{'3_1':0.03},(80,189):{'3_1':0.03},(80,188):{'3_1':0.0},(80,187):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(80,186):{'3_1':0.03,'5_2':0.0},(80,185):{'3_1':0.0,'4_1':0.0},(80,184):{'3_1':0.0,'4_1':0.0},(80,183):{'3_1':0.03},(80,182):{'3_1':0.03},(80,181):{'3_1':0.0,'4_1':0.0},(80,180):{'3_1':0.03},(80,179):{'3_1':0.0},(80,178):{'3_1':0.03},(80,177):{'3_1':0.0},(80,176):{'3_1':0.03},(80,173):{'3_1':0.0,'4_1':0.0},(80,172):{'3_1':0.0},(80,171):{'3_1':0.0,'4_1':0.0},(80,170):{'3_1':0.0,'4_1':0.0},(80,169):{'3_1':0.0},(80,168):{'3_1':0.0,'4_1':0.0},(80,167):{'3_1':0.0},(80,166):{'3_1':0.0},(80,165):{'3_1':0.0},(80,163):{'3_1':0.0},(80,162):{'3_1':0.0},(80,158):{'3_1':0.0},(80,156):{'3_1':0.0},(80,154):{'3_1':0.0},(80,153):{'3_1':0.0},(80,152):{'4_1':0.0},(80,150):{'3_1':0.0},(80,149):{'4_1':0.0},(80,147):{'4_1':0.03},(80,144):{'4_1':0.0},(80,141):{'3_1':0.0},(80,140):{'3_1':0.0},(80,118):{'3_1':0.0},(80,115):{'3_1':0.0},(80,113):{'3_1':0.0},(80,109):{'3_1':0.0},(80,108):{'4_1':0.0},(81,290):{'3_1':0.18,'5_2':0.0},(81,289):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_6':0.0,'8_19':0.0},(81,288):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(81,287):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'8_13':0.0},(81,286):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(81,285):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(81,284):{'3_1':0.21,'5_2':0.03,'4_1':0.0},(81,283):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(81,282):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(81,281):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(81,280):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_3':0.0},(81,279):{'3_1':0.18,'6_3':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(81,278):{'3_1':0.15,'5_2':0.0,'6_3':0.0,'-3':0.0},(81,277):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(81,276):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'6_3':0.0},(81,275):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(81,274):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(81,273):{'3_1':0.09,'4_1':0.0},(81,272):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(81,271):{'3_1':0.15,'4_1':0.0},(81,270):{'3_1':0.18},(81,269):{'3_1':0.15,'5_2':0.0},(81,268):{'3_1':0.21},(81,267):{'3_1':0.21,'5_2':0.0},(81,266):{'3_1':0.12},(81,265):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(81,264):{'3_1':0.15},(81,263):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(81,262):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(81,261):{'3_1':0.27,'5_2':0.0},(81,260):{'3_1':0.27,'5_1':0.0},(81,259):{'3_1':0.18,'5_1':0.0},(81,258):{'3_1':0.18,'8_20|3_1#3_1':0.0,'8_15':0.0},(81,257):{'3_1':0.3,'5_2':0.0,'8_20|3_1#3_1':0.0},(81,256):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(81,255):{'3_1':0.18,'4_1':0.0,'6_1':0.0,'7_4':0.0},(81,254):{'3_1':0.15,'4_1':0.0},(81,253):{'3_1':0.12,'4_1':0.0},(81,252):{'3_1':0.18,'4_1':0.0},(81,251):{'3_1':0.12,'4_1':0.0},(81,250):{'3_1':0.15,'4_1':0.0},(81,249):{'3_1':0.09,'5_1':0.0},(81,248):{'3_1':0.12,'4_1':0.0},(81,247):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(81,246):{'3_1':0.15},(81,245):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(81,244):{'3_1':0.21,'5_2':0.0},(81,243):{'3_1':0.09,'5_1':0.0},(81,242):{'3_1':0.15,'4_1':0.0},(81,241):{'3_1':0.09},(81,240):{'3_1':0.06,'5_1':0.0},(81,239):{'3_1':0.09},(81,238):{'3_1':0.0,'4_1':0.0},(81,237):{'3_1':0.03,'4_1':0.0},(81,236):{'3_1':0.03},(81,235):{'3_1':0.0,'4_1':0.0},(81,234):{'3_1':0.0},(81,233):{'3_1':0.03},(81,232):{'3_1':0.03,'4_1':0.0},(81,231):{'3_1':0.09},(81,230):{'3_1':0.03,'4_1':0.0},(81,229):{'3_1':0.03,'4_1':0.0},(81,228):{'3_1':0.09,'4_1':0.0},(81,227):{'3_1':0.09,'5_2':0.0},(81,226):{'3_1':0.06},(81,225):{'3_1':0.03,'4_1':0.0},(81,224):{'3_1':0.06,'4_1':0.0},(81,223):{'3_1':0.03,'4_1':0.0},(81,222):{'3_1':0.03,'4_1':0.0},(81,221):{'4_1':0.0,'3_1':0.0},(81,220):{'3_1':0.06,'4_1':0.0},(81,219):{'3_1':0.03,'4_1':0.0},(81,218):{'3_1':0.0},(81,217):{'3_1':0.06},(81,216):{'3_1':0.03,'4_1':0.0},(81,215):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(81,214):{'3_1':0.0},(81,213):{'3_1':0.0},(81,212):{'3_1':0.03},(81,211):{'3_1':0.03},(81,210):{'3_1':0.03},(81,209):{'3_1':0.06},(81,208):{'3_1':0.0},(81,207):{'3_1':0.0},(81,206):{'3_1':0.06,'5_2':0.0},(81,205):{'3_1':0.0,'5_2':0.0},(81,204):{'3_1':0.06},(81,203):{'3_1':0.0,'5_2':0.0},(81,202):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(81,201):{'3_1':0.0},(81,200):{'3_1':0.03,'5_2':0.0},(81,199):{'3_1':0.03},(81,198):{'3_1':0.0},(81,197):{'3_1':0.0},(81,196):{'3_1':0.03},(81,195):{'3_1':0.0},(81,194):{'3_1':0.0},(81,193):{'3_1':0.0},(81,192):{'3_1':0.03},(81,191):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(81,190):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(81,189):{'3_1':0.0},(81,188):{'3_1':0.0,'4_1':0.0},(81,187):{'3_1':0.03,'5_1':0.0},(81,186):{'3_1':0.0,'4_1':0.0},(81,185):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(81,184):{'3_1':0.0},(81,183):{'3_1':0.0},(81,182):{'3_1':0.03},(81,180):{'3_1':0.03},(81,179):{'3_1':0.0,'4_1':0.0},(81,178):{'3_1':0.0,'5_2':0.0},(81,177):{'3_1':0.03},(81,176):{'3_1':0.0},(81,175):{'3_1':0.03,'4_1':0.0},(81,174):{'3_1':0.03},(81,173):{'4_1':0.0},(81,172):{'3_1':0.0},(81,171):{'3_1':0.0},(81,170):{'3_1':0.0},(81,166):{'3_1':0.0},(81,165):{'3_1':0.0},(81,164):{'3_1':0.0},(81,163):{'3_1':0.0},(81,162):{'3_1':0.0},(81,161):{'3_1':0.0},(81,160):{'3_1':0.0},(81,158):{'3_1':0.0},(81,157):{'3_1':0.0},(81,156):{'3_1':0.0},(81,155):{'3_1':0.0,'4_1':0.0},(81,154):{'4_1':0.0},(81,153):{'4_1':0.0},(81,152):{'3_1':0.0},(81,151):{'3_1':0.0},(81,129):{'3_1':0.0},(81,125):{'3_1':0.0},(82,290):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'8_10':0.0},(82,289):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(82,288):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(82,287):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(82,286):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(82,285):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0},(82,284):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(82,283):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(82,282):{'3_1':0.18,'4_1':0.0,'6_3':0.0,'5_2':0.0},(82,281):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(82,280):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(82,279):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(82,278):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0},(82,277):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(82,276):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(82,275):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(82,274):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(82,273):{'3_1':0.21,'4_1':0.0},(82,272):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(82,271):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(82,270):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(82,269):{'3_1':0.21,'6_3':0.0},(82,268):{'3_1':0.27,'5_2':0.0,'5_1':0.0,'4_1':0.0},(82,267):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(82,266):{'3_1':0.18},(82,265):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(82,264):{'3_1':0.24,'5_1':0.0},(82,263):{'3_1':0.21,'4_1':0.0},(82,262):{'3_1':0.21},(82,261):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(82,260):{'3_1':0.18},(82,259):{'3_1':0.3,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(82,258):{'3_1':0.3,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(82,257):{'3_1':0.18,'5_2':0.0,'8_20|3_1#3_1':0.0},(82,256):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(82,255):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(82,254):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(82,253):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(82,252):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(82,251):{'3_1':0.09,'5_1':0.0},(82,250):{'3_1':0.12},(82,249):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(82,248):{'3_1':0.15,'5_1':0.0},(82,247):{'3_1':0.09,'5_2':0.0},(82,246):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(82,245):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(82,244):{'3_1':0.12,'4_1':0.0},(82,243):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(82,242):{'3_1':0.15,'4_1':0.0},(82,241):{'3_1':0.12},(82,240):{'3_1':0.09,'4_1':0.0},(82,239):{'3_1':0.06,'4_1':0.0},(82,238):{'3_1':0.03},(82,237):{'3_1':0.03,'4_1':0.0},(82,236):{'3_1':0.0},(82,235):{'3_1':0.0},(82,234):{'3_1':0.06},(82,233):{'3_1':0.06},(82,232):{'3_1':0.03,'4_1':0.0},(82,231):{'3_1':0.03,'4_1':0.0},(82,230):{'3_1':0.03,'4_1':0.03},(82,229):{'3_1':0.03,'4_1':0.0},(82,228):{'3_1':0.06,'4_1':0.0},(82,227):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(82,226):{'3_1':0.03,'4_1':0.0},(82,225):{'3_1':0.06,'4_1':0.0},(82,224):{'3_1':0.03,'4_1':0.0},(82,223):{'3_1':0.06,'4_1':0.0},(82,222):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(82,221):{'3_1':0.03},(82,220):{'3_1':0.06,'4_1':0.03},(82,219):{'3_1':0.0,'4_1':0.0},(82,218):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(82,217):{'3_1':0.0},(82,216):{'3_1':0.0,'4_1':0.0},(82,215):{'3_1':0.03},(82,214):{'3_1':0.03},(82,213):{'3_1':0.03},(82,212):{'3_1':0.0,'5_1':0.0},(82,211):{'3_1':0.06,'4_1':0.0},(82,210):{'3_1':0.0},(82,209):{'3_1':0.06,'4_1':0.0},(82,208):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(82,207):{'3_1':0.03},(82,206):{'3_1':0.03,'4_1':0.0},(82,205):{'3_1':0.09,'4_1':0.0},(82,204):{'3_1':0.03,'5_2':0.0},(82,203):{'3_1':0.03,'5_2':0.0},(82,202):{'3_1':0.06,'5_2':0.0},(82,201):{'3_1':0.09,'4_1':0.0},(82,200):{'3_1':0.0},(82,199):{'3_1':0.03,'5_2':0.0},(82,198):{'3_1':0.0,'4_1':0.0},(82,197):{'3_1':0.03},(82,196):{'3_1':0.03},(82,195):{'3_1':0.03},(82,194):{'3_1':0.0},(82,193):{'3_1':0.06},(82,192):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(82,191):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(82,190):{'3_1':0.03},(82,189):{'3_1':0.09},(82,188):{'3_1':0.0},(82,187):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(82,186):{'3_1':0.03,'5_2':0.0},(82,185):{'3_1':0.0,'4_1':0.0},(82,184):{'3_1':0.03,'4_1':0.0},(82,183):{'3_1':0.03,'4_1':0.0},(82,182):{'3_1':0.03},(82,181):{'3_1':0.03,'5_1':0.0},(82,180):{'3_1':0.0},(82,179):{'3_1':0.0,'4_1':0.0},(82,178):{'3_1':0.03,'6_1':0.0},(82,177):{'3_1':0.0},(82,176):{'3_1':0.0},(82,175):{'3_1':0.0},(82,174):{'3_1':0.0},(82,173):{'3_1':0.0},(82,172):{'3_1':0.0,'4_1':0.0},(82,171):{'3_1':0.0,'4_1':0.0},(82,170):{'3_1':0.0},(82,169):{'3_1':0.0},(82,168):{'3_1':0.0},(82,167):{'3_1':0.0,'4_1':0.0},(82,166):{'3_1':0.0},(82,165):{'3_1':0.0},(82,163):{'3_1':0.0},(82,162):{'3_1':0.0,'4_1':0.0},(82,160):{'4_1':0.0},(82,158):{'3_1':0.0},(82,156):{'3_1':0.0},(82,154):{'4_1':0.0},(82,153):{'3_1':0.0},(82,152):{'3_1':0.0},(82,151):{'3_1':0.0},(82,150):{'3_1':0.0},(82,149):{'3_1':0.0},(82,148):{'3_1':0.0,'4_1':0.0},(82,147):{'3_1':0.0},(82,144):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(82,143):{'3_1':0.0},(82,142):{'3_1':0.0},(82,141):{'3_1':0.0},(82,140):{'3_1':0.0},(82,137):{'3_1':0.0},(82,134):{'3_1':0.0},(82,133):{'3_1':0.0},(82,131):{'3_1':0.0},(82,126):{'3_1':0.0},(82,117):{'4_1':0.0},(82,114):{'4_1':0.0},(82,112):{'3_1':0.0},(83,290):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(83,289):{'3_1':0.27,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(83,288):{'3_1':0.18,'6_3':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(83,287):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_19':0.0},(83,286):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(83,285):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(83,284):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(83,283):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(83,282):{'3_1':0.27,'5_2':0.0,'6_3':0.0,'4_1':0.0},(83,281):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(83,280):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0},(83,279):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(83,278):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(83,277):{'3_1':0.27,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(83,276):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'6_3':0.0},(83,275):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(83,274):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(83,273):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(83,272):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(83,271):{'3_1':0.15,'5_1':0.0},(83,270):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(83,269):{'3_1':0.15,'5_2':0.0},(83,268):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(83,267):{'3_1':0.18},(83,266):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(83,265):{'3_1':0.21,'5_1':0.0},(83,264):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(83,263):{'3_1':0.18,'5_2':0.0},(83,262):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(83,261):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(83,260):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(83,259):{'3_1':0.27,'5_2':0.0},(83,258):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(83,257):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(83,256):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(83,255):{'3_1':0.21,'5_2':0.0},(83,254):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(83,253):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(83,252):{'3_1':0.15,'5_2':0.0},(83,251):{'3_1':0.15,'6_2':0.0},(83,250):{'3_1':0.15,'5_2':0.0},(83,249):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(83,248):{'3_1':0.12},(83,247):{'3_1':0.15},(83,246):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(83,245):{'3_1':0.06,'4_1':0.0},(83,244):{'3_1':0.09,'4_1':0.0},(83,243):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(83,242):{'3_1':0.12,'5_2':0.0},(83,241):{'3_1':0.09},(83,240):{'3_1':0.06,'4_1':0.0},(83,239):{'3_1':0.03,'4_1':0.0},(83,238):{'3_1':0.03},(83,237):{'3_1':0.06},(83,236):{'3_1':0.03},(83,235):{'3_1':0.03},(83,234):{'3_1':0.03,'6_3':0.0},(83,233):{'3_1':0.03},(83,232):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(83,231):{'3_1':0.06,'4_1':0.0},(83,230):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(83,229):{'3_1':0.03,'4_1':0.0},(83,228):{'3_1':0.03,'4_1':0.0},(83,227):{'3_1':0.03,'4_1':0.0},(83,226):{'3_1':0.06,'4_1':0.0},(83,225):{'3_1':0.0,'4_1':0.0},(83,224):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(83,223):{'3_1':0.06,'4_1':0.0},(83,222):{'4_1':0.03,'3_1':0.0,'6_3':0.0},(83,221):{'3_1':0.03,'4_1':0.0},(83,220):{'4_1':0.03,'3_1':0.0},(83,219):{'4_1':0.0,'3_1':0.0},(83,218):{'3_1':0.06,'4_1':0.0},(83,217):{'3_1':0.03,'4_1':0.0},(83,216):{'3_1':0.03},(83,215):{'3_1':0.0},(83,214):{'3_1':0.03},(83,213):{'3_1':0.06,'4_1':0.0},(83,212):{'3_1':0.0},(83,211):{'3_1':0.03},(83,210):{'3_1':0.0,'5_1':0.0},(83,209):{'3_1':0.06},(83,208):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(83,207):{'3_1':0.03,'5_2':0.0},(83,206):{'3_1':0.03},(83,205):{'3_1':0.06},(83,204):{'3_1':0.03,'5_2':0.0},(83,203):{'3_1':0.03},(83,202):{'3_1':0.06},(83,201):{'3_1':0.03},(83,200):{'3_1':0.03},(83,199):{'3_1':0.0},(83,198):{'3_1':0.03},(83,197):{'3_1':0.03},(83,196):{'3_1':0.0},(83,195):{'3_1':0.0,'5_2':0.0},(83,194):{'3_1':0.0},(83,193):{'3_1':0.0,'4_1':0.0},(83,192):{'3_1':0.03},(83,191):{'3_1':0.03,'4_1':0.0},(83,190):{'3_1':0.03},(83,189):{'3_1':0.03},(83,188):{'3_1':0.0},(83,187):{'3_1':0.0},(83,185):{'3_1':0.03},(83,184):{'3_1':0.0},(83,183):{'3_1':0.06,'4_1':0.0},(83,182):{'3_1':0.0,'4_1':0.0},(83,181):{'3_1':0.0},(83,180):{'3_1':0.0},(83,179):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(83,178):{'3_1':0.0,'4_1':0.0},(83,177):{'3_1':0.03,'4_1':0.0},(83,176):{'3_1':0.0},(83,175):{'3_1':0.0},(83,174):{'3_1':0.03,'4_1':0.0},(83,173):{'3_1':0.0},(83,172):{'3_1':0.03},(83,171):{'3_1':0.0},(83,170):{'4_1':0.0},(83,168):{'3_1':0.0},(83,167):{'3_1':0.0},(83,165):{'3_1':0.0},(83,164):{'3_1':0.0},(83,163):{'3_1':0.0},(83,162):{'3_1':0.0,'4_1':0.0},(83,161):{'3_1':0.0},(83,160):{'3_1':0.0},(83,159):{'3_1':0.0,'4_1':0.0},(83,158):{'3_1':0.03},(83,157):{'3_1':0.0,'4_1':0.0},(83,156):{'3_1':0.0},(83,155):{'3_1':0.0},(83,153):{'3_1':0.0},(83,152):{'3_1':0.0},(83,151):{'3_1':0.0},(83,149):{'3_1':0.0},(83,148):{'3_1':0.0},(83,147):{'3_1':0.0,'5_1':0.0},(83,146):{'3_1':0.0,'4_1':0.0},(83,142):{'3_1':0.0},(83,141):{'3_1':0.0},(83,140):{'3_1':0.0},(83,139):{'3_1':0.0},(83,137):{'3_1':0.0},(83,136):{'3_1':0.0},(83,134):{'3_1':0.0},(83,133):{'3_1':0.0},(83,132):{'3_1':0.0},(83,131):{'3_1':0.0},(83,130):{'3_1':0.0},(83,127):{'3_1':0.0},(83,123):{'3_1':0.0},(83,121):{'3_1':0.0},(83,120):{'3_1':0.0},(83,118):{'3_1':0.0},(83,117):{'3_1':0.0},(83,115):{'3_1':0.0},(83,113):{'3_1':0.0},(84,290):{'3_1':0.21,'5_2':0.0},(84,289):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(84,288):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(84,287):{'3_1':0.15,'5_2':0.0},(84,286):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(84,285):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(84,284):{'3_1':0.15,'5_2':0.0,'6_1':0.0,'6_3':0.0},(84,283):{'3_1':0.24,'4_1':0.03,'6_3':0.0,'5_2':0.0},(84,282):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(84,281):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(84,280):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(84,279):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(84,278):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'-3':0.0},(84,277):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(84,276):{'3_1':0.18,'5_2':0.0,'6_3':0.0},(84,275):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(84,274):{'3_1':0.24,'4_1':0.03,'5_1':0.0},(84,273):{'3_1':0.15,'4_1':0.03},(84,272):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(84,271):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(84,270):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(84,269):{'3_1':0.12,'6_3':0.0},(84,268):{'3_1':0.12,'6_1':0.0},(84,267):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(84,266):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_3':0.0},(84,265):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(84,264):{'3_1':0.18,'5_1':0.0},(84,263):{'3_1':0.21,'5_1':0.0},(84,262):{'3_1':0.12,'6_2':0.0},(84,261):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(84,260):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(84,259):{'3_1':0.21,'5_1':0.0},(84,258):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_3':0.0},(84,257):{'3_1':0.21,'5_1':0.0,'8_20|3_1#3_1':0.0},(84,256):{'3_1':0.18,'4_1':0.0},(84,255):{'3_1':0.15,'5_2':0.0},(84,254):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(84,253):{'3_1':0.12},(84,252):{'3_1':0.12,'5_2':0.0},(84,251):{'3_1':0.09,'5_2':0.0},(84,250):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(84,249):{'3_1':0.12},(84,248):{'3_1':0.15,'4_1':0.0},(84,247):{'3_1':0.15},(84,246):{'3_1':0.09,'4_1':0.0},(84,245):{'3_1':0.12},(84,244):{'3_1':0.09},(84,243):{'3_1':0.15},(84,242):{'3_1':0.06,'5_2':0.0},(84,241):{'3_1':0.12},(84,240):{'3_1':0.06,'5_2':0.0},(84,239):{'3_1':0.06,'4_1':0.0},(84,238):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(84,237):{'3_1':0.03},(84,236):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(84,235):{'3_1':0.0,'6_3':0.0},(84,234):{'3_1':0.0},(84,233):{'3_1':0.06,'4_1':0.0},(84,232):{'3_1':0.03},(84,231):{'3_1':0.06,'4_1':0.0},(84,230):{'3_1':0.09,'4_1':0.0},(84,229):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(84,228):{'3_1':0.06,'4_1':0.0},(84,227):{'3_1':0.03,'4_1':0.0},(84,226):{'3_1':0.03,'4_1':0.0},(84,225):{'3_1':0.06,'4_1':0.0},(84,224):{'3_1':0.06},(84,223):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(84,222):{'3_1':0.0,'4_1':0.0},(84,221):{'3_1':0.06,'4_1':0.0},(84,220):{'3_1':0.03,'4_1':0.0},(84,219):{'3_1':0.03,'4_1':0.0},(84,218):{'3_1':0.06},(84,217):{'3_1':0.0},(84,216):{'3_1':0.0},(84,215):{'3_1':0.0,'4_1':0.0},(84,214):{'3_1':0.06},(84,213):{'3_1':0.06,'4_1':0.0},(84,212):{'3_1':0.0},(84,211):{'3_1':0.06},(84,210):{'3_1':0.03,'4_1':0.0},(84,209):{'3_1':0.0,'4_1':0.0},(84,208):{'3_1':0.06,'5_2':0.0},(84,207):{'3_1':0.12,'4_1':0.0},(84,206):{'3_1':0.09},(84,205):{'3_1':0.06,'5_2':0.0},(84,204):{'3_1':0.06,'5_2':0.0},(84,203):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(84,202):{'3_1':0.03,'5_2':0.0},(84,201):{'3_1':0.03},(84,200):{'3_1':0.0},(84,199):{'3_1':0.0},(84,198):{'3_1':0.0,'4_1':0.0},(84,197):{'5_1':0.0},(84,196):{'3_1':0.06},(84,195):{'3_1':0.0},(84,194):{'3_1':0.09},(84,193):{'3_1':0.0},(84,192):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(84,191):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(84,190):{'3_1':0.03},(84,189):{'3_1':0.0},(84,188):{'3_1':0.03},(84,187):{'3_1':0.0},(84,186):{'3_1':0.03},(84,185):{'3_1':0.0},(84,184):{'4_1':0.0},(84,183):{'3_1':0.0,'4_1':0.0},(84,182):{'3_1':0.0},(84,181):{'3_1':0.0,'4_1':0.0},(84,180):{'3_1':0.0,'4_1':0.0},(84,179):{'3_1':0.03,'8_21|3_1#4_1':0.0},(84,178):{'3_1':0.0},(84,177):{'3_1':0.03},(84,176):{'3_1':0.0},(84,175):{'3_1':0.0},(84,174):{'3_1':0.0},(84,173):{'3_1':0.0},(84,172):{'3_1':0.0,'4_1':0.0},(84,171):{'3_1':0.0},(84,170):{'3_1':0.0},(84,169):{'3_1':0.0},(84,168):{'3_1':0.0},(84,167):{'3_1':0.0},(84,166):{'3_1':0.0},(84,165):{'3_1':0.0},(84,164):{'3_1':0.0,'4_1':0.0},(84,163):{'3_1':0.03},(84,162):{'3_1':0.0,'5_1':0.0},(84,161):{'3_1':0.0},(84,160):{'3_1':0.0,'4_1':0.0},(84,159):{'3_1':0.0},(84,158):{'3_1':0.0,'8_20|3_1#3_1':0.0},(84,157):{'3_1':0.0},(84,156):{'3_1':0.0},(84,153):{'3_1':0.0,'4_1':0.0},(84,152):{'3_1':0.0,'4_1':0.0},(84,151):{'3_1':0.0},(84,149):{'3_1':0.0},(84,148):{'3_1':0.0},(84,147):{'3_1':0.0},(84,144):{'5_2':0.0},(84,142):{'3_1':0.0},(84,141):{'3_1':0.0},(84,139):{'3_1':0.0},(84,138):{'3_1':0.0},(84,137):{'3_1':0.0},(84,136):{'3_1':0.0},(84,135):{'3_1':0.0},(84,134):{'3_1':0.0},(84,133):{'3_1':0.0},(84,132):{'3_1':0.0},(84,131):{'3_1':0.0},(84,130):{'4_1':0.0},(84,129):{'3_1':0.0},(84,128):{'3_1':0.0},(84,127):{'3_1':0.0},(84,126):{'4_1':0.0},(84,124):{'3_1':0.0},(84,123):{'3_1':0.0},(84,122):{'3_1':0.0},(84,119):{'3_1':0.0},(84,118):{'3_1':0.0},(84,117):{'3_1':0.0},(84,116):{'3_1':0.0},(84,115):{'3_1':0.0},(84,112):{'3_1':0.0},(85,290):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(85,289):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'6_3':0.0,'5_1':0.0},(85,288):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(85,287):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(85,286):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(85,285):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(85,284):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(85,283):{'3_1':0.18,'4_1':0.03},(85,282):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(85,281):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(85,280):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(85,279):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0},(85,278):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_6':0.0},(85,277):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(85,276):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(85,275):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(85,274):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(85,273):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(85,272):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(85,271):{'3_1':0.12},(85,270):{'3_1':0.12,'5_2':0.0},(85,269):{'3_1':0.12,'5_2':0.0},(85,268):{'3_1':0.15,'4_1':0.0},(85,267):{'3_1':0.06},(85,266):{'3_1':0.12},(85,265):{'3_1':0.12,'5_2':0.0},(85,264):{'3_1':0.15},(85,263):{'3_1':0.15,'4_1':0.0},(85,262):{'3_1':0.15,'5_1':0.0},(85,261):{'3_1':0.18,'4_1':0.0},(85,260):{'3_1':0.12,'4_1':0.0},(85,259):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(85,258):{'3_1':0.15,'8_20|3_1#3_1':0.0,'5_1':0.0},(85,257):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(85,256):{'3_1':0.18,'4_1':0.0},(85,255):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(85,254):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(85,253):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(85,252):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(85,251):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(85,250):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(85,249):{'3_1':0.09,'4_1':0.0},(85,248):{'3_1':0.06,'4_1':0.0},(85,247):{'3_1':0.09,'4_1':0.0},(85,246):{'3_1':0.09,'5_1':0.0},(85,245):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(85,244):{'3_1':0.12},(85,243):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(85,242):{'3_1':0.12,'4_1':0.0},(85,241):{'3_1':0.03},(85,240):{'3_1':0.06,'4_1':0.0},(85,239):{'3_1':0.03,'4_1':0.0},(85,238):{'3_1':0.03,'5_2':0.0},(85,237):{'3_1':0.03},(85,236):{'4_1':0.0,'3_1':0.0},(85,235):{'3_1':0.03},(85,234):{'3_1':0.0,'4_1':0.0},(85,233):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(85,232):{'3_1':0.03},(85,231):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(85,230):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(85,229):{'3_1':0.09},(85,228):{'3_1':0.06,'4_1':0.0},(85,227):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(85,226):{'3_1':0.06,'4_1':0.0},(85,225):{'3_1':0.0,'4_1':0.0},(85,224):{'3_1':0.06,'4_1':0.0},(85,223):{'3_1':0.06,'4_1':0.0,'8_16':0.0},(85,222):{'3_1':0.06,'4_1':0.0},(85,221):{'3_1':0.03,'4_1':0.0},(85,220):{'3_1':0.06,'6_3':0.0},(85,219):{'3_1':0.03,'8_21|3_1#4_1':0.0},(85,218):{'3_1':0.0,'4_1':0.0},(85,217):{'3_1':0.0,'8_21|3_1#4_1':0.0},(85,216):{'3_1':0.0},(85,215):{'3_1':0.0,'4_1':0.0},(85,214):{'3_1':0.0},(85,213):{'3_1':0.06},(85,212):{'3_1':0.03},(85,211):{'3_1':0.0,'6_2':0.0},(85,210):{'3_1':0.03},(85,209):{'3_1':0.03},(85,208):{'3_1':0.03},(85,207):{'3_1':0.03},(85,206):{'3_1':0.06},(85,205):{'3_1':0.03,'4_1':0.0},(85,204):{'3_1':0.06},(85,203):{'3_1':0.03,'5_1':0.0},(85,202):{'3_1':0.03},(85,201):{'3_1':0.03,'4_1':0.0},(85,200):{'3_1':0.0,'6_2':0.0},(85,199):{'3_1':0.03},(85,198):{'3_1':0.03},(85,197):{'3_1':0.03,'5_1':0.0},(85,196):{'3_1':0.0},(85,195):{'3_1':0.0,'4_1':0.0},(85,194):{'3_1':0.03},(85,193):{'3_1':0.03},(85,192):{'3_1':0.03,'4_1':0.0},(85,191):{'3_1':0.0},(85,190):{'3_1':0.0,'4_1':0.0},(85,189):{'3_1':0.03,'4_1':0.0},(85,188):{'3_1':0.03,'4_1':0.0},(85,187):{'3_1':0.03,'4_1':0.0},(85,186):{'3_1':0.0,'4_1':0.0},(85,185):{'3_1':0.03},(85,184):{'3_1':0.0},(85,183):{'3_1':0.0,'6_2':0.0},(85,182):{'3_1':0.0,'4_1':0.0},(85,181):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(85,180):{'3_1':0.0},(85,179):{'3_1':0.03,'4_1':0.0},(85,178):{'3_1':0.0},(85,177):{'3_1':0.0},(85,176):{'3_1':0.0},(85,175):{'3_1':0.0},(85,174):{'3_1':0.0},(85,172):{'3_1':0.0},(85,171):{'3_1':0.0},(85,170):{'3_1':0.0},(85,169):{'3_1':0.0},(85,168):{'3_1':0.0},(85,167):{'3_1':0.0,'4_1':0.0},(85,165):{'4_1':0.0,'6_3':0.0},(85,164):{'3_1':0.0},(85,163):{'3_1':0.0},(85,162):{'3_1':0.0},(85,159):{'3_1':0.0},(85,158):{'3_1':0.03,'5_1':0.0},(85,157):{'5_1':0.0},(85,155):{'3_1':0.0},(85,154):{'3_1':0.0},(85,153):{'3_1':0.0},(85,151):{'3_1':0.0,'4_1':0.0},(85,150):{'3_1':0.0,'5_2':0.0},(85,149):{'3_1':0.0,'5_2':0.0},(85,148):{'3_1':0.0},(85,147):{'3_1':0.0},(85,146):{'3_1':0.0},(85,144):{'3_1':0.03},(85,142):{'3_1':0.0},(85,141):{'3_1':0.0},(85,140):{'3_1':0.0},(85,139):{'3_1':0.0,'4_1':0.0},(85,138):{'3_1':0.0},(85,137):{'3_1':0.0},(85,136):{'3_1':0.0},(85,134):{'3_1':0.0},(85,133):{'3_1':0.0,'4_1':0.0},(85,131):{'3_1':0.0},(85,130):{'3_1':0.0},(85,129):{'3_1':0.0},(85,128):{'3_1':0.0},(85,127):{'3_1':0.0},(85,124):{'3_1':0.0,'4_1':0.0},(85,120):{'3_1':0.0},(85,119):{'3_1':0.0},(85,118):{'3_1':0.0},(85,117):{'3_1':0.0},(85,116):{'3_1':0.0},(85,115):{'3_1':0.0},(85,114):{'3_1':0.0},(85,113):{'3_1':0.0},(85,112):{'3_1':0.0},(85,111):{'3_1':0.0},(86,290):{'3_1':0.18,'5_2':0.0},(86,289):{'3_1':0.12,'4_1':0.03},(86,288):{'3_1':0.15,'5_1':0.0,'8_8':0.0},(86,287):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(86,286):{'3_1':0.09,'4_1':0.0,'7_6':0.0},(86,285):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(86,284):{'3_1':0.18,'6_3':0.0},(86,283):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(86,282):{'3_1':0.09,'4_1':0.0},(86,281):{'3_1':0.21,'4_1':0.0},(86,280):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(86,279):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(86,278):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(86,277):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(86,276):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(86,275):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(86,274):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(86,273):{'3_1':0.15,'4_1':0.0},(86,272):{'3_1':0.21,'5_2':0.0},(86,271):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(86,270):{'3_1':0.18,'5_2':0.0},(86,269):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(86,268):{'3_1':0.12,'5_2':0.0},(86,267):{'3_1':0.15,'5_2':0.0},(86,266):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(86,265):{'3_1':0.09},(86,264):{'3_1':0.12},(86,263):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(86,262):{'3_1':0.21,'4_1':0.0},(86,261):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(86,260):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(86,259):{'3_1':0.18,'6_2':0.0,'8_20|3_1#3_1':0.0},(86,258):{'3_1':0.12,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(86,257):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(86,256):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(86,255):{'3_1':0.12,'4_1':0.0},(86,254):{'3_1':0.09,'4_1':0.0},(86,253):{'3_1':0.06},(86,252):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(86,251):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(86,250):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(86,249):{'3_1':0.09,'4_1':0.0},(86,248):{'3_1':0.06},(86,247):{'3_1':0.09,'4_1':0.0},(86,246):{'3_1':0.12},(86,245):{'3_1':0.12,'5_2':0.0,'8_17':0.0},(86,244):{'3_1':0.12},(86,243):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(86,242):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(86,241):{'3_1':0.03},(86,240):{'3_1':0.03},(86,239):{'3_1':0.06,'5_2':0.0},(86,238):{'3_1':0.09},(86,237):{'3_1':0.06,'4_1':0.0},(86,236):{'3_1':0.03,'6_2':0.0},(86,235):{'3_1':0.0,'4_1':0.0},(86,234):{'3_1':0.03,'4_1':0.0},(86,233):{'3_1':0.03,'6_1':0.0,'6_3':0.0},(86,232):{'3_1':0.03,'4_1':0.0},(86,231):{'3_1':0.0},(86,230):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(86,229):{'3_1':0.03,'6_1':0.0},(86,228):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(86,227):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(86,226):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(86,225):{'3_1':0.06,'4_1':0.0},(86,224):{'3_1':0.03,'4_1':0.0},(86,223):{'3_1':0.03,'4_1':0.0},(86,222):{'3_1':0.03,'4_1':0.0},(86,221):{'3_1':0.0,'4_1':0.0},(86,220):{'3_1':0.03},(86,219):{'3_1':0.0,'4_1':0.0},(86,218):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(86,217):{'3_1':0.0,'5_2':0.0},(86,215):{'3_1':0.0},(86,214):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(86,213):{'3_1':0.03},(86,212):{'3_1':0.03},(86,211):{'3_1':0.03,'4_1':0.0},(86,210):{'3_1':0.06},(86,209):{'3_1':0.06},(86,208):{'3_1':0.06},(86,207):{'3_1':0.06},(86,206):{'3_1':0.06,'5_2':0.0},(86,205):{'3_1':0.03},(86,204):{'3_1':0.03},(86,203):{'3_1':0.06,'5_1':0.0},(86,202):{'3_1':0.06},(86,201):{'3_1':0.0,'4_1':0.0},(86,200):{'3_1':0.03,'4_1':0.0},(86,199):{'3_1':0.03},(86,198):{'3_1':0.0},(86,197):{'3_1':0.0,'4_1':0.0},(86,196):{'3_1':0.0},(86,195):{'3_1':0.0,'4_1':0.0},(86,194):{'3_1':0.0,'4_1':0.0},(86,193):{'3_1':0.03,'4_1':0.0},(86,192):{'3_1':0.06,'4_1':0.0},(86,191):{'3_1':0.0,'5_1':0.0},(86,190):{'3_1':0.0},(86,189):{'3_1':0.0,'4_1':0.0},(86,188):{'3_1':0.0},(86,187):{'3_1':0.0},(86,185):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(86,184):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(86,183):{'3_1':0.0},(86,182):{'3_1':0.03,'4_1':0.0},(86,181):{'3_1':0.0,'4_1':0.0},(86,180):{'3_1':0.03,'4_1':0.0},(86,179):{'3_1':0.0,'4_1':0.0},(86,178):{'3_1':0.0,'4_1':0.0},(86,177):{'3_1':0.0,'6_2':0.0},(86,176):{'3_1':0.0},(86,175):{'3_1':0.0,'4_1':0.0},(86,174):{'3_1':0.0},(86,171):{'3_1':0.0,'4_1':0.0},(86,170):{'4_1':0.0,'3_1':0.0},(86,169):{'3_1':0.0},(86,168):{'3_1':0.0,'4_1':0.0},(86,167):{'3_1':0.0},(86,166):{'4_1':0.0},(86,164):{'6_3':0.0},(86,163):{'3_1':0.0,'4_1':0.0},(86,162):{'3_1':0.0,'4_1':0.0},(86,161):{'3_1':0.0,'4_1':0.0},(86,160):{'3_1':0.0},(86,159):{'3_1':0.0},(86,155):{'3_1':0.0},(86,154):{'3_1':0.0,'6_3':0.0},(86,152):{'3_1':0.0},(86,151):{'4_1':0.0},(86,150):{'3_1':0.0},(86,149):{'3_1':0.0},(86,148):{'3_1':0.0},(86,147):{'3_1':0.0},(86,143):{'3_1':0.0},(86,142):{'3_1':0.0},(86,140):{'3_1':0.0},(86,139):{'3_1':0.0},(86,136):{'3_1':0.0},(86,135):{'3_1':0.0},(86,134):{'3_1':0.0},(86,133):{'3_1':0.03},(86,132):{'3_1':0.0},(86,131):{'3_1':0.0},(86,130):{'3_1':0.0},(86,129):{'3_1':0.0},(86,128):{'3_1':0.0},(86,126):{'3_1':0.0},(86,125):{'3_1':0.0},(86,124):{'3_1':0.0},(86,123):{'3_1':0.0,'5_2':0.0},(86,122):{'3_1':0.03},(86,120):{'3_1':0.0},(86,119):{'3_1':0.0},(86,118):{'3_1':0.0},(86,117):{'3_1':0.0},(86,116):{'3_1':0.0},(86,115):{'3_1':0.0},(86,113):{'3_1':0.0},(86,112):{'3_1':0.0},(86,111):{'3_1':0.0},(87,290):{'3_1':0.09,'5_2':0.0},(87,289):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(87,288):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(87,287):{'3_1':0.15,'4_1':0.03},(87,286):{'3_1':0.12,'4_1':0.0},(87,285):{'3_1':0.18,'5_2':0.03,'4_1':0.0},(87,284):{'3_1':0.12,'4_1':0.0},(87,283):{'3_1':0.18,'4_1':0.03},(87,282):{'3_1':0.18,'5_2':0.0},(87,281):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(87,280):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(87,279):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(87,278):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0},(87,277):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(87,276):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_3':0.0},(87,275):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(87,274):{'3_1':0.15,'4_1':0.0},(87,273):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(87,272):{'3_1':0.12,'4_1':0.0},(87,271):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_5':0.0},(87,270):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(87,269):{'3_1':0.15,'4_1':0.0},(87,268):{'3_1':0.12},(87,267):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(87,266):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(87,265):{'3_1':0.09,'4_1':0.0},(87,264):{'3_1':0.12,'4_1':0.0},(87,263):{'3_1':0.24,'4_1':0.0},(87,262):{'3_1':0.09},(87,261):{'3_1':0.18,'4_1':0.0},(87,260):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(87,259):{'3_1':0.12,'5_2':0.0},(87,258):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(87,257):{'3_1':0.18,'5_2':0.0,'8_20|3_1#3_1':0.0},(87,256):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(87,255):{'3_1':0.18,'5_1':0.0},(87,254):{'3_1':0.12,'4_1':0.0},(87,253):{'3_1':0.12,'4_1':0.0},(87,252):{'3_1':0.18},(87,251):{'3_1':0.06,'5_1':0.0},(87,250):{'3_1':0.12},(87,249):{'3_1':0.03,'6_2':0.0},(87,248):{'3_1':0.06},(87,247):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(87,246):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(87,245):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(87,244):{'3_1':0.09},(87,243):{'3_1':0.09},(87,242):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(87,241):{'3_1':0.09,'4_1':0.0},(87,240):{'3_1':0.09,'4_1':0.0},(87,239):{'3_1':0.03},(87,238):{'3_1':0.06,'4_1':0.0},(87,237):{'3_1':0.03},(87,236):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(87,235):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(87,234):{'3_1':0.09,'6_3':0.0},(87,233):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(87,232):{'3_1':0.03,'4_1':0.0},(87,231):{'3_1':0.06,'6_3':0.0},(87,230):{'3_1':0.0,'5_2':0.0},(87,229):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(87,228):{'3_1':0.06,'4_1':0.0},(87,227):{'3_1':0.06,'4_1':0.0},(87,226):{'3_1':0.06,'4_1':0.0},(87,225):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(87,224):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(87,223):{'3_1':0.03,'4_1':0.0},(87,222):{'3_1':0.06,'4_1':0.0},(87,221):{'3_1':0.03,'4_1':0.0},(87,220):{'3_1':0.09},(87,219):{'3_1':0.0},(87,218):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(87,217):{'3_1':0.03},(87,216):{'3_1':0.0,'4_1':0.0},(87,215):{'3_1':0.03,'4_1':0.0},(87,214):{'3_1':0.03,'4_1':0.0},(87,213):{'3_1':0.0},(87,212):{'3_1':0.03},(87,211):{'3_1':0.03,'5_2':0.0},(87,210):{'3_1':0.0,'4_1':0.0},(87,209):{'3_1':0.0},(87,208):{'3_1':0.03},(87,207):{'3_1':0.03},(87,206):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(87,205):{'3_1':0.06},(87,204):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(87,203):{'3_1':0.03,'5_2':0.0},(87,202):{'3_1':0.0,'5_2':0.0},(87,201):{'3_1':0.03,'4_1':0.0},(87,200):{'3_1':0.03},(87,199):{'3_1':0.03},(87,198):{'3_1':0.0},(87,197):{'3_1':0.0},(87,196):{'3_1':0.0},(87,195):{'3_1':0.0,'4_1':0.0},(87,194):{'3_1':0.06,'4_1':0.0},(87,193):{'3_1':0.03,'4_1':0.0},(87,192):{'3_1':0.0,'4_1':0.0},(87,191):{'3_1':0.03},(87,190):{'3_1':0.06},(87,189):{'3_1':0.0},(87,188):{'3_1':0.03,'4_1':0.0},(87,187):{'4_1':0.0},(87,185):{'3_1':0.0,'8_21|3_1#4_1':0.0},(87,184):{'4_1':0.0},(87,183):{'3_1':0.0},(87,182):{'4_1':0.0},(87,181):{'3_1':0.0,'4_1':0.0},(87,180):{'3_1':0.03},(87,179):{'3_1':0.03,'4_1':0.0},(87,178):{'3_1':0.0},(87,177):{'3_1':0.03,'4_1':0.0},(87,176):{'3_1':0.0},(87,174):{'3_1':0.03},(87,172):{'3_1':0.03},(87,170):{'3_1':0.0,'4_1':0.0},(87,168):{'4_1':0.0},(87,167):{'3_1':0.0},(87,166):{'3_1':0.0},(87,164):{'3_1':0.0},(87,163):{'3_1':0.0},(87,162):{'3_1':0.0},(87,161):{'3_1':0.0},(87,160):{'3_1':0.0},(87,159):{'3_1':0.0},(87,158):{'3_1':0.0,'4_1':0.0},(87,157):{'3_1':0.0},(87,155):{'3_1':0.0},(87,154):{'3_1':0.0},(87,153):{'3_1':0.0},(87,152):{'3_1':0.0},(87,151):{'3_1':0.0},(87,147):{'3_1':0.0},(87,145):{'3_1':0.0},(87,142):{'3_1':0.0,'4_1':0.0},(87,141):{'3_1':0.0},(87,140):{'3_1':0.0},(87,139):{'3_1':0.0},(87,138):{'3_1':0.03},(87,137):{'3_1':0.0},(87,136):{'3_1':0.0},(87,135):{'3_1':0.0},(87,134):{'3_1':0.0},(87,133):{'3_1':0.0,'4_1':0.0},(87,132):{'3_1':0.0},(87,131):{'3_1':0.0},(87,130):{'3_1':0.0,'4_1':0.0},(87,129):{'3_1':0.0,'4_1':0.0},(87,128):{'3_1':0.03,'4_1':0.0},(87,126):{'3_1':0.0,'4_1':0.0},(87,125):{'3_1':0.0},(87,124):{'3_1':0.0},(87,123):{'3_1':0.0},(87,122):{'3_1':0.0},(87,120):{'3_1':0.0},(87,119):{'3_1':0.0},(87,118):{'3_1':0.03},(87,117):{'3_1':0.03},(87,116):{'3_1':0.0},(87,115):{'3_1':0.0},(87,114):{'3_1':0.0},(87,113):{'3_1':0.0},(87,112):{'3_1':0.0},(87,109):{'3_1':0.0},(88,290):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(88,289):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(88,288):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_3':0.0},(88,287):{'3_1':0.21,'5_2':0.03,'4_1':0.0},(88,286):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0},(88,285):{'3_1':0.15,'4_1':0.03},(88,284):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(88,283):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0},(88,282):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_3':0.0},(88,281):{'3_1':0.15,'4_1':0.03,'6_3':0.0},(88,280):{'3_1':0.24,'4_1':0.0,'6_3':0.0},(88,279):{'3_1':0.15,'4_1':0.0},(88,278):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(88,277):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(88,276):{'3_1':0.18,'4_1':0.0},(88,275):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(88,274):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(88,273):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(88,272):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(88,271):{'3_1':0.15,'4_1':0.0},(88,270):{'3_1':0.06},(88,269):{'3_1':0.12,'6_1':0.0},(88,268):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(88,267):{'3_1':0.09,'5_2':0.0},(88,266):{'3_1':0.12,'4_1':0.03},(88,265):{'3_1':0.15},(88,264):{'3_1':0.09,'7_6':0.0},(88,263):{'3_1':0.18,'4_1':0.0},(88,262):{'3_1':0.15,'4_1':0.0},(88,261):{'3_1':0.18},(88,260):{'3_1':0.09},(88,259):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(88,258):{'3_1':0.18,'5_2':0.0,'8_20|3_1#3_1':0.0},(88,257):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(88,256):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(88,255):{'3_1':0.15,'4_1':0.0},(88,254):{'3_1':0.18},(88,253):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(88,252):{'3_1':0.15,'4_1':0.0},(88,251):{'3_1':0.12,'4_1':0.0},(88,250):{'3_1':0.06,'4_1':0.0},(88,249):{'3_1':0.09,'5_1':0.0},(88,248):{'3_1':0.15},(88,247):{'3_1':0.09},(88,246):{'3_1':0.09,'4_1':0.0},(88,245):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(88,244):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(88,243):{'3_1':0.03,'5_1':0.0,'8_11':0.0},(88,242):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(88,241):{'3_1':0.06,'4_1':0.0},(88,240):{'3_1':0.06,'4_1':0.0},(88,239):{'3_1':0.03},(88,238):{'3_1':0.03,'4_1':0.0},(88,237):{'3_1':0.03,'4_1':0.0},(88,236):{'3_1':0.03,'5_2':0.0},(88,235):{'3_1':0.06},(88,234):{'3_1':0.09},(88,233):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(88,232):{'3_1':0.03},(88,231):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(88,230):{'3_1':0.09},(88,229):{'3_1':0.06,'4_1':0.0},(88,228):{'3_1':0.09,'4_1':0.0},(88,227):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(88,226):{'3_1':0.03,'4_1':0.0},(88,225):{'3_1':0.03,'4_1':0.0},(88,224):{'3_1':0.06},(88,223):{'3_1':0.03,'4_1':0.0},(88,222):{'3_1':0.06,'4_1':0.0},(88,221):{'3_1':0.03,'4_1':0.0},(88,220):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(88,219):{'3_1':0.06},(88,218):{'3_1':0.0,'4_1':0.0},(88,217):{'3_1':0.0},(88,216):{'3_1':0.0},(88,215):{'3_1':0.03},(88,214):{'3_1':0.03,'5_2':0.0},(88,213):{'3_1':0.0},(88,212):{'3_1':0.06},(88,211):{'3_1':0.03},(88,210):{'3_1':0.03,'4_1':0.0},(88,209):{'3_1':0.06,'4_1':0.0},(88,208):{'3_1':0.03,'4_1':0.0},(88,207):{'3_1':0.03},(88,206):{'3_1':0.03},(88,205):{'3_1':0.09,'5_2':0.0},(88,204):{'3_1':0.06},(88,203):{'3_1':0.03,'4_1':0.0},(88,202):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(88,201):{'3_1':0.06},(88,200):{'3_1':0.06},(88,199):{'3_1':0.0},(88,198):{'3_1':0.0},(88,197):{'3_1':0.03},(88,196):{'3_1':0.03},(88,195):{'3_1':0.0,'4_1':0.0},(88,194):{'3_1':0.0,'4_1':0.0},(88,193):{'3_1':0.06},(88,192):{'3_1':0.0},(88,191):{'3_1':0.0,'4_1':0.0},(88,190):{'3_1':0.03,'5_2':0.0},(88,189):{'3_1':0.0},(88,188):{'3_1':0.03},(88,187):{'3_1':0.0},(88,186):{'3_1':0.0},(88,185):{'3_1':0.0,'6_3':0.0},(88,184):{'3_1':0.03,'4_1':0.0},(88,183):{'3_1':0.03},(88,182):{'3_1':0.03},(88,181):{'3_1':0.0},(88,180):{'3_1':0.0},(88,179):{'3_1':0.03},(88,178):{'3_1':0.0},(88,177):{'3_1':0.03},(88,176):{'3_1':0.0},(88,175):{'3_1':0.0},(88,174):{'3_1':0.06},(88,173):{'3_1':0.03},(88,172):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(88,171):{'3_1':0.0,'4_1':0.0},(88,170):{'3_1':0.0},(88,169):{'3_1':0.0},(88,168):{'3_1':0.0},(88,167):{'3_1':0.0,'6_3':0.0},(88,166):{'3_1':0.0},(88,164):{'3_1':0.0,'4_1':0.0},(88,163):{'3_1':0.0},(88,162):{'3_1':0.0},(88,160):{'3_1':0.0},(88,159):{'3_1':0.0,'6_3':0.0},(88,158):{'3_1':0.0,'4_1':0.0},(88,157):{'3_1':0.0},(88,156):{'3_1':0.0},(88,155):{'3_1':0.0},(88,154):{'3_1':0.0},(88,153):{'3_1':0.0,'4_1':0.0},(88,152):{'4_1':0.0},(88,151):{'3_1':0.0},(88,150):{'3_1':0.0},(88,149):{'3_1':0.03,'4_1':0.0},(88,148):{'4_1':0.0},(88,147):{'5_2':0.0},(88,146):{'3_1':0.0,'4_1':0.0},(88,145):{'3_1':0.0},(88,144):{'3_1':0.0},(88,143):{'3_1':0.0},(88,141):{'3_1':0.0},(88,140):{'3_1':0.0,'4_1':0.0},(88,139):{'3_1':0.0},(88,138):{'3_1':0.0,'4_1':0.0},(88,137):{'3_1':0.0},(88,136):{'3_1':0.0,'4_1':0.0},(88,135):{'3_1':0.0,'4_1':0.0},(88,134):{'3_1':0.0,'4_1':0.0},(88,133):{'3_1':0.0},(88,132):{'3_1':0.0,'4_1':0.0},(88,131):{'3_1':0.0},(88,130):{'3_1':0.0},(88,129):{'3_1':0.03},(88,128):{'4_1':0.0},(88,127):{'3_1':0.0},(88,126):{'3_1':0.0},(88,125):{'3_1':0.0},(88,124):{'3_1':0.0},(88,123):{'3_1':0.0},(88,122):{'3_1':0.0},(88,121):{'3_1':0.0},(88,120):{'3_1':0.0},(88,119):{'3_1':0.0},(88,118):{'3_1':0.0},(88,117):{'3_1':0.0},(88,114):{'3_1':0.0},(88,112):{'3_1':0.0},(89,290):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(89,289):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(89,288):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'7_2':0.0},(89,287):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(89,286):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'5_1':0.0},(89,285):{'3_1':0.18,'4_1':0.0},(89,284):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(89,283):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(89,282):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(89,281):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(89,280):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(89,279):{'3_1':0.18,'4_1':0.0},(89,278):{'3_1':0.18,'4_1':0.03,'6_3':0.0},(89,277):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(89,276):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(89,275):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(89,274):{'3_1':0.18,'5_2':0.03,'4_1':0.0},(89,273):{'3_1':0.15},(89,272):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(89,271):{'3_1':0.18},(89,270):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0},(89,269):{'3_1':0.09,'4_1':0.0},(89,268):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(89,267):{'3_1':0.12},(89,266):{'3_1':0.18,'4_1':0.0},(89,265):{'3_1':0.18,'4_1':0.0},(89,264):{'3_1':0.18},(89,263):{'3_1':0.15},(89,262):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(89,261):{'3_1':0.18,'4_1':0.0},(89,260):{'3_1':0.21,'5_1':0.0},(89,259):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(89,258):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(89,257):{'3_1':0.21,'5_2':0.0},(89,256):{'3_1':0.15,'4_1':0.0},(89,255):{'3_1':0.18,'4_1':0.0},(89,254):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(89,253):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(89,252):{'3_1':0.12},(89,251):{'3_1':0.06,'4_1':0.0},(89,250):{'3_1':0.15},(89,249):{'3_1':0.06},(89,248):{'3_1':0.15},(89,247):{'3_1':0.12,'5_2':0.0},(89,246):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(89,245):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(89,244):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(89,243):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(89,242):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(89,241):{'3_1':0.03},(89,240):{'3_1':0.09,'5_2':0.0},(89,239):{'3_1':0.03,'4_1':0.0},(89,238):{'3_1':0.03},(89,237):{'3_1':0.06,'4_1':0.0},(89,236):{'3_1':0.03},(89,235):{'3_1':0.0,'4_1':0.0},(89,234):{'3_1':0.0,'4_1':0.0},(89,233):{'3_1':0.03,'4_1':0.0},(89,232):{'3_1':0.03},(89,231):{'3_1':0.06,'4_1':0.0},(89,230):{'3_1':0.06,'4_1':0.0},(89,229):{'3_1':0.06},(89,228):{'3_1':0.03,'4_1':0.03},(89,227):{'3_1':0.0,'4_1':0.0},(89,226):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(89,225):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(89,224):{'3_1':0.06,'4_1':0.03,'8_19':0.0},(89,223):{'3_1':0.03,'5_1':0.0},(89,222):{'3_1':0.03,'4_1':0.03,'8_21|3_1#4_1':0.0},(89,221):{'3_1':0.03,'4_1':0.0},(89,220):{'3_1':0.06,'4_1':0.0},(89,219):{'3_1':0.03,'4_1':0.0},(89,218):{'3_1':0.03},(89,217):{'3_1':0.03},(89,216):{'3_1':0.0},(89,215):{'3_1':0.03},(89,214):{'3_1':0.0},(89,213):{'3_1':0.0},(89,212):{'3_1':0.06,'4_1':0.0},(89,211):{'3_1':0.06},(89,210):{'3_1':0.03},(89,209):{'3_1':0.06},(89,208):{'3_1':0.03},(89,207):{'3_1':0.03,'4_1':0.0},(89,206):{'3_1':0.03},(89,205):{'3_1':0.06},(89,204):{'3_1':0.06,'4_1':0.0},(89,203):{'3_1':0.06,'5_2':0.0},(89,202):{'3_1':0.06},(89,201):{'3_1':0.03,'4_1':0.0},(89,200):{'3_1':0.03,'5_1':0.0},(89,199):{'3_1':0.0},(89,198):{'3_1':0.03},(89,197):{'3_1':0.0},(89,196):{'3_1':0.03},(89,195):{'3_1':0.0},(89,194):{'3_1':0.09},(89,193):{'3_1':0.03,'4_1':0.0},(89,192):{'3_1':0.03},(89,191):{'3_1':0.0,'4_1':0.0},(89,190):{'3_1':0.0},(89,189):{'3_1':0.0},(89,188):{'3_1':0.03,'4_1':0.0},(89,187):{'3_1':0.03},(89,185):{'3_1':0.03},(89,184):{'3_1':0.0,'4_1':0.0},(89,183):{'3_1':0.03},(89,182):{'3_1':0.0,'4_1':0.0},(89,181):{'3_1':0.03},(89,180):{'3_1':0.06},(89,179):{'3_1':0.03},(89,178):{'3_1':0.03},(89,177):{'3_1':0.06},(89,176):{'3_1':0.03,'4_1':0.0},(89,175):{'3_1':0.06},(89,174):{'3_1':0.0},(89,173):{'3_1':0.0},(89,172):{'3_1':0.03},(89,171):{'3_1':0.0},(89,170):{'3_1':0.0,'4_1':0.0},(89,169):{'3_1':0.0},(89,167):{'3_1':0.0},(89,166):{'3_1':0.0,'5_2':0.0},(89,164):{'3_1':0.0},(89,163):{'3_1':0.0},(89,162):{'4_1':0.0},(89,161):{'3_1':0.0},(89,160):{'3_1':0.0},(89,159):{'3_1':0.0},(89,158):{'3_1':0.0,'5_1':0.0},(89,157):{'3_1':0.0},(89,156):{'3_1':0.0},(89,155):{'3_1':0.0},(89,153):{'3_1':0.0},(89,150):{'3_1':0.03},(89,149):{'3_1':0.0},(89,148):{'3_1':0.0},(89,147):{'3_1':0.0},(89,146):{'4_1':0.0,'5_1':0.0},(89,145):{'3_1':0.0},(89,143):{'3_1':0.0},(89,142):{'3_1':0.0},(89,141):{'3_1':0.0,'4_1':0.0},(89,139):{'3_1':0.0},(89,138):{'3_1':0.0},(89,137):{'3_1':0.0},(89,134):{'3_1':0.0},(89,133):{'3_1':0.0},(89,132):{'3_1':0.0},(89,131):{'3_1':0.0},(89,130):{'3_1':0.0},(89,129):{'3_1':0.0},(89,128):{'3_1':0.0},(89,125):{'3_1':0.0},(89,124):{'3_1':0.0},(89,123):{'3_1':0.0},(89,121):{'3_1':0.0},(89,120):{'3_1':0.0},(89,119):{'3_1':0.0},(89,117):{'3_1':0.0},(89,116):{'3_1':0.03},(89,114):{'3_1':0.0},(89,112):{'3_1':0.0},(89,111):{'3_1':0.0},(89,108):{'3_1':0.0},(90,290):{'3_1':0.21,'4_1':0.0},(90,289):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(90,288):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_3':0.0},(90,287):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(90,286):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(90,285):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(90,284):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(90,283):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(90,282):{'3_1':0.12,'4_1':0.0},(90,281):{'3_1':0.09,'6_3':0.0,'4_1':0.0,'5_2':0.0},(90,280):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'-3':0.0},(90,279):{'3_1':0.18,'4_1':0.03,'6_3':0.0,'8_16':0.0},(90,278):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(90,277):{'3_1':0.09,'6_3':0.0},(90,276):{'3_1':0.18,'4_1':0.0},(90,275):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(90,274):{'3_1':0.12,'4_1':0.03},(90,273):{'3_1':0.21,'4_1':0.0},(90,272):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(90,271):{'3_1':0.12,'6_1':0.0},(90,270):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(90,269):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(90,268):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(90,267):{'3_1':0.15},(90,266):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0},(90,265):{'3_1':0.15,'5_2':0.0},(90,264):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(90,263):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(90,262):{'3_1':0.12},(90,261):{'3_1':0.15},(90,260):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(90,259):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(90,258):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(90,257):{'3_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(90,256):{'3_1':0.12,'5_2':0.0},(90,255):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(90,254):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(90,253):{'3_1':0.15,'4_1':0.0},(90,252):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(90,251):{'3_1':0.09,'4_1':0.0},(90,250):{'3_1':0.18,'4_1':0.0},(90,249):{'3_1':0.09},(90,248):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(90,247):{'3_1':0.12,'4_1':0.0},(90,246):{'3_1':0.12},(90,245):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(90,244):{'3_1':0.12},(90,243):{'3_1':0.06},(90,242):{'3_1':0.06,'4_1':0.0},(90,241):{'3_1':0.06,'4_1':0.0},(90,240):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(90,239):{'3_1':0.06},(90,238):{'3_1':0.03},(90,237):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(90,236):{'3_1':0.06,'4_1':0.0},(90,235):{'3_1':0.06,'4_1':0.0},(90,234):{'3_1':0.03},(90,233):{'3_1':0.03,'4_1':0.0},(90,232):{'3_1':0.03},(90,231):{'3_1':0.06,'4_1':0.0},(90,230):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(90,229):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(90,228):{'3_1':0.03,'4_1':0.0},(90,227):{'3_1':0.03,'4_1':0.0},(90,226):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(90,225):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(90,224):{'3_1':0.06,'4_1':0.0},(90,223):{'3_1':0.09,'4_1':0.0},(90,222):{'3_1':0.06,'5_2':0.0},(90,221):{'3_1':0.03,'4_1':0.0},(90,220):{'3_1':0.09},(90,219):{'3_1':0.03,'4_1':0.0},(90,218):{'3_1':0.03,'4_1':0.0},(90,217):{'3_1':0.06},(90,216):{'3_1':0.0},(90,215):{'3_1':0.06,'4_1':0.0},(90,214):{'3_1':0.03},(90,213):{'3_1':0.0,'4_1':0.0},(90,212):{'3_1':0.06,'8_20|3_1#3_1':0.0},(90,211):{'3_1':0.06},(90,210):{'3_1':0.03},(90,209):{'3_1':0.06},(90,208):{'3_1':0.03},(90,207):{'3_1':0.0},(90,206):{'3_1':0.06,'5_2':0.0},(90,205):{'3_1':0.03},(90,204):{'3_1':0.03},(90,203):{'3_1':0.12,'5_2':0.0},(90,202):{'3_1':0.0},(90,201):{'3_1':0.03,'4_1':0.0},(90,200):{'3_1':0.06},(90,199):{'3_1':0.0},(90,198):{'3_1':0.03,'4_1':0.0},(90,197):{'3_1':0.0},(90,196):{'3_1':0.03},(90,194):{'3_1':0.0,'4_1':0.0},(90,193):{'3_1':0.03},(90,192):{'3_1':0.03},(90,191):{'3_1':0.0},(90,190):{'3_1':0.0},(90,189):{'3_1':0.0},(90,188):{'3_1':0.03},(90,187):{'3_1':0.0,'4_1':0.0},(90,186):{'3_1':0.0,'4_1':0.0},(90,185):{'3_1':0.03,'4_1':0.0},(90,184):{'3_1':0.03},(90,183):{'3_1':0.0},(90,182):{'3_1':0.0},(90,181):{'3_1':0.0,'5_2':0.0},(90,180):{'3_1':0.03},(90,179):{'3_1':0.03},(90,178):{'3_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(90,177):{'3_1':0.0},(90,176):{'3_1':0.0},(90,175):{'3_1':0.0},(90,172):{'3_1':0.03,'4_1':0.0},(90,171):{'3_1':0.0,'4_1':0.0},(90,170):{'3_1':0.0},(90,169):{'3_1':0.03},(90,168):{'3_1':0.0},(90,167):{'3_1':0.03,'4_1':0.0},(90,166):{'3_1':0.0},(90,164):{'3_1':0.0},(90,163):{'3_1':0.0},(90,162):{'3_1':0.0},(90,161):{'3_1':0.0},(90,160):{'3_1':0.0},(90,159):{'4_1':0.0},(90,158):{'3_1':0.0},(90,157):{'3_1':0.0},(90,156):{'3_1':0.03},(90,155):{'3_1':0.0},(90,154):{'3_1':0.0},(90,153):{'4_1':0.0},(90,152):{'3_1':0.0},(90,151):{'3_1':0.0},(90,150):{'4_1':0.0},(90,148):{'3_1':0.0},(90,147):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(90,145):{'3_1':0.0,'4_1':0.0},(90,144):{'3_1':0.0},(90,143):{'3_1':0.0,'5_2':0.0},(90,142):{'3_1':0.0},(90,141):{'3_1':0.0},(90,140):{'3_1':0.0},(90,138):{'4_1':0.0},(90,136):{'3_1':0.0},(90,134):{'3_1':0.0},(90,133):{'3_1':0.0,'4_1':0.0},(90,132):{'3_1':0.0},(90,130):{'3_1':0.0,'4_1':0.0},(90,129):{'3_1':0.0},(90,128):{'3_1':0.0},(90,127):{'3_1':0.0},(90,125):{'3_1':0.0},(90,124):{'3_1':0.0},(90,123):{'3_1':0.0},(90,122):{'3_1':0.0},(90,121):{'3_1':0.0},(90,120):{'3_1':0.0},(90,118):{'3_1':0.0},(90,117):{'3_1':0.03},(90,115):{'3_1':0.0},(90,114):{'3_1':0.0},(90,113):{'3_1':0.0},(90,109):{'3_1':0.0},(91,290):{'3_1':0.21,'5_2':0.0},(91,289):{'3_1':0.24,'4_1':0.03,'5_2':0.0},(91,288):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(91,287):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(91,286):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'6_3':0.0},(91,285):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(91,284):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(91,283):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(91,282):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(91,281):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(91,280):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_3':0.0,'-3':0.0},(91,279):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(91,278):{'3_1':0.21,'4_1':0.0,'6_2':0.0,'6_3':0.0},(91,277):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(91,276):{'3_1':0.18,'4_1':0.0},(91,275):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(91,274):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(91,273):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(91,272):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(91,271):{'3_1':0.09,'4_1':0.0},(91,270):{'3_1':0.18,'4_1':0.0},(91,269):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(91,268):{'3_1':0.24},(91,267):{'3_1':0.18,'4_1':0.0},(91,266):{'3_1':0.12},(91,265):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(91,264):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(91,263):{'3_1':0.15},(91,262):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(91,261):{'3_1':0.15,'4_1':0.0},(91,260):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(91,259):{'3_1':0.21,'8_20|3_1#3_1':0.0},(91,258):{'3_1':0.15,'5_1':0.0},(91,257):{'3_1':0.15},(91,256):{'3_1':0.15},(91,255):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(91,254):{'3_1':0.21,'5_2':0.0},(91,253):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(91,252):{'3_1':0.12,'5_2':0.0},(91,251):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(91,250):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(91,249):{'3_1':0.12},(91,248):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(91,247):{'3_1':0.12,'4_1':0.0},(91,246):{'3_1':0.15,'4_1':0.0},(91,245):{'3_1':0.15},(91,244):{'3_1':0.15},(91,243):{'3_1':0.15},(91,242):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(91,241):{'3_1':0.06,'5_2':0.0},(91,240):{'3_1':0.06,'5_2':0.0},(91,238):{'3_1':0.03},(91,237):{'3_1':0.0},(91,236):{'3_1':0.06,'4_1':0.0},(91,235):{'3_1':0.03},(91,234):{'3_1':0.06,'4_1':0.0},(91,233):{'3_1':0.06},(91,232):{'3_1':0.0,'4_1':0.0},(91,231):{'3_1':0.03,'4_1':0.0},(91,230):{'3_1':0.06,'4_1':0.0},(91,229):{'3_1':0.06},(91,228):{'4_1':0.0,'3_1':0.0},(91,227):{'3_1':0.03,'4_1':0.0},(91,226):{'3_1':0.0},(91,225):{'3_1':0.03,'4_1':0.03},(91,224):{'3_1':0.0,'4_1':0.0},(91,223):{'3_1':0.06,'4_1':0.0},(91,222):{'3_1':0.06,'4_1':0.0},(91,221):{'3_1':0.06,'4_1':0.0},(91,220):{'3_1':0.03},(91,219):{'3_1':0.03},(91,218):{'3_1':0.0,'4_1':0.0},(91,217):{'3_1':0.03,'4_1':0.0},(91,216):{'3_1':0.0},(91,215):{'3_1':0.03,'4_1':0.0},(91,214):{'3_1':0.0,'4_1':0.0},(91,213):{'3_1':0.0},(91,212):{'3_1':0.0,'4_1':0.0},(91,211):{'3_1':0.03},(91,210):{'3_1':0.03},(91,209):{'3_1':0.03,'5_2':0.0},(91,208):{'3_1':0.06,'4_1':0.0},(91,207):{'3_1':0.09},(91,206):{'3_1':0.0,'4_1':0.0},(91,205):{'3_1':0.06},(91,204):{'3_1':0.06,'4_1':0.0},(91,203):{'3_1':0.12,'5_2':0.0},(91,202):{'3_1':0.03},(91,201):{'3_1':0.03},(91,200):{'3_1':0.03},(91,199):{'3_1':0.0},(91,198):{'3_1':0.09},(91,197):{'3_1':0.03},(91,196):{'3_1':0.0},(91,195):{'3_1':0.0},(91,194):{'3_1':0.03},(91,193):{'3_1':0.0},(91,192):{'3_1':0.0},(91,191):{'3_1':0.0},(91,190):{'3_1':0.0,'4_1':0.0},(91,189):{'3_1':0.03,'4_1':0.0},(91,188):{'3_1':0.03,'4_1':0.0},(91,187):{'3_1':0.0},(91,186):{'3_1':0.0},(91,185):{'3_1':0.0},(91,184):{'3_1':0.0},(91,183):{'3_1':0.03},(91,181):{'3_1':0.0},(91,180):{'3_1':0.0},(91,179):{'3_1':0.03},(91,178):{'3_1':0.0},(91,177):{'3_1':0.03},(91,176):{'3_1':0.0},(91,175):{'3_1':0.0},(91,174):{'3_1':0.0},(91,173):{'3_1':0.0},(91,172):{'3_1':0.0},(91,170):{'3_1':0.0},(91,169):{'4_1':0.0},(91,166):{'3_1':0.0},(91,157):{'3_1':0.0},(91,156):{'3_1':0.03},(91,155):{'3_1':0.0},(91,153):{'3_1':0.0},(91,149):{'3_1':0.0},(91,148):{'3_1':0.0},(91,147):{'4_1':0.0,'5_2':0.0},(91,146):{'4_1':0.0},(91,145):{'4_1':0.0},(91,143):{'3_1':0.0},(91,141):{'3_1':0.0},(91,126):{'3_1':0.0},(91,125):{'3_1':0.0},(91,114):{'3_1':0.0},(91,113):{'5_1':0.0},(91,112):{'3_1':0.0},(91,110):{'3_1':0.0},(91,107):{'3_1':0.0},(91,106):{'3_1':0.0},(92,290):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(92,289):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(92,288):{'3_1':0.15,'6_3':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_7':0.0},(92,287):{'3_1':0.12,'5_1':0.0},(92,286):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(92,285):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0},(92,284):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(92,283):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_8':0.0},(92,282):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(92,281):{'3_1':0.12,'4_1':0.0},(92,280):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(92,279):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(92,278):{'3_1':0.15,'4_1':0.0},(92,277):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(92,276):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(92,275):{'3_1':0.15,'4_1':0.03,'6_3':0.0},(92,274):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(92,273):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(92,272):{'3_1':0.15,'5_2':0.0,'6_1':0.0},(92,271):{'3_1':0.15},(92,270):{'3_1':0.12,'4_1':0.0},(92,269):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(92,268):{'3_1':0.15,'5_2':0.0},(92,267):{'3_1':0.12},(92,266):{'3_1':0.15,'4_1':0.0},(92,265):{'3_1':0.18,'5_2':0.0},(92,264):{'3_1':0.06},(92,263):{'3_1':0.12},(92,262):{'3_1':0.12,'6_1':0.0},(92,261):{'3_1':0.18,'4_1':0.0},(92,260):{'3_1':0.18,'4_1':0.0},(92,259):{'3_1':0.15},(92,258):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(92,257):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(92,256):{'3_1':0.15},(92,255):{'3_1':0.12,'5_2':0.0},(92,254):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(92,253):{'3_1':0.09,'5_2':0.0},(92,252):{'3_1':0.12},(92,251):{'3_1':0.06,'5_2':0.0},(92,250):{'3_1':0.12},(92,249):{'3_1':0.09},(92,248):{'3_1':0.18,'4_1':0.0},(92,247):{'3_1':0.09,'4_1':0.0},(92,246):{'3_1':0.15},(92,245):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(92,244):{'3_1':0.06,'4_1':0.0},(92,243):{'3_1':0.15},(92,242):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(92,241):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(92,240):{'3_1':0.09},(92,239):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(92,238):{'3_1':0.03,'4_1':0.0},(92,237):{'3_1':0.03},(92,236):{'3_1':0.0},(92,235):{'3_1':0.03,'4_1':0.0},(92,234):{'3_1':0.03,'4_1':0.0},(92,233):{'3_1':0.03},(92,232):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(92,231):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(92,230):{'3_1':0.09,'4_1':0.0},(92,229):{'3_1':0.06},(92,228):{'3_1':0.06,'4_1':0.0},(92,227):{'3_1':0.03,'4_1':0.0},(92,226):{'3_1':0.03,'4_1':0.0},(92,225):{'3_1':0.03},(92,224):{'3_1':0.06},(92,223):{'3_1':0.06,'4_1':0.0},(92,222):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(92,221):{'3_1':0.03},(92,220):{'3_1':0.09,'4_1':0.0},(92,219):{'3_1':0.03,'4_1':0.0},(92,218):{'3_1':0.03},(92,217):{'3_1':0.03,'4_1':0.0},(92,216):{'3_1':0.0},(92,215):{'3_1':0.03},(92,214):{'3_1':0.0},(92,213):{'3_1':0.06},(92,212):{'4_1':0.0,'3_1':0.0},(92,211):{'3_1':0.03},(92,210):{'3_1':0.0},(92,209):{'3_1':0.03},(92,208):{'3_1':0.0},(92,207):{'3_1':0.0,'4_1':0.0},(92,206):{'3_1':0.0},(92,205):{'3_1':0.06,'5_2':0.0},(92,204):{'3_1':0.0,'4_1':0.0},(92,203):{'3_1':0.03},(92,202):{'3_1':0.03,'4_1':0.0},(92,201):{'3_1':0.03},(92,200):{'3_1':0.0},(92,199):{'3_1':0.0,'4_1':0.0},(92,198):{'3_1':0.0,'5_2':0.0},(92,197):{'3_1':0.0,'4_1':0.0},(92,196):{'3_1':0.0,'4_1':0.0},(92,195):{'3_1':0.0},(92,194):{'3_1':0.0},(92,193):{'3_1':0.0},(92,192):{'3_1':0.0,'4_1':0.0},(92,191):{'3_1':0.0,'4_1':0.0},(92,190):{'3_1':0.0},(92,189):{'3_1':0.0,'4_1':0.0},(92,188):{'3_1':0.0},(92,187):{'3_1':0.0},(92,186):{'3_1':0.0,'5_2':0.0},(92,185):{'3_1':0.0},(92,184):{'3_1':0.03,'4_1':0.0},(92,183):{'3_1':0.0,'4_1':0.0},(92,182):{'3_1':0.0},(92,181):{'3_1':0.03},(92,180):{'3_1':0.03,'4_1':0.0},(92,179):{'3_1':0.0,'4_1':0.0},(92,178):{'3_1':0.03},(92,177):{'3_1':0.0,'4_1':0.0},(92,176):{'3_1':0.0},(92,175):{'3_1':0.0},(92,173):{'3_1':0.0},(92,172):{'3_1':0.0},(92,171):{'3_1':0.0},(92,170):{'3_1':0.0},(92,169):{'4_1':0.0},(92,167):{'3_1':0.0},(92,165):{'3_1':0.0},(92,164):{'3_1':0.0},(92,163):{'3_1':0.0},(92,162):{'3_1':0.0},(92,160):{'3_1':0.0},(92,159):{'3_1':0.0},(92,158):{'3_1':0.0},(92,157):{'3_1':0.0,'4_1':0.0},(92,155):{'4_1':0.0},(92,154):{'3_1':0.0},(92,149):{'3_1':0.0},(92,148):{'3_1':0.0},(92,147):{'3_1':0.0},(92,146):{'3_1':0.0},(92,145):{'3_1':0.0},(92,143):{'3_1':0.0},(92,142):{'3_1':0.0},(92,140):{'3_1':0.0},(92,138):{'3_1':0.0},(92,135):{'3_1':0.0},(92,121):{'3_1':0.0},(92,114):{'3_1':0.0},(92,113):{'3_1':0.0},(92,112):{'3_1':0.0},(92,111):{'3_1':0.0},(92,108):{'3_1':0.0},(93,290):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(93,289):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(93,288):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(93,287):{'3_1':0.15,'6_3':0.0,'-3':0.0},(93,286):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_5':0.0},(93,285):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(93,284):{'3_1':0.12,'4_1':0.0},(93,283):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(93,282):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(93,281):{'3_1':0.18,'6_3':0.0,'4_1':0.0},(93,280):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(93,279):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(93,278):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(93,277):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(93,276):{'3_1':0.09,'5_2':0.03,'6_3':0.0,'-3':0.0},(93,275):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_3':0.0},(93,274):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0,'5_2':0.0},(93,273):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(93,272):{'3_1':0.15},(93,271):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(93,270):{'3_1':0.09,'5_2':0.0},(93,269):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(93,268):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(93,267):{'3_1':0.06,'4_1':0.0},(93,266):{'3_1':0.09,'5_2':0.0},(93,265):{'3_1':0.15},(93,264):{'3_1':0.06,'5_2':0.0},(93,263):{'3_1':0.12},(93,262):{'3_1':0.09},(93,261):{'3_1':0.06},(93,260):{'3_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0},(93,259):{'3_1':0.12,'5_2':0.0},(93,258):{'3_1':0.09,'5_2':0.0},(93,257):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(93,256):{'3_1':0.12},(93,255):{'3_1':0.09},(93,254):{'3_1':0.09,'5_2':0.0},(93,253):{'3_1':0.06},(93,252):{'3_1':0.18},(93,251):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(93,250):{'3_1':0.12},(93,249):{'3_1':0.03,'5_2':0.0},(93,248):{'3_1':0.09},(93,247):{'3_1':0.15},(93,246):{'3_1':0.15},(93,245):{'3_1':0.15,'4_1':0.0},(93,244):{'3_1':0.12},(93,243):{'3_1':0.09,'5_2':0.0},(93,242):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(93,241):{'3_1':0.03},(93,240):{'3_1':0.09,'4_1':0.0},(93,239):{'3_1':0.0,'4_1':0.0},(93,237):{'3_1':0.06},(93,236):{'3_1':0.0},(93,235):{'3_1':0.0,'4_1':0.0},(93,234):{'3_1':0.03,'4_1':0.0},(93,233):{'3_1':0.06},(93,232):{'3_1':0.03},(93,231):{'3_1':0.09,'4_1':0.0},(93,230):{'3_1':0.06},(93,229):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(93,228):{'3_1':0.03,'4_1':0.0},(93,227):{'3_1':0.06,'4_1':0.03},(93,226):{'3_1':0.03,'4_1':0.0},(93,225):{'3_1':0.06,'4_1':0.0},(93,224):{'3_1':0.03,'4_1':0.0},(93,223):{'3_1':0.03,'4_1':0.0},(93,222):{'3_1':0.03,'4_1':0.0},(93,221):{'3_1':0.03,'4_1':0.0},(93,220):{'3_1':0.06,'4_1':0.0},(93,219):{'3_1':0.03,'5_2':0.0},(93,218):{'3_1':0.03,'4_1':0.0},(93,217):{'3_1':0.0,'4_1':0.0},(93,216):{'3_1':0.03},(93,215):{'3_1':0.0},(93,214):{'3_1':0.06},(93,213):{'3_1':0.03,'4_1':0.0},(93,212):{'3_1':0.0},(93,211):{'3_1':0.06},(93,210):{'3_1':0.0},(93,209):{'3_1':0.06},(93,208):{'3_1':0.03,'5_1':0.0},(93,207):{'3_1':0.0},(93,206):{'3_1':0.03},(93,205):{'3_1':0.03},(93,204):{'3_1':0.03},(93,203):{'3_1':0.06,'4_1':0.0},(93,202):{'3_1':0.03,'4_1':0.0},(93,201):{'3_1':0.0,'4_1':0.0},(93,200):{'3_1':0.0},(93,199):{'3_1':0.03},(93,198):{'3_1':0.0},(93,197):{'3_1':0.0},(93,196):{'3_1':0.0,'4_1':0.0},(93,195):{'3_1':0.03,'4_1':0.0},(93,194):{'3_1':0.0},(93,193):{'3_1':0.0,'4_1':0.0},(93,192):{'3_1':0.0},(93,191):{'3_1':0.03},(93,190):{'3_1':0.0},(93,189):{'3_1':0.0,'4_1':0.0},(93,188):{'3_1':0.0},(93,187):{'3_1':0.0},(93,186):{'3_1':0.0},(93,185):{'3_1':0.06},(93,184):{'3_1':0.0},(93,183):{'3_1':0.03,'5_1':0.0},(93,182):{'3_1':0.0},(93,181):{'3_1':0.0},(93,180):{'3_1':0.0},(93,179):{'3_1':0.0,'4_1':0.0},(93,178):{'3_1':0.06},(93,177):{'3_1':0.0},(93,175):{'3_1':0.0},(93,174):{'3_1':0.0},(93,173):{'3_1':0.0},(93,172):{'4_1':0.0,'3_1':0.0},(93,170):{'3_1':0.0},(93,168):{'3_1':0.0},(93,167):{'4_1':0.0},(93,166):{'3_1':0.0},(93,165):{'3_1':0.0},(93,164):{'3_1':0.0},(93,162):{'3_1':0.0},(93,161):{'3_1':0.0},(93,160):{'3_1':0.0},(93,159):{'3_1':0.0},(93,158):{'3_1':0.0},(93,157):{'3_1':0.0},(93,156):{'4_1':0.0},(93,155):{'3_1':0.0},(93,154):{'3_1':0.0},(93,153):{'3_1':0.0},(93,151):{'4_1':0.0},(93,150):{'3_1':0.0},(93,149):{'3_1':0.0},(93,146):{'4_1':0.0},(93,145):{'3_1':0.0},(93,144):{'3_1':0.0},(93,142):{'3_1':0.0},(93,139):{'3_1':0.0},(93,138):{'3_1':0.0},(93,135):{'3_1':0.0},(93,131):{'3_1':0.0},(93,127):{'3_1':0.0},(93,124):{'3_1':0.0},(93,123):{'3_1':0.0},(93,113):{'3_1':0.0},(93,110):{'3_1':0.0},(93,109):{'3_1':0.0},(93,107):{'3_1':0.0},(94,290):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(94,289):{'3_1':0.12,'5_2':0.0},(94,288):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(94,287):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(94,286):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(94,285):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(94,284):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(94,283):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(94,282):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(94,281):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(94,280):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(94,279):{'3_1':0.09,'4_1':0.0},(94,278):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(94,277):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(94,276):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(94,275):{'3_1':0.12,'4_1':0.0},(94,274):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(94,273):{'3_1':0.09,'4_1':0.0},(94,272):{'3_1':0.06,'5_2':0.0},(94,271):{'3_1':0.06,'4_1':0.0},(94,270):{'3_1':0.09,'4_1':0.0},(94,269):{'3_1':0.03,'4_1':0.0},(94,268):{'3_1':0.15,'4_1':0.0},(94,267):{'3_1':0.12},(94,266):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(94,265):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(94,264):{'3_1':0.06,'4_1':0.0},(94,263):{'3_1':0.12,'5_2':0.0},(94,262):{'3_1':0.15},(94,261):{'3_1':0.12},(94,260):{'3_1':0.12,'4_1':0.0},(94,259):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(94,258):{'3_1':0.15,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0},(94,257):{'3_1':0.21,'5_2':0.0},(94,256):{'3_1':0.09,'5_2':0.0},(94,255):{'3_1':0.09,'4_1':0.0},(94,254):{'3_1':0.09,'5_2':0.0},(94,253):{'3_1':0.12,'5_2':0.0},(94,252):{'3_1':0.09,'4_1':0.0},(94,251):{'3_1':0.09},(94,250):{'3_1':0.09},(94,249):{'3_1':0.03,'4_1':0.0},(94,248):{'3_1':0.15,'5_2':0.0},(94,247):{'3_1':0.03,'4_1':0.0},(94,246):{'3_1':0.09,'4_1':0.0},(94,245):{'3_1':0.09},(94,244):{'3_1':0.09},(94,243):{'3_1':0.06,'5_2':0.0},(94,242):{'3_1':0.03,'4_1':0.0},(94,241):{'3_1':0.06,'5_2':0.0},(94,240):{'3_1':0.06},(94,239):{'3_1':0.0,'4_1':0.0},(94,238):{'3_1':0.03},(94,237):{'3_1':0.03,'4_1':0.0},(94,236):{'3_1':0.03,'4_1':0.0},(94,235):{'3_1':0.0},(94,234):{'3_1':0.06},(94,233):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(94,232):{'3_1':0.03,'4_1':0.0},(94,231):{'3_1':0.06,'4_1':0.0},(94,230):{'3_1':0.03,'4_1':0.0},(94,229):{'3_1':0.03,'6_1':0.0},(94,228):{'3_1':0.06,'4_1':0.0},(94,227):{'3_1':0.03},(94,226):{'3_1':0.03,'6_3':0.0},(94,225):{'3_1':0.06},(94,224):{'3_1':0.06,'4_1':0.0},(94,223):{'3_1':0.03,'6_3':0.0},(94,222):{'3_1':0.03,'4_1':0.0},(94,221):{'3_1':0.0,'4_1':0.0},(94,220):{'3_1':0.0,'4_1':0.0},(94,219):{'3_1':0.03},(94,218):{'3_1':0.03},(94,217):{'3_1':0.03,'4_1':0.0},(94,216):{'3_1':0.0,'4_1':0.0},(94,215):{'4_1':0.0},(94,214):{'3_1':0.03,'4_1':0.0},(94,213):{'3_1':0.03,'4_1':0.0},(94,212):{'3_1':0.03},(94,211):{'3_1':0.0},(94,210):{'3_1':0.03},(94,209):{'3_1':0.03},(94,208):{'3_1':0.06},(94,207):{'3_1':0.0,'4_1':0.0},(94,206):{'4_1':0.03,'3_1':0.0},(94,205):{'3_1':0.03,'4_1':0.0},(94,204):{'3_1':0.0},(94,203):{'3_1':0.03,'4_1':0.0},(94,202):{'3_1':0.0},(94,201):{'3_1':0.03,'4_1':0.0},(94,200):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(94,199):{'3_1':0.03},(94,198):{'3_1':0.0,'4_1':0.0},(94,196):{'3_1':0.0},(94,195):{'3_1':0.0},(94,193):{'3_1':0.0},(94,192):{'3_1':0.0},(94,191):{'3_1':0.03},(94,189):{'3_1':0.0},(94,188):{'3_1':0.06},(94,187):{'3_1':0.0},(94,186):{'3_1':0.0,'4_1':0.0},(94,185):{'3_1':0.0,'4_1':0.0},(94,184):{'3_1':0.0},(94,183):{'3_1':0.0},(94,182):{'3_1':0.0},(94,181):{'3_1':0.0},(94,180):{'3_1':0.0},(94,179):{'3_1':0.0,'4_1':0.0},(94,178):{'3_1':0.0},(94,177):{'3_1':0.0,'5_2':0.0},(94,176):{'3_1':0.0,'4_1':0.0},(94,174):{'3_1':0.0},(94,171):{'3_1':0.0},(94,170):{'3_1':0.0},(94,169):{'3_1':0.0},(94,168):{'3_1':0.0},(94,167):{'3_1':0.0},(94,166):{'3_1':0.0},(94,165):{'3_1':0.0},(94,163):{'4_1':0.0},(94,162):{'3_1':0.0},(94,161):{'3_1':0.0},(94,160):{'3_1':0.0},(94,158):{'3_1':0.0},(94,157):{'3_1':0.0},(94,156):{'3_1':0.0},(94,155):{'4_1':0.0},(94,153):{'3_1':0.0},(94,150):{'3_1':0.0},(94,149):{'3_1':0.0},(94,148):{'3_1':0.0},(94,147):{'3_1':0.0},(94,146):{'3_1':0.0,'4_1':0.0},(94,139):{'3_1':0.0},(94,138):{'3_1':0.0},(94,135):{'3_1':0.0},(94,111):{'3_1':0.0},(94,109):{'3_1':0.0},(95,290):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(95,289):{'3_1':0.15,'4_1':0.0,'8_19':0.0},(95,288):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(95,287):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(95,286):{'3_1':0.12,'4_1':0.03},(95,285):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(95,284):{'3_1':0.06,'4_1':0.0},(95,283):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(95,282):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(95,281):{'3_1':0.15,'8_21|3_1#4_1':0.0},(95,280):{'3_1':0.06,'4_1':0.03},(95,279):{'3_1':0.03,'4_1':0.0},(95,278):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(95,277):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(95,276):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_14':0.0},(95,275):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(95,274):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(95,273):{'3_1':0.12,'4_1':0.0},(95,272):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(95,271):{'3_1':0.09,'5_2':0.0},(95,270):{'3_1':0.06,'8_20|3_1#3_1':0.0},(95,269):{'3_1':0.09,'4_1':0.0},(95,268):{'3_1':0.06,'5_2':0.0},(95,267):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(95,266):{'3_1':0.06},(95,265):{'3_1':0.12,'5_2':0.0},(95,264):{'3_1':0.03,'4_1':0.0},(95,263):{'3_1':0.06,'4_1':0.0},(95,262):{'3_1':0.06},(95,261):{'3_1':0.09},(95,260):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(95,259):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(95,258):{'3_1':0.21,'5_2':0.0,'8_20|3_1#3_1':0.0},(95,257):{'3_1':0.15,'8_20|3_1#3_1':0.0},(95,256):{'3_1':0.09},(95,255):{'3_1':0.09},(95,254):{'3_1':0.06,'6_1':0.0},(95,253):{'3_1':0.03,'4_1':0.0},(95,252):{'3_1':0.06,'4_1':0.0},(95,251):{'3_1':0.09,'4_1':0.0},(95,250):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(95,249):{'3_1':0.06,'4_1':0.0},(95,248):{'3_1':0.15},(95,247):{'3_1':0.09},(95,246):{'3_1':0.06,'4_1':0.0},(95,245):{'3_1':0.03},(95,244):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(95,243):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(95,242):{'3_1':0.03,'4_1':0.0},(95,241):{'3_1':0.03},(95,240):{'3_1':0.03},(95,239):{'3_1':0.0,'4_1':0.0},(95,238):{'3_1':0.03,'4_1':0.0},(95,237):{'3_1':0.03},(95,236):{'3_1':0.03},(95,235):{'3_1':0.03},(95,234):{'3_1':0.06},(95,233):{'3_1':0.03,'4_1':0.0},(95,232):{'3_1':0.06,'4_1':0.0},(95,231):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(95,230):{'3_1':0.03},(95,229):{'3_1':0.03,'4_1':0.0},(95,228):{'3_1':0.03,'4_1':0.0},(95,227):{'3_1':0.0,'4_1':0.0},(95,226):{'3_1':0.09,'4_1':0.0},(95,225):{'3_1':0.06,'4_1':0.0},(95,224):{'3_1':0.12,'4_1':0.0},(95,223):{'3_1':0.09,'4_1':0.0},(95,222):{'3_1':0.06},(95,221):{'3_1':0.06},(95,220):{'3_1':0.09,'4_1':0.0},(95,219):{'3_1':0.06},(95,218):{'3_1':0.03},(95,217):{'3_1':0.0},(95,216):{'3_1':0.0,'4_1':0.0},(95,215):{'3_1':0.03},(95,214):{'3_1':0.0},(95,213):{'3_1':0.0,'4_1':0.0},(95,212):{'3_1':0.0,'4_1':0.0},(95,211):{'3_1':0.0},(95,210):{'3_1':0.0},(95,209):{'3_1':0.03},(95,208):{'3_1':0.0},(95,207):{'3_1':0.06},(95,206):{'3_1':0.03,'5_2':0.0},(95,205):{'3_1':0.03},(95,204):{'3_1':0.0},(95,203):{'3_1':0.03},(95,202):{'3_1':0.03},(95,201):{'3_1':0.0,'5_1':0.0},(95,200):{'3_1':0.0},(95,199):{'3_1':0.0},(95,198):{'3_1':0.03},(95,197):{'3_1':0.0},(95,196):{'3_1':0.0},(95,195):{'3_1':0.03},(95,194):{'3_1':0.03},(95,193):{'3_1':0.0},(95,192):{'3_1':0.0,'4_1':0.0},(95,191):{'3_1':0.0,'4_1':0.0},(95,190):{'3_1':0.03,'4_1':0.0},(95,189):{'3_1':0.0},(95,188):{'3_1':0.0},(95,187):{'3_1':0.0,'5_1':0.0},(95,186):{'3_1':0.0},(95,185):{'3_1':0.0},(95,184):{'3_1':0.0},(95,183):{'3_1':0.0,'4_1':0.0},(95,182):{'3_1':0.03},(95,181):{'5_1':0.0},(95,180):{'3_1':0.0},(95,179):{'3_1':0.0},(95,178):{'3_1':0.0},(95,177):{'3_1':0.0},(95,176):{'3_1':0.0,'4_1':0.0},(95,175):{'3_1':0.0},(95,174):{'3_1':0.0},(95,172):{'3_1':0.0},(95,170):{'3_1':0.0},(95,168):{'4_1':0.0},(95,166):{'3_1':0.0},(95,165):{'3_1':0.0},(95,163):{'3_1':0.0},(95,162):{'3_1':0.0},(95,161):{'3_1':0.0,'4_1':0.0},(95,160):{'3_1':0.0},(95,158):{'3_1':0.0},(95,156):{'4_1':0.0,'3_1':0.0},(95,155):{'3_1':0.0},(95,154):{'3_1':0.0},(95,153):{'3_1':0.0},(95,152):{'4_1':0.0,'3_1':0.0},(95,149):{'4_1':0.0},(95,148):{'3_1':0.0},(95,147):{'3_1':0.0},(95,146):{'3_1':0.03},(95,142):{'3_1':0.0},(95,141):{'3_1':0.0},(95,140):{'3_1':0.0},(95,132):{'3_1':0.0},(95,129):{'3_1':0.0},(95,110):{'3_1':0.0},(96,290):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(96,289):{'3_1':0.15,'4_1':0.0},(96,288):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(96,287):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(96,286):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'8_8':0.0},(96,285):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(96,284):{'3_1':0.09,'4_1':0.0},(96,283):{'3_1':0.09,'4_1':0.0},(96,282):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(96,281):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(96,280):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(96,279):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(96,278):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(96,277):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(96,276):{'3_1':0.12,'5_2':0.0},(96,275):{'3_1':0.12,'4_1':0.03},(96,274):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(96,273):{'3_1':0.12},(96,272):{'3_1':0.12,'4_1':0.0},(96,271):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(96,270):{'3_1':0.09,'5_2':0.0},(96,269):{'3_1':0.06,'5_2':0.0},(96,268):{'3_1':0.06},(96,267):{'3_1':0.06,'4_1':0.0},(96,266):{'3_1':0.09,'6_1':0.0},(96,265):{'3_1':0.06,'5_1':0.0},(96,264):{'3_1':0.06,'5_1':0.0},(96,263):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(96,262):{'3_1':0.12},(96,261):{'3_1':0.03},(96,260):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(96,259):{'3_1':0.12,'5_2':0.0},(96,258):{'3_1':0.12,'5_1':0.0},(96,257):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(96,256):{'3_1':0.09,'4_1':0.0},(96,255):{'3_1':0.09},(96,254):{'3_1':0.09},(96,253):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(96,252):{'3_1':0.06,'6_1':0.0},(96,251):{'3_1':0.06},(96,250):{'3_1':0.06,'5_2':0.0},(96,249):{'3_1':0.06,'4_1':0.0},(96,248):{'3_1':0.09,'4_1':0.0},(96,247):{'3_1':0.06},(96,246):{'3_1':0.12,'4_1':0.0},(96,245):{'3_1':0.06,'4_1':0.0},(96,244):{'3_1':0.06},(96,243):{'3_1':0.06,'4_1':0.0},(96,242):{'3_1':0.03},(96,241):{'3_1':0.03,'4_1':0.0},(96,240):{'3_1':0.06},(96,239):{'3_1':0.03,'4_1':0.0},(96,238):{'3_1':0.0},(96,237):{'3_1':0.06},(96,236):{'3_1':0.03},(96,235):{'3_1':0.03},(96,234):{'3_1':0.03},(96,233):{'3_1':0.06,'4_1':0.0},(96,232):{'3_1':0.03},(96,231):{'3_1':0.06,'4_1':0.0},(96,230):{'3_1':0.03,'4_1':0.0},(96,229):{'3_1':0.03,'4_1':0.03},(96,228):{'3_1':0.09,'4_1':0.0},(96,227):{'3_1':0.03,'4_1':0.0},(96,226):{'3_1':0.06},(96,225):{'3_1':0.03},(96,224):{'3_1':0.03},(96,223):{'3_1':0.03,'4_1':0.0},(96,222):{'3_1':0.0},(96,221):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(96,220):{'3_1':0.03,'4_1':0.0},(96,219):{'3_1':0.03},(96,218):{'3_1':0.03,'4_1':0.0},(96,217):{'3_1':0.0,'4_1':0.0},(96,216):{'4_1':0.0},(96,215):{'3_1':0.0,'4_1':0.0},(96,214):{'3_1':0.0},(96,213):{'3_1':0.0},(96,212):{'3_1':0.03},(96,211):{'3_1':0.0},(96,210):{'3_1':0.03},(96,209):{'3_1':0.0},(96,208):{'3_1':0.06},(96,207):{'3_1':0.03},(96,206):{'3_1':0.03},(96,205):{'3_1':0.03,'5_2':0.0},(96,204):{'3_1':0.06,'5_2':0.0},(96,203):{'3_1':0.0},(96,202):{'3_1':0.0,'4_1':0.0},(96,201):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(96,200):{'3_1':0.0},(96,199):{'3_1':0.0},(96,198):{'3_1':0.03},(96,197):{'3_1':0.0},(96,196):{'3_1':0.03},(96,195):{'3_1':0.03},(96,193):{'3_1':0.0},(96,192):{'3_1':0.0},(96,191):{'3_1':0.03},(96,190):{'3_1':0.0},(96,189):{'3_1':0.0,'4_1':0.0},(96,188):{'3_1':0.0},(96,187):{'3_1':0.0},(96,186):{'3_1':0.0},(96,185):{'3_1':0.0,'8_20|3_1#3_1':0.0},(96,184):{'3_1':0.0,'4_1':0.0},(96,183):{'3_1':0.0},(96,182):{'3_1':0.0},(96,181):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(96,180):{'3_1':0.0},(96,179):{'3_1':0.0},(96,178):{'3_1':0.0,'4_1':0.0},(96,177):{'3_1':0.03,'8_19':0.0},(96,176):{'3_1':0.0},(96,175):{'3_1':0.03,'5_1':0.0},(96,174):{'3_1':0.0,'4_1':0.0},(96,173):{'3_1':0.0},(96,172):{'3_1':0.0},(96,171):{'3_1':0.0,'4_1':0.0},(96,170):{'3_1':0.0},(96,169):{'3_1':0.0},(96,168):{'3_1':0.0},(96,167):{'4_1':0.0},(96,166):{'3_1':0.0,'4_1':0.0},(96,165):{'3_1':0.0},(96,164):{'3_1':0.0},(96,162):{'3_1':0.0},(96,161):{'4_1':0.0,'3_1':0.0},(96,160):{'3_1':0.0},(96,159):{'3_1':0.0},(96,158):{'3_1':0.03},(96,157):{'3_1':0.0},(96,156):{'3_1':0.0},(96,155):{'3_1':0.0},(96,154):{'3_1':0.03},(96,153):{'3_1':0.03},(96,152):{'3_1':0.0,'4_1':0.0},(96,151):{'3_1':0.0},(96,150):{'3_1':0.0,'4_1':0.0},(96,149):{'3_1':0.0},(96,148):{'4_1':0.0},(96,146):{'3_1':0.0},(96,144):{'4_1':0.0},(96,143):{'3_1':0.0},(96,142):{'3_1':0.0},(96,140):{'3_1':0.0},(96,138):{'3_1':0.0},(96,135):{'3_1':0.0},(97,290):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(97,289):{'3_1':0.18,'5_2':0.0,'8_19':0.0},(97,288):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'5_1':0.0},(97,287):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(97,286):{'3_1':0.06,'4_1':0.0},(97,285):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(97,284):{'3_1':0.15,'6_1':0.0},(97,283):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(97,282):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(97,281):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(97,280):{'3_1':0.15,'4_1':0.0},(97,279):{'3_1':0.06,'8_21|3_1#4_1':0.0},(97,278):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(97,277):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(97,276):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_2':0.0},(97,275):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(97,274):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(97,273):{'3_1':0.09,'5_2':0.0},(97,272):{'3_1':0.15,'4_1':0.0},(97,271):{'3_1':0.03,'4_1':0.0},(97,270):{'3_1':0.06,'5_2':0.0},(97,269):{'3_1':0.09,'4_1':0.0},(97,268):{'3_1':0.09,'4_1':0.0},(97,267):{'3_1':0.12,'8_20|3_1#3_1':0.0},(97,266):{'3_1':0.06,'4_1':0.0},(97,265):{'3_1':0.15,'4_1':0.0},(97,264):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(97,263):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(97,262):{'3_1':0.09,'5_2':0.0},(97,261):{'3_1':0.15,'5_1':0.0},(97,260):{'3_1':0.06,'5_2':0.0},(97,259):{'3_1':0.15},(97,258):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(97,257):{'3_1':0.15,'7_6':0.0},(97,256):{'3_1':0.15},(97,255):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(97,254):{'3_1':0.09,'5_2':0.0},(97,253):{'3_1':0.06},(97,252):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(97,251):{'3_1':0.06},(97,250):{'3_1':0.06},(97,249):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(97,248):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(97,247):{'3_1':0.12},(97,246):{'3_1':0.06},(97,245):{'3_1':0.09},(97,244):{'3_1':0.12},(97,243):{'3_1':0.03,'7_3':0.0},(97,242):{'3_1':0.03},(97,241):{'3_1':0.12},(97,240):{'3_1':0.09},(97,239):{'3_1':0.03},(97,238):{'3_1':0.03},(97,237):{'3_1':0.0,'4_1':0.0},(97,236):{'3_1':0.03},(97,235):{'3_1':0.06,'4_1':0.0},(97,234):{'3_1':0.0,'4_1':0.0},(97,233):{'3_1':0.09},(97,232):{'3_1':0.06,'6_2':0.0,'4_1':0.0},(97,231):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(97,230):{'3_1':0.03,'4_1':0.0},(97,229):{'3_1':0.03,'4_1':0.0},(97,228):{'3_1':0.09},(97,227):{'3_1':0.06},(97,226):{'3_1':0.06,'5_2':0.0},(97,225):{'3_1':0.03},(97,224):{'3_1':0.03,'4_1':0.0},(97,223):{'3_1':0.06},(97,222):{'3_1':0.09,'4_1':0.0},(97,221):{'3_1':0.0,'4_1':0.0},(97,220):{'3_1':0.09},(97,219):{'3_1':0.06,'4_1':0.0},(97,218):{'3_1':0.03},(97,217):{'3_1':0.03},(97,216):{'3_1':0.0,'6_2':0.0},(97,215):{'3_1':0.0,'4_1':0.0},(97,214):{'3_1':0.0},(97,212):{'3_1':0.03},(97,211):{'3_1':0.03},(97,210):{'3_1':0.03},(97,209):{'3_1':0.03},(97,208):{'3_1':0.0},(97,207):{'3_1':0.0},(97,206):{'3_1':0.0},(97,205):{'3_1':0.0,'4_1':0.0},(97,204):{'3_1':0.0},(97,203):{'3_1':0.0,'4_1':0.0},(97,202):{'3_1':0.0},(97,201):{'3_1':0.03},(97,200):{'3_1':0.0},(97,198):{'3_1':0.0,'4_1':0.0},(97,197):{'3_1':0.0},(97,196):{'3_1':0.0,'4_1':0.0},(97,195):{'3_1':0.0,'4_1':0.0},(97,193):{'3_1':0.03},(97,192):{'3_1':0.0},(97,191):{'3_1':0.0},(97,190):{'3_1':0.0},(97,189):{'3_1':0.0,'8_20|3_1#3_1':0.0},(97,188):{'3_1':0.0},(97,187):{'3_1':0.0},(97,185):{'3_1':0.0},(97,184):{'3_1':0.0,'4_1':0.0},(97,183):{'3_1':0.06,'5_1':0.0},(97,182):{'3_1':0.0},(97,181):{'3_1':0.06},(97,180):{'3_1':0.03},(97,179):{'3_1':0.0},(97,178):{'3_1':0.0},(97,177):{'3_1':0.0},(97,176):{'3_1':0.03},(97,175):{'3_1':0.0,'5_1':0.0},(97,174):{'3_1':0.0,'4_1':0.0},(97,173):{'3_1':0.03},(97,172):{'3_1':0.0,'4_1':0.0},(97,171):{'3_1':0.0},(97,170):{'3_1':0.0,'4_1':0.0},(97,169):{'4_1':0.0},(97,168):{'3_1':0.0},(97,167):{'3_1':0.0},(97,166):{'3_1':0.0},(97,164):{'3_1':0.03},(97,163):{'3_1':0.0},(97,161):{'3_1':0.0},(97,160):{'3_1':0.03},(97,158):{'3_1':0.0},(97,157):{'3_1':0.0},(97,156):{'3_1':0.0,'4_1':0.0},(97,155):{'3_1':0.0,'4_1':0.0},(97,154):{'5_2':0.0},(97,153):{'3_1':0.0},(97,152):{'3_1':0.0},(97,151):{'3_1':0.0,'4_1':0.0},(97,150):{'3_1':0.0},(97,149):{'3_1':0.0},(97,148):{'3_1':0.0,'4_1':0.0},(97,147):{'3_1':0.0},(97,145):{'3_1':0.0},(97,144):{'3_1':0.03},(97,143):{'3_1':0.0},(97,141):{'3_1':0.0},(97,139):{'3_1':0.0},(97,138):{'3_1':0.0},(97,137):{'4_1':0.0},(97,131):{'3_1':0.0},(97,114):{'3_1':0.0},(97,111):{'3_1':0.0},(97,108):{'3_1':0.0},(98,290):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(98,289):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(98,288):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_3':0.0},(98,287):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(98,286):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(98,285):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(98,284):{'3_1':0.09,'4_1':0.0},(98,283):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(98,282):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(98,281):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(98,280):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(98,279):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(98,278):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(98,277):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0},(98,276):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(98,275):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(98,274):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(98,273):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(98,272):{'3_1':0.12,'4_1':0.03},(98,271):{'3_1':0.06},(98,270):{'3_1':0.12,'5_1':0.0},(98,269):{'3_1':0.06,'5_2':0.0},(98,268):{'3_1':0.12,'4_1':0.0},(98,267):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(98,266):{'3_1':0.03,'4_1':0.0},(98,265):{'3_1':0.09,'5_1':0.0},(98,264):{'3_1':0.09,'4_1':0.0},(98,263):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(98,262):{'3_1':0.18},(98,261):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(98,260):{'3_1':0.15},(98,259):{'3_1':0.12},(98,258):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(98,257):{'3_1':0.09,'5_1':0.0},(98,256):{'3_1':0.15},(98,255):{'3_1':0.06,'5_2':0.0},(98,254):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(98,253):{'3_1':0.09},(98,252):{'3_1':0.09},(98,251):{'3_1':0.12,'4_1':0.0},(98,250):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(98,249):{'3_1':0.06,'4_1':0.0},(98,248):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(98,247):{'3_1':0.03,'5_2':0.0},(98,246):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(98,245):{'3_1':0.09,'5_2':0.0},(98,244):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(98,243):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(98,242):{'3_1':0.06,'4_1':0.0},(98,241):{'3_1':0.06,'5_1':0.0},(98,240):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(98,239):{'3_1':0.06,'4_1':0.0},(98,238):{'3_1':0.0,'4_1':0.0},(98,237):{'3_1':0.03},(98,236):{'3_1':0.03,'6_2':0.0},(98,235):{'3_1':0.03,'6_2':0.0},(98,234):{'3_1':0.03,'4_1':0.0},(98,233):{'3_1':0.06,'4_1':0.0},(98,232):{'3_1':0.03},(98,231):{'3_1':0.06,'4_1':0.0},(98,230):{'3_1':0.09},(98,229):{'3_1':0.03},(98,228):{'3_1':0.0},(98,227):{'3_1':0.06,'4_1':0.03},(98,226):{'3_1':0.06,'4_1':0.0},(98,225):{'3_1':0.06,'4_1':0.0},(98,224):{'3_1':0.06},(98,223):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(98,222):{'3_1':0.06,'4_1':0.0},(98,221):{'3_1':0.0,'6_3':0.0},(98,220):{'3_1':0.03},(98,219):{'3_1':0.06},(98,218):{'3_1':0.06,'4_1':0.0},(98,217):{'3_1':0.0},(98,216):{'3_1':0.03},(98,215):{'3_1':0.03,'5_2':0.0},(98,214):{'3_1':0.0},(98,213):{'3_1':0.03,'5_2':0.0},(98,212):{'3_1':0.03},(98,211):{'3_1':0.0},(98,210):{'3_1':0.03,'4_1':0.0},(98,209):{'3_1':0.03},(98,208):{'3_1':0.03,'4_1':0.0},(98,207):{'3_1':0.03},(98,206):{'3_1':0.0},(98,205):{'3_1':0.0},(98,204):{'3_1':0.0},(98,203):{'3_1':0.03},(98,201):{'3_1':0.0},(98,200):{'3_1':0.0},(98,199):{'3_1':0.06,'5_1':0.0},(98,197):{'3_1':0.0},(98,196):{'3_1':0.0},(98,195):{'3_1':0.0},(98,194):{'3_1':0.0},(98,193):{'3_1':0.0},(98,192):{'3_1':0.03},(98,191):{'3_1':0.0,'6_2':0.0},(98,190):{'3_1':0.0},(98,189):{'3_1':0.03},(98,188):{'3_1':0.0},(98,187):{'3_1':0.0},(98,186):{'3_1':0.0},(98,185):{'3_1':0.0},(98,184):{'3_1':0.0},(98,183):{'3_1':0.0},(98,182):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(98,181):{'3_1':0.0},(98,180):{'3_1':0.03},(98,179):{'3_1':0.0},(98,178):{'3_1':0.03},(98,177):{'4_1':0.0},(98,176):{'3_1':0.0},(98,175):{'3_1':0.0},(98,174):{'3_1':0.0},(98,173):{'5_1':0.0},(98,171):{'3_1':0.0},(98,170):{'3_1':0.0,'4_1':0.0},(98,169):{'5_2':0.0},(98,168):{'3_1':0.0},(98,167):{'3_1':0.0},(98,166):{'3_1':0.0},(98,165):{'3_1':0.0,'4_1':0.0},(98,164):{'3_1':0.0},(98,162):{'3_1':0.0},(98,161):{'3_1':0.0},(98,160):{'3_1':0.03},(98,159):{'3_1':0.0},(98,158):{'3_1':0.0},(98,155):{'3_1':0.0},(98,154):{'3_1':0.0},(98,152):{'3_1':0.0,'4_1':0.0},(98,151):{'3_1':0.0},(98,149):{'3_1':0.0,'4_1':0.0},(98,148):{'3_1':0.0},(98,147):{'3_1':0.0,'4_1':0.0},(98,144):{'3_1':0.0},(98,143):{'3_1':0.0},(98,141):{'3_1':0.0},(98,140):{'3_1':0.0},(98,139):{'3_1':0.0},(98,138):{'3_1':0.0},(98,137):{'3_1':0.0},(98,131):{'3_1':0.0},(98,114):{'3_1':0.0},(98,113):{'3_1':0.0,'4_1':0.0},(98,110):{'3_1':0.0},(98,108):{'3_1':0.0},(99,290):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(99,289):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(99,288):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(99,287):{'3_1':0.09,'5_2':0.0,'8_11':0.0},(99,286):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(99,285):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(99,284):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(99,283):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(99,282):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(99,281):{'3_1':0.12,'4_1':0.03},(99,280):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(99,279):{'3_1':0.12,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(99,278):{'3_1':0.09,'6_1':0.0,'4_1':0.0,'5_2':0.0},(99,277):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(99,276):{'3_1':0.09,'5_2':0.0},(99,275):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(99,274):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(99,273):{'3_1':0.15,'4_1':0.0},(99,272):{'3_1':0.12,'4_1':0.0},(99,271):{'3_1':0.09,'4_1':0.0},(99,270):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(99,269):{'3_1':0.09},(99,268):{'3_1':0.12,'4_1':0.0},(99,267):{'3_1':0.06},(99,266):{'3_1':0.06},(99,265):{'3_1':0.15,'4_1':0.0},(99,264):{'3_1':0.06},(99,263):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(99,262):{'3_1':0.09},(99,261):{'3_1':0.09},(99,260):{'3_1':0.12},(99,259):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(99,258):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(99,257):{'3_1':0.24,'5_1':0.0},(99,256):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(99,255):{'3_1':0.03},(99,254):{'3_1':0.12,'4_1':0.0},(99,253):{'3_1':0.09},(99,252):{'3_1':0.09,'5_2':0.0},(99,251):{'3_1':0.06,'4_1':0.0},(99,250):{'3_1':0.12,'4_1':0.0},(99,249):{'3_1':0.12,'4_1':0.0},(99,248):{'3_1':0.09},(99,247):{'3_1':0.09},(99,246):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(99,245):{'3_1':0.03,'4_1':0.0},(99,244):{'3_1':0.06,'5_2':0.0},(99,243):{'3_1':0.09},(99,242):{'3_1':0.06,'4_1':0.0},(99,241):{'3_1':0.06,'5_1':0.0},(99,240):{'3_1':0.06},(99,239):{'3_1':0.03,'4_1':0.0},(99,238):{'3_1':0.03,'4_1':0.0},(99,237):{'3_1':0.0},(99,236):{'3_1':0.03},(99,235):{'3_1':0.06},(99,234):{'3_1':0.03,'5_2':0.0},(99,233):{'3_1':0.03,'4_1':0.0},(99,232):{'3_1':0.03,'4_1':0.0},(99,231):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(99,230):{'3_1':0.06,'4_1':0.0},(99,229):{'3_1':0.03,'4_1':0.0},(99,228):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(99,227):{'3_1':0.0,'4_1':0.0},(99,226):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(99,225):{'3_1':0.06,'5_2':0.0},(99,224):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(99,223):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(99,222):{'3_1':0.06},(99,221):{'3_1':0.06,'6_3':0.0},(99,220):{'3_1':0.09},(99,219):{'3_1':0.09,'4_1':0.0},(99,218):{'3_1':0.09,'4_1':0.0},(99,217):{'3_1':0.03},(99,215):{'3_1':0.0},(99,214):{'3_1':0.03},(99,213):{'3_1':0.0},(99,212):{'3_1':0.0},(99,211):{'3_1':0.03,'4_1':0.0},(99,210):{'3_1':0.0},(99,209):{'3_1':0.0},(99,208):{'3_1':0.0},(99,207):{'3_1':0.03},(99,206):{'3_1':0.0},(99,205):{'3_1':0.03},(99,204):{'3_1':0.0},(99,203):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(99,202):{'3_1':0.0},(99,201):{'3_1':0.03},(99,200):{'3_1':0.03},(99,199):{'3_1':0.03},(99,198):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(99,197):{'3_1':0.0},(99,196):{'3_1':0.03},(99,195):{'3_1':0.03},(99,194):{'3_1':0.0,'4_1':0.0},(99,193):{'4_1':0.0},(99,192):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(99,191):{'3_1':0.0},(99,190):{'3_1':0.03},(99,189):{'3_1':0.0},(99,188):{'3_1':0.0},(99,187):{'3_1':0.06},(99,186):{'3_1':0.03},(99,185):{'3_1':0.0},(99,184):{'3_1':0.0},(99,183):{'3_1':0.03},(99,182):{'3_1':0.0},(99,181):{'3_1':0.03},(99,180):{'3_1':0.03},(99,179):{'3_1':0.03},(99,178):{'3_1':0.0,'4_1':0.0},(99,177):{'3_1':0.0},(99,176):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(99,175):{'3_1':0.0,'4_1':0.0},(99,174):{'5_1':0.0,'8_20|3_1#3_1':0.0},(99,173):{'4_1':0.0},(99,172):{'3_1':0.0},(99,171):{'3_1':0.0,'5_2':0.0},(99,170):{'3_1':0.0},(99,169):{'3_1':0.0,'4_1':0.0},(99,168):{'3_1':0.0,'4_1':0.0},(99,167):{'3_1':0.03,'4_1':0.0},(99,166):{'3_1':0.0,'4_1':0.0},(99,165):{'3_1':0.0},(99,164):{'3_1':0.0},(99,163):{'3_1':0.0},(99,162):{'3_1':0.03},(99,161):{'3_1':0.0,'4_1':0.0},(99,160):{'3_1':0.0},(99,159):{'3_1':0.0},(99,157):{'3_1':0.0,'4_1':0.0},(99,156):{'3_1':0.0},(99,155):{'3_1':0.03},(99,154):{'3_1':0.03},(99,153):{'3_1':0.0},(99,152):{'3_1':0.0,'4_1':0.0},(99,151):{'3_1':0.0},(99,150):{'3_1':0.0},(99,148):{'3_1':0.0},(99,147):{'3_1':0.0,'4_1':0.0},(99,146):{'3_1':0.0},(99,145):{'3_1':0.0},(99,144):{'3_1':0.0},(99,143):{'3_1':0.0},(99,142):{'3_1':0.0},(99,141):{'3_1':0.0},(99,140):{'3_1':0.0},(99,138):{'3_1':0.0},(99,135):{'3_1':0.0},(99,114):{'3_1':0.0},(99,112):{'3_1':0.0},(99,110):{'3_1':0.0},(99,109):{'3_1':0.0},(99,108):{'3_1':0.0},(99,106):{'3_1':0.0},(100,290):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(100,289):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(100,288):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(100,287):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(100,286):{'3_1':0.12,'4_1':0.03},(100,285):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(100,284):{'3_1':0.09,'5_2':0.0},(100,283):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'5_2':0.0},(100,282):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(100,281):{'3_1':0.12,'6_3':0.0,'4_1':0.0},(100,280):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_3':0.0},(100,279):{'3_1':0.06,'4_1':0.03},(100,278):{'3_1':0.12,'8_20|3_1#3_1':0.0},(100,277):{'3_1':0.12,'4_1':0.0,'7_6':0.0},(100,276):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'-3':0.0},(100,275):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'6_3':0.0,'5_2':0.0,'6_2':0.0},(100,274):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_3':0.0},(100,273):{'3_1':0.09,'4_1':0.0},(100,272):{'4_1':0.06,'3_1':0.06,'6_2':0.0,'8_11':0.0},(100,271):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(100,270):{'3_1':0.09,'4_1':0.0},(100,269):{'3_1':0.06,'4_1':0.0},(100,268):{'3_1':0.09,'5_2':0.0},(100,267):{'3_1':0.06,'4_1':0.0},(100,266):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(100,265):{'3_1':0.09},(100,264):{'3_1':0.09},(100,263):{'3_1':0.06},(100,262):{'3_1':0.09},(100,261):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(100,260):{'3_1':0.12},(100,259):{'3_1':0.15,'5_2':0.0},(100,258):{'3_1':0.21,'5_1':0.0,'8_21|3_1#4_1':0.0},(100,257):{'3_1':0.21,'5_1':0.0},(100,256):{'3_1':0.06,'4_1':0.0},(100,255):{'3_1':0.09,'5_2':0.0},(100,254):{'3_1':0.06},(100,253):{'3_1':0.09},(100,252):{'3_1':0.09},(100,251):{'3_1':0.12},(100,250):{'3_1':0.09,'6_3':0.0},(100,249):{'3_1':0.06,'5_2':0.0},(100,248):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(100,247):{'3_1':0.09},(100,246):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(100,245):{'3_1':0.12},(100,244):{'3_1':0.03,'5_2':0.0},(100,243):{'3_1':0.12},(100,242):{'3_1':0.12,'5_2':0.0},(100,241):{'3_1':0.03},(100,240):{'3_1':0.03,'5_2':0.0},(100,239):{'3_1':0.09},(100,238):{'3_1':0.0},(100,237):{'3_1':0.06},(100,236):{'3_1':0.09},(100,235):{'3_1':0.06,'6_2':0.0},(100,234):{'3_1':0.06,'4_1':0.0},(100,233):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(100,232):{'3_1':0.06},(100,231):{'3_1':0.09},(100,230):{'4_1':0.03,'3_1':0.0},(100,229):{'3_1':0.03,'4_1':0.0},(100,228):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(100,227):{'3_1':0.03},(100,226):{'3_1':0.03,'4_1':0.0},(100,225):{'3_1':0.03,'4_1':0.0},(100,224):{'3_1':0.06,'4_1':0.0},(100,223):{'3_1':0.06,'4_1':0.0},(100,222):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(100,221):{'3_1':0.0,'4_1':0.0},(100,220):{'3_1':0.06,'4_1':0.0},(100,219):{'3_1':0.03},(100,218):{'3_1':0.06},(100,217):{'3_1':0.0},(100,216):{'3_1':0.03},(100,215):{'3_1':0.03},(100,214):{'3_1':0.0,'4_1':0.0},(100,213):{'3_1':0.0},(100,211):{'3_1':0.0},(100,210):{'3_1':0.0},(100,209):{'3_1':0.0,'5_1':0.0},(100,208):{'3_1':0.0},(100,207):{'3_1':0.0},(100,206):{'3_1':0.0},(100,205):{'3_1':0.0},(100,204):{'3_1':0.03,'4_1':0.0},(100,203):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(100,202):{'3_1':0.0},(100,201):{'3_1':0.03,'4_1':0.0},(100,200):{'3_1':0.06,'4_1':0.0},(100,199):{'3_1':0.0},(100,198):{'3_1':0.0},(100,197):{'4_1':0.0},(100,195):{'3_1':0.0},(100,194):{'3_1':0.0},(100,193):{'4_1':0.0},(100,192):{'3_1':0.0},(100,191):{'3_1':0.0},(100,190):{'3_1':0.0},(100,189):{'3_1':0.0},(100,188):{'3_1':0.03},(100,187):{'3_1':0.03},(100,186):{'3_1':0.0},(100,185):{'3_1':0.0},(100,184):{'3_1':0.03},(100,183):{'3_1':0.03,'4_1':0.0},(100,182):{'3_1':0.0},(100,181):{'3_1':0.0},(100,180):{'3_1':0.03},(100,179):{'3_1':0.0},(100,178):{'3_1':0.03,'4_1':0.0},(100,177):{'3_1':0.0,'4_1':0.0},(100,176):{'3_1':0.0},(100,175):{'4_1':0.0,'3_1':0.0},(100,174):{'3_1':0.0,'5_1':0.0},(100,173):{'3_1':0.0,'4_1':0.0},(100,172):{'3_1':0.0},(100,171):{'3_1':0.06,'4_1':0.0},(100,170):{'3_1':0.0,'4_1':0.0},(100,169):{'4_1':0.0},(100,167):{'3_1':0.03},(100,166):{'3_1':0.0},(100,165):{'3_1':0.0},(100,164):{'3_1':0.0},(100,163):{'3_1':0.0},(100,162):{'3_1':0.0,'4_1':0.0},(100,161):{'3_1':0.0,'4_1':0.0},(100,160):{'3_1':0.0},(100,159):{'3_1':0.0},(100,158):{'4_1':0.0},(100,157):{'4_1':0.0},(100,156):{'3_1':0.0},(100,155):{'3_1':0.0},(100,154):{'3_1':0.0},(100,153):{'4_1':0.0},(100,152):{'3_1':0.0},(100,151):{'3_1':0.0,'4_1':0.0},(100,149):{'3_1':0.03,'4_1':0.0},(100,147):{'3_1':0.0},(100,146):{'3_1':0.0},(100,145):{'3_1':0.0},(100,144):{'3_1':0.0},(100,140):{'3_1':0.0},(100,138):{'3_1':0.0},(100,112):{'3_1':0.0},(100,110):{'3_1':0.0},(100,108):{'3_1':0.0},(100,107):{'3_1':0.0},(100,106):{'3_1':0.0},(101,290):{'3_1':0.09,'6_3':0.0,'4_1':0.0,'5_1':0.0},(101,289):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0},(101,288):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(101,287):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(101,286):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(101,285):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(101,284):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(101,283):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(101,282):{'3_1':0.06,'4_1':0.03},(101,281):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(101,280):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0},(101,279):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(101,278):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(101,277):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(101,276):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_19':0.0},(101,275):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(101,274):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(101,273):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(101,272):{'3_1':0.18,'5_2':0.0,'6_1':0.0},(101,271):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(101,270):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(101,269):{'3_1':0.09,'4_1':0.0},(101,268):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(101,267):{'3_1':0.12},(101,266):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(101,265):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(101,264):{'3_1':0.12},(101,263):{'3_1':0.12,'4_1':0.0},(101,262):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(101,261):{'3_1':0.09,'4_1':0.0},(101,260):{'3_1':0.12},(101,259):{'3_1':0.12,'5_1':0.0},(101,258):{'3_1':0.12},(101,257):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(101,256):{'3_1':0.12,'4_1':0.0},(101,255):{'3_1':0.06,'5_2':0.0},(101,254):{'3_1':0.12,'5_2':0.0},(101,253):{'3_1':0.09,'4_1':0.0},(101,252):{'3_1':0.12,'6_1':0.0},(101,251):{'3_1':0.06,'4_1':0.0},(101,250):{'3_1':0.09,'4_1':0.0},(101,249):{'3_1':0.09},(101,248):{'3_1':0.09,'4_1':0.0},(101,247):{'3_1':0.06},(101,246):{'3_1':0.15,'5_2':0.0},(101,245):{'3_1':0.09},(101,244):{'3_1':0.12},(101,243):{'3_1':0.12},(101,242):{'3_1':0.06},(101,241):{'3_1':0.03},(101,240):{'3_1':0.09,'4_1':0.0},(101,239):{'3_1':0.03},(101,238):{'3_1':0.03,'4_1':0.0},(101,237):{'3_1':0.0},(101,236):{'3_1':0.0},(101,235):{'3_1':0.03,'4_1':0.0},(101,234):{'3_1':0.03,'4_1':0.0},(101,233):{'3_1':0.03},(101,232):{'3_1':0.03,'4_1':0.0},(101,231):{'3_1':0.03,'4_1':0.0},(101,230):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(101,229):{'3_1':0.0,'4_1':0.0},(101,228):{'3_1':0.09,'4_1':0.0},(101,227):{'3_1':0.06,'4_1':0.0},(101,226):{'3_1':0.06,'4_1':0.0},(101,225):{'3_1':0.03,'4_1':0.0},(101,224):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(101,223):{'3_1':0.06,'4_1':0.0},(101,222):{'3_1':0.09,'4_1':0.03},(101,221):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(101,220):{'3_1':0.06,'4_1':0.0},(101,219):{'3_1':0.03,'4_1':0.0},(101,218):{'3_1':0.0,'4_1':0.0},(101,217):{'3_1':0.03,'4_1':0.03},(101,216):{'3_1':0.0},(101,215):{'3_1':0.03,'4_1':0.0},(101,214):{'3_1':0.0,'4_1':0.0},(101,213):{'3_1':0.0},(101,212):{'3_1':0.0},(101,211):{'3_1':0.0,'5_1':0.0},(101,210):{'3_1':0.03},(101,209):{'3_1':0.0,'5_2':0.0},(101,208):{'3_1':0.03,'4_1':0.0},(101,207):{'3_1':0.0},(101,206):{'3_1':0.0,'5_2':0.0},(101,205):{'3_1':0.06},(101,204):{'3_1':0.0},(101,203):{'3_1':0.0},(101,202):{'3_1':0.03,'8_21|3_1#4_1':0.0},(101,201):{'3_1':0.0},(101,200):{'3_1':0.0,'8_20|3_1#3_1':0.0},(101,199):{'3_1':0.03},(101,198):{'3_1':0.0},(101,197):{'3_1':0.0},(101,196):{'3_1':0.0},(101,195):{'3_1':0.0},(101,194):{'3_1':0.0},(101,193):{'3_1':0.0,'4_1':0.0},(101,192):{'8_21|3_1#4_1':0.0},(101,191):{'3_1':0.03,'8_21|3_1#4_1':0.0},(101,190):{'3_1':0.0},(101,189):{'3_1':0.0},(101,187):{'3_1':0.0},(101,186):{'3_1':0.0},(101,185):{'3_1':0.0},(101,184):{'3_1':0.06},(101,183):{'3_1':0.03},(101,182):{'3_1':0.03},(101,181):{'3_1':0.0},(101,179):{'3_1':0.06,'8_20|3_1#3_1':0.0},(101,178):{'3_1':0.0},(101,176):{'3_1':0.0,'5_2':0.0},(101,175):{'3_1':0.03},(101,174):{'3_1':0.0},(101,173):{'3_1':0.0},(101,171):{'3_1':0.0,'4_1':0.0},(101,170):{'3_1':0.03},(101,169):{'4_1':0.0},(101,168):{'3_1':0.0},(101,167):{'3_1':0.0},(101,166):{'3_1':0.0},(101,165):{'3_1':0.0},(101,164):{'3_1':0.0},(101,163):{'3_1':0.0},(101,162):{'3_1':0.03,'4_1':0.0},(101,161):{'4_1':0.0,'3_1':0.0},(101,159):{'3_1':0.0,'4_1':0.0},(101,158):{'3_1':0.0},(101,157):{'3_1':0.0,'4_1':0.0},(101,156):{'4_1':0.0},(101,155):{'3_1':0.0},(101,154):{'4_1':0.0,'3_1':0.0},(101,153):{'3_1':0.0,'4_1':0.0},(101,152):{'3_1':0.0,'4_1':0.0},(101,151):{'3_1':0.0},(101,150):{'3_1':0.0},(101,149):{'3_1':0.03},(101,147):{'3_1':0.0},(101,146):{'3_1':0.0},(101,145):{'3_1':0.0},(101,144):{'3_1':0.0},(101,143):{'3_1':0.0},(101,142):{'3_1':0.0},(101,141):{'3_1':0.0},(101,140):{'3_1':0.0},(101,138):{'3_1':0.0},(101,137):{'3_1':0.0},(101,135):{'3_1':0.0},(101,111):{'3_1':0.0},(101,110):{'3_1':0.0},(101,109):{'3_1':0.0},(101,108):{'3_1':0.0},(101,106):{'3_1':0.0},(102,290):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(102,289):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(102,288):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(102,287):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(102,286):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(102,285):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(102,284):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(102,283):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0},(102,282):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(102,281):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(102,280):{'3_1':0.18,'5_1':0.0,'6_1':0.0},(102,279):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(102,278):{'3_1':0.15,'5_2':0.0,'6_1':0.0,'4_1':0.0,'6_3':0.0,'-3':0.0},(102,277):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(102,276):{'3_1':0.15,'4_1':0.0},(102,275):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(102,274):{'3_1':0.12,'4_1':0.0},(102,273):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(102,272):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(102,271):{'3_1':0.15,'5_2':0.0},(102,270):{'3_1':0.12,'5_2':0.0},(102,269):{'3_1':0.15},(102,268):{'3_1':0.15,'5_2':0.0},(102,267):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(102,266):{'3_1':0.18,'4_1':0.0},(102,265):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(102,264):{'3_1':0.12,'4_1':0.0},(102,263):{'3_1':0.09},(102,262):{'3_1':0.09,'4_1':0.0},(102,261):{'3_1':0.18},(102,260):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(102,259):{'3_1':0.21,'5_1':0.0},(102,258):{'3_1':0.18,'5_1':0.03},(102,257):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(102,256):{'3_1':0.15,'5_2':0.0},(102,255):{'3_1':0.12,'8_20|3_1#3_1':0.0},(102,254):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(102,253):{'3_1':0.09,'5_1':0.0},(102,252):{'3_1':0.15,'8_20|3_1#3_1':0.0},(102,251):{'3_1':0.15},(102,250):{'3_1':0.09},(102,249):{'3_1':0.15,'6_3':0.0},(102,248):{'3_1':0.15,'8_21|3_1#4_1':0.0},(102,247):{'3_1':0.12},(102,246):{'3_1':0.09},(102,245):{'3_1':0.18,'4_1':0.0},(102,244):{'3_1':0.09,'4_1':0.0},(102,243):{'3_1':0.06,'6_3':0.0},(102,242):{'3_1':0.09,'5_2':0.0},(102,241):{'3_1':0.09},(102,240):{'3_1':0.06,'4_1':0.0},(102,239):{'3_1':0.12,'4_1':0.0},(102,238):{'3_1':0.06},(102,237):{'3_1':0.03},(102,236):{'3_1':0.06},(102,235):{'3_1':0.03},(102,234):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(102,233):{'3_1':0.06,'4_1':0.0},(102,232):{'3_1':0.0,'4_1':0.0},(102,231):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(102,230):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(102,229):{'3_1':0.09,'4_1':0.0},(102,228):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(102,227):{'3_1':0.0,'4_1':0.0},(102,226):{'3_1':0.03},(102,225):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(102,224):{'3_1':0.09,'4_1':0.0},(102,223):{'3_1':0.15,'4_1':0.0},(102,222):{'3_1':0.03},(102,221):{'3_1':0.06,'4_1':0.03},(102,220):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(102,219):{'3_1':0.06,'4_1':0.0},(102,218):{'3_1':0.0,'4_1':0.0},(102,217):{'3_1':0.09,'4_1':0.0},(102,216):{'3_1':0.03,'6_3':0.0},(102,215):{'3_1':0.03},(102,214):{'3_1':0.0,'4_1':0.0},(102,213):{'3_1':0.0,'4_1':0.0},(102,212):{'3_1':0.0},(102,211):{'3_1':0.0},(102,210):{'3_1':0.0,'4_1':0.0},(102,209):{'3_1':0.06},(102,208):{'3_1':0.0},(102,207):{'3_1':0.03},(102,206):{'3_1':0.0},(102,205):{'3_1':0.03},(102,204):{'3_1':0.0,'5_2':0.0},(102,203):{'3_1':0.0},(102,202):{'3_1':0.0},(102,201):{'3_1':0.03,'4_1':0.0},(102,200):{'3_1':0.0},(102,199):{'3_1':0.0},(102,198):{'3_1':0.0},(102,196):{'3_1':0.03},(102,195):{'3_1':0.0},(102,194):{'4_1':0.0},(102,193):{'4_1':0.0},(102,192):{'3_1':0.03},(102,191):{'3_1':0.0,'5_2':0.0},(102,190):{'3_1':0.0},(102,189):{'3_1':0.0},(102,188):{'3_1':0.0},(102,187):{'3_1':0.0},(102,186):{'3_1':0.0,'8_21|3_1#4_1':0.0},(102,184):{'3_1':0.0,'5_1':0.0},(102,183):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(102,182):{'3_1':0.03},(102,181):{'3_1':0.0},(102,180):{'3_1':0.0},(102,179):{'3_1':0.03},(102,178):{'3_1':0.0},(102,177):{'3_1':0.0},(102,176):{'3_1':0.0},(102,175):{'3_1':0.0},(102,174):{'3_1':0.03},(102,173):{'4_1':0.0,'5_2':0.0},(102,172):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(102,171):{'4_1':0.0},(102,170):{'3_1':0.03},(102,169):{'3_1':0.0},(102,168):{'3_1':0.0,'4_1':0.0},(102,167):{'3_1':0.0},(102,166):{'3_1':0.0},(102,165):{'3_1':0.0},(102,164):{'3_1':0.03,'4_1':0.0},(102,163):{'3_1':0.0,'4_1':0.0},(102,162):{'3_1':0.0},(102,160):{'3_1':0.06},(102,159):{'3_1':0.0,'4_1':0.0},(102,158):{'3_1':0.0,'4_1':0.0},(102,157):{'3_1':0.0},(102,156):{'3_1':0.0},(102,155):{'3_1':0.03},(102,154):{'3_1':0.0},(102,153):{'3_1':0.0,'4_1':0.0},(102,152):{'3_1':0.03},(102,151):{'4_1':0.0,'3_1':0.0},(102,150):{'3_1':0.0},(102,149):{'3_1':0.0},(102,148):{'3_1':0.0},(102,147):{'3_1':0.0},(102,146):{'3_1':0.03},(102,145):{'3_1':0.0},(102,144):{'3_1':0.0},(102,143):{'3_1':0.0},(102,142):{'3_1':0.0},(102,141):{'3_1':0.0},(102,140):{'3_1':0.0},(102,139):{'3_1':0.0},(102,138):{'3_1':0.0},(102,137):{'3_1':0.0},(102,136):{'3_1':0.0},(102,131):{'3_1':0.0},(102,110):{'3_1':0.0},(103,290):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0},(103,289):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(103,288):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_10':0.0},(103,287):{'3_1':0.21,'4_1':0.0},(103,286):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(103,285):{'3_1':0.24,'4_1':0.03,'5_2':0.0},(103,284):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_5':0.0},(103,283):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(103,282):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(103,281):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0},(103,280):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(103,279):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(103,278):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'5_2':0.0},(103,277):{'3_1':0.21,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0},(103,276):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'8_8':0.0},(103,275):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(103,274):{'3_1':0.09,'5_2':0.0},(103,273):{'3_1':0.09,'4_1':0.0},(103,272):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(103,271):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(103,270):{'3_1':0.24,'5_2':0.0},(103,269):{'3_1':0.09},(103,268):{'3_1':0.15},(103,267):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(103,266):{'3_1':0.15},(103,265):{'3_1':0.21,'8_20|3_1#3_1':0.0},(103,264):{'3_1':0.09,'4_1':0.0},(103,263):{'3_1':0.27},(103,262):{'3_1':0.18},(103,261):{'3_1':0.06},(103,260):{'3_1':0.24},(103,259):{'3_1':0.24,'5_1':0.0},(103,258):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(103,257):{'3_1':0.21},(103,256):{'3_1':0.15,'5_2':0.0,'8_17':0.0},(103,255):{'3_1':0.15,'4_1':0.0},(103,254):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(103,253):{'3_1':0.12,'4_1':0.0},(103,252):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(103,251):{'3_1':0.12,'5_2':0.0},(103,250):{'3_1':0.12},(103,249):{'3_1':0.12,'4_1':0.0},(103,248):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(103,247):{'3_1':0.18,'6_3':0.0},(103,246):{'3_1':0.09,'5_2':0.0},(103,245):{'3_1':0.12,'8_20|3_1#3_1':0.0},(103,244):{'3_1':0.18,'5_2':0.0},(103,243):{'3_1':0.12,'5_1':0.0},(103,242):{'3_1':0.06,'5_2':0.0},(103,241):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(103,240):{'3_1':0.09},(103,239):{'3_1':0.03,'5_2':0.0},(103,238):{'3_1':0.0},(103,237):{'3_1':0.06,'4_1':0.0},(103,236):{'3_1':0.06,'4_1':0.0},(103,235):{'3_1':0.12,'4_1':0.0},(103,234):{'3_1':0.0},(103,233):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(103,232):{'3_1':0.06,'6_3':0.0},(103,231):{'4_1':0.03,'3_1':0.0},(103,230):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(103,229):{'3_1':0.06,'4_1':0.0},(103,228):{'3_1':0.03,'4_1':0.0},(103,227):{'3_1':0.06,'4_1':0.0},(103,226):{'3_1':0.06,'5_2':0.0},(103,225):{'3_1':0.03,'4_1':0.0},(103,224):{'3_1':0.06,'4_1':0.0},(103,223):{'3_1':0.09,'4_1':0.0},(103,222):{'3_1':0.06,'4_1':0.0},(103,221):{'3_1':0.09,'4_1':0.0},(103,220):{'3_1':0.03,'4_1':0.0},(103,219):{'3_1':0.06},(103,218):{'3_1':0.06,'4_1':0.03},(103,217):{'3_1':0.03},(103,216):{'3_1':0.03,'4_1':0.0},(103,214):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(103,213):{'3_1':0.03},(103,212):{'3_1':0.0,'4_1':0.0},(103,211):{'3_1':0.0,'4_1':0.0},(103,210):{'4_1':0.0,'3_1':0.0},(103,209):{'3_1':0.0},(103,208):{'3_1':0.0,'4_1':0.0},(103,207):{'3_1':0.0},(103,206):{'3_1':0.0,'8_20|3_1#3_1':0.0},(103,205):{'3_1':0.03},(103,204):{'3_1':0.0},(103,203):{'3_1':0.03,'8_20|3_1#3_1':0.0},(103,202):{'3_1':0.0},(103,201):{'3_1':0.0,'4_1':0.0},(103,200):{'3_1':0.0},(103,199):{'3_1':0.0},(103,198):{'3_1':0.03},(103,197):{'8_20|3_1#3_1':0.0},(103,196):{'3_1':0.0},(103,195):{'3_1':0.0},(103,194):{'3_1':0.0},(103,193):{'3_1':0.0},(103,191):{'3_1':0.0,'4_1':0.0},(103,190):{'3_1':0.0},(103,189):{'3_1':0.0},(103,188):{'3_1':0.0},(103,187):{'3_1':0.0},(103,185):{'3_1':0.0},(103,184):{'3_1':0.0,'8_20|3_1#3_1':0.0},(103,183):{'3_1':0.0},(103,182):{'3_1':0.03},(103,181):{'3_1':0.0},(103,179):{'3_1':0.03},(103,178):{'3_1':0.0},(103,177):{'3_1':0.03},(103,176):{'3_1':0.0},(103,175):{'3_1':0.0},(103,173):{'3_1':0.0},(103,172):{'4_1':0.0},(103,171):{'3_1':0.0},(103,170):{'3_1':0.0},(103,169):{'3_1':0.0},(103,168):{'3_1':0.0},(103,167):{'3_1':0.03},(103,166):{'3_1':0.0},(103,165):{'3_1':0.03},(103,163):{'3_1':0.0},(103,162):{'3_1':0.0},(103,161):{'3_1':0.0},(103,160):{'3_1':0.03},(103,159):{'3_1':0.0,'4_1':0.0},(103,156):{'3_1':0.0},(103,155):{'3_1':0.0},(103,154):{'3_1':0.0},(103,153):{'3_1':0.0},(103,152):{'3_1':0.0},(103,151):{'3_1':0.03},(103,150):{'3_1':0.0},(103,149):{'3_1':0.0},(103,148):{'3_1':0.0},(103,147):{'3_1':0.0},(103,146):{'3_1':0.03,'4_1':0.0},(103,144):{'3_1':0.0,'4_1':0.0},(103,143):{'3_1':0.0,'4_1':0.0},(103,142):{'3_1':0.0},(103,141):{'3_1':0.0},(103,132):{'3_1':0.0},(104,290):{'3_1':0.15,'6_3':0.0,'5_1':0.0},(104,289):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(104,288):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(104,287):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'-3':0.0},(104,286):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(104,285):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(104,284):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(104,283):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(104,282):{'3_1':0.15,'4_1':0.03},(104,281):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(104,280):{'3_1':0.24,'4_1':0.03,'6_3':0.0},(104,279):{'3_1':0.12,'5_2':0.0,'6_3':0.0,'4_1':0.0},(104,278):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'8_11':0.0},(104,277):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_11':0.0},(104,276):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0},(104,275):{'3_1':0.12,'4_1':0.0},(104,274):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(104,273):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(104,272):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(104,271):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(104,270):{'3_1':0.09,'4_1':0.0},(104,269):{'3_1':0.09,'5_1':0.0},(104,268):{'3_1':0.15,'5_2':0.0},(104,267):{'3_1':0.15,'8_21|3_1#4_1':0.0},(104,266):{'3_1':0.18},(104,265):{'3_1':0.09,'5_2':0.0,'7_3':0.0},(104,264):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(104,263):{'3_1':0.18},(104,262):{'3_1':0.12},(104,261):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(104,260):{'3_1':0.15},(104,259):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(104,258):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(104,257):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(104,256):{'3_1':0.12,'4_1':0.0},(104,255):{'3_1':0.15},(104,254):{'3_1':0.15},(104,253):{'3_1':0.12,'5_2':0.0,'6_3':0.0,'8_5':0.0},(104,252):{'3_1':0.12,'4_1':0.0},(104,251):{'3_1':0.09,'4_1':0.0},(104,250):{'3_1':0.09},(104,249):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_6':0.0},(104,248):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(104,247):{'3_1':0.12},(104,246):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(104,245):{'3_1':0.09,'4_1':0.0},(104,244):{'3_1':0.09,'5_2':0.0},(104,243):{'3_1':0.15,'8_20|3_1#3_1':0.0},(104,242):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(104,241):{'3_1':0.06},(104,240):{'3_1':0.06,'4_1':0.0},(104,239):{'3_1':0.09,'4_1':0.0},(104,238):{'3_1':0.03,'5_2':0.0},(104,237):{'3_1':0.03,'4_1':0.0},(104,236):{'3_1':0.03,'4_1':0.0},(104,235):{'3_1':0.03,'4_1':0.0},(104,234):{'3_1':0.03,'4_1':0.0},(104,233):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(104,232):{'3_1':0.03},(104,231):{'3_1':0.09,'4_1':0.0},(104,230):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(104,229):{'3_1':0.06},(104,228):{'6_2':0.0,'3_1':0.0,'4_1':0.0},(104,227):{'3_1':0.09},(104,226):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(104,225):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(104,224):{'3_1':0.03,'4_1':0.0},(104,223):{'3_1':0.09,'4_1':0.0},(104,222):{'3_1':0.03,'4_1':0.0},(104,221):{'3_1':0.03,'5_1':0.0},(104,220):{'3_1':0.06},(104,219):{'3_1':0.06,'6_2':0.0},(104,218):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(104,217):{'3_1':0.03,'4_1':0.0},(104,216):{'3_1':0.03,'4_1':0.0},(104,215):{'3_1':0.0},(104,214):{'3_1':0.03},(104,213):{'3_1':0.03},(104,212):{'3_1':0.09,'5_1':0.0},(104,211):{'3_1':0.03,'5_1':0.0},(104,210):{'3_1':0.06},(104,209):{'3_1':0.0,'5_1':0.0},(104,208):{'3_1':0.06,'4_1':0.0},(104,207):{'3_1':0.03},(104,206):{'3_1':0.0,'4_1':0.0},(104,205):{'3_1':0.0},(104,204):{'3_1':0.03},(104,203):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(104,202):{'3_1':0.0},(104,201):{'3_1':0.06},(104,200):{'3_1':0.0},(104,199):{'3_1':0.03},(104,198):{'3_1':0.0},(104,197):{'3_1':0.0},(104,196):{'3_1':0.0},(104,195):{'3_1':0.0},(104,194):{'3_1':0.0,'4_1':0.0},(104,193):{'3_1':0.0},(104,192):{'3_1':0.0},(104,191):{'3_1':0.0},(104,189):{'3_1':0.0},(104,188):{'3_1':0.0},(104,187):{'3_1':0.0},(104,185):{'3_1':0.03},(104,184):{'3_1':0.0},(104,183):{'3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(104,182):{'3_1':0.03,'4_1':0.0},(104,181):{'3_1':0.03},(104,180):{'3_1':0.03},(104,179):{'3_1':0.0,'8_20|3_1#3_1':0.0},(104,178):{'3_1':0.0},(104,177):{'4_1':0.0,'5_2':0.0},(104,176):{'3_1':0.03},(104,175):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(104,174):{'3_1':0.0},(104,173):{'3_1':0.03},(104,172):{'3_1':0.0},(104,171):{'3_1':0.0,'4_1':0.0},(104,170):{'3_1':0.0},(104,169):{'3_1':0.0},(104,168):{'3_1':0.0},(104,167):{'3_1':0.0},(104,165):{'3_1':0.0},(104,164):{'3_1':0.03},(104,163):{'3_1':0.0},(104,162):{'3_1':0.0},(104,161):{'3_1':0.0,'4_1':0.0},(104,160):{'3_1':0.0},(104,159):{'3_1':0.0,'4_1':0.0},(104,158):{'4_1':0.0,'3_1':0.0},(104,157):{'3_1':0.0,'4_1':0.0},(104,155):{'3_1':0.0},(104,154):{'4_1':0.0},(104,152):{'4_1':0.0},(104,151):{'3_1':0.0},(104,150):{'3_1':0.03,'4_1':0.0},(104,149):{'3_1':0.0},(104,148):{'3_1':0.0},(104,147):{'3_1':0.0},(104,145):{'3_1':0.0},(104,144):{'3_1':0.0},(104,142):{'3_1':0.0},(104,140):{'3_1':0.0},(104,139):{'3_1':0.0},(104,138):{'3_1':0.0},(105,290):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(105,289):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(105,288):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(105,287):{'3_1':0.09,'4_1':0.06,'6_3':0.0,'8_20|3_1#3_1':0.0},(105,286):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(105,285):{'3_1':0.24,'4_1':0.03},(105,284):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(105,283):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'6_3':0.0},(105,282):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(105,281):{'3_1':0.12,'4_1':0.03},(105,280):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(105,279):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(105,278):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(105,277):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_3':0.0},(105,276):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(105,275):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(105,274):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(105,273):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(105,272):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(105,271):{'3_1':0.12},(105,270):{'3_1':0.03,'5_2':0.0},(105,269):{'3_1':0.12,'5_2':0.0},(105,268):{'3_1':0.09,'6_2':0.0},(105,267):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(105,266):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(105,265):{'3_1':0.12},(105,264):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(105,263):{'3_1':0.18,'4_1':0.0},(105,262):{'3_1':0.09,'5_1':0.0},(105,261):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(105,260):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(105,259):{'3_1':0.15,'5_2':0.0},(105,258):{'3_1':0.21,'5_2':0.0},(105,257):{'3_1':0.18},(105,256):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(105,255):{'3_1':0.03},(105,254):{'3_1':0.09,'4_1':0.0},(105,253):{'3_1':0.12,'5_2':0.0},(105,252):{'3_1':0.21,'5_2':0.0},(105,251):{'3_1':0.06,'5_1':0.0},(105,250):{'3_1':0.06,'4_1':0.0},(105,249):{'3_1':0.06,'8_13':0.0},(105,248):{'3_1':0.03,'4_1':0.0},(105,247):{'3_1':0.15,'5_1':0.0},(105,246):{'3_1':0.15,'5_2':0.0},(105,245):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(105,244):{'3_1':0.12},(105,243):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(105,242):{'3_1':0.06,'5_2':0.0},(105,241):{'3_1':0.06,'7_6':0.0},(105,240):{'3_1':0.06,'4_1':0.0},(105,239):{'3_1':0.06},(105,238):{'3_1':0.06,'5_2':0.0},(105,237):{'3_1':0.03,'4_1':0.0},(105,236):{'3_1':0.0,'5_2':0.0},(105,235):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(105,234):{'3_1':0.06},(105,233):{'3_1':0.06},(105,232):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(105,231):{'3_1':0.03},(105,230):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(105,229):{'3_1':0.06,'6_1':0.0},(105,228):{'3_1':0.06,'5_2':0.0},(105,227):{'3_1':0.06,'4_1':0.0},(105,226):{'3_1':0.09,'4_1':0.0},(105,225):{'3_1':0.03,'4_1':0.0},(105,224):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(105,223):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(105,222):{'3_1':0.06,'4_1':0.03},(105,221):{'3_1':0.0,'4_1':0.0},(105,220):{'3_1':0.03,'4_1':0.0},(105,219):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(105,218):{'3_1':0.03},(105,217):{'3_1':0.03,'4_1':0.0},(105,216):{'3_1':0.0},(105,215):{'3_1':0.0,'4_1':0.0},(105,214):{'3_1':0.0},(105,213):{'3_1':0.0},(105,212):{'3_1':0.03},(105,211):{'3_1':0.0,'4_1':0.0},(105,210):{'3_1':0.03,'4_1':0.0},(105,209):{'3_1':0.0},(105,208):{'3_1':0.0},(105,207):{'3_1':0.0,'4_1':0.0},(105,206):{'3_1':0.0,'4_1':0.0},(105,205):{'3_1':0.03},(105,204):{'3_1':0.0,'6_3':0.0},(105,203):{'3_1':0.0},(105,202):{'3_1':0.0,'5_1':0.0},(105,201):{'3_1':0.0},(105,200):{'3_1':0.0,'5_1':0.0},(105,199):{'3_1':0.0},(105,198):{'3_1':0.0},(105,197):{'3_1':0.0},(105,193):{'4_1':0.0,'3_1':0.0},(105,192):{'3_1':0.0,'4_1':0.0},(105,190):{'3_1':0.0},(105,189):{'3_1':0.0},(105,186):{'3_1':0.03},(105,185):{'3_1':0.0},(105,184):{'3_1':0.03},(105,183):{'3_1':0.0,'8_21|3_1#4_1':0.0},(105,182):{'3_1':0.03},(105,180):{'3_1':0.03},(105,179):{'3_1':0.0},(105,178):{'3_1':0.06,'4_1':0.0},(105,176):{'3_1':0.0},(105,175):{'3_1':0.0},(105,174):{'3_1':0.0,'4_1':0.0},(105,173):{'3_1':0.0,'5_1':0.0},(105,172):{'3_1':0.0,'4_1':0.0},(105,171):{'3_1':0.03,'4_1':0.0},(105,169):{'3_1':0.0},(105,167):{'3_1':0.0},(105,166):{'3_1':0.0,'4_1':0.0},(105,165):{'3_1':0.03,'4_1':0.0},(105,164):{'3_1':0.0,'4_1':0.0},(105,162):{'3_1':0.0},(105,161):{'3_1':0.0},(105,160):{'3_1':0.03},(105,159):{'3_1':0.0},(105,158):{'3_1':0.0},(105,157):{'3_1':0.0,'4_1':0.0},(105,156):{'3_1':0.0},(105,155):{'3_1':0.0},(105,154):{'3_1':0.03},(105,153):{'3_1':0.0},(105,152):{'3_1':0.0},(105,151):{'3_1':0.0},(105,149):{'3_1':0.03},(105,148):{'3_1':0.0},(105,147):{'3_1':0.0},(105,146):{'3_1':0.0},(105,145):{'3_1':0.0},(105,144):{'3_1':0.0},(105,143):{'3_1':0.0},(105,141):{'3_1':0.0},(105,139):{'3_1':0.0},(105,137):{'3_1':0.0},(105,133):{'3_1':0.0},(106,290):{'3_1':0.15,'6_1':0.0},(106,289):{'3_1':0.18,'4_1':0.0,'6_3':0.0,'5_2':0.0},(106,288):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_13':0.0},(106,287):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(106,286):{'3_1':0.06,'6_3':0.0},(106,285):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(106,284):{'3_1':0.09,'4_1':0.06},(106,283):{'3_1':0.09,'4_1':0.0},(106,282):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(106,281):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(106,280):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(106,279):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(106,278):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(106,277):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(106,276):{'3_1':0.12,'4_1':0.0},(106,275):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(106,274):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(106,273):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(106,272):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(106,271):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(106,270):{'3_1':0.09},(106,269):{'3_1':0.06},(106,268):{'3_1':0.09,'5_2':0.0},(106,267):{'3_1':0.06},(106,266):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(106,265):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(106,264):{'3_1':0.06,'4_1':0.0},(106,263):{'3_1':0.09},(106,262):{'3_1':0.06,'5_2':0.0},(106,261):{'3_1':0.03,'5_1':0.0},(106,260):{'3_1':0.06,'5_2':0.0},(106,259):{'3_1':0.18,'5_2':0.0},(106,258):{'3_1':0.12,'4_1':0.0},(106,257):{'3_1':0.12},(106,256):{'3_1':0.09,'5_1':0.0},(106,255):{'3_1':0.09,'4_1':0.0},(106,254):{'3_1':0.09},(106,253):{'3_1':0.09,'4_1':0.0},(106,252):{'3_1':0.09,'5_2':0.0},(106,251):{'3_1':0.03,'5_1':0.0},(106,250):{'3_1':0.09},(106,249):{'3_1':0.06,'5_2':0.0},(106,248):{'3_1':0.06,'5_2':0.0},(106,247):{'3_1':0.0},(106,246):{'3_1':0.12},(106,245):{'3_1':0.12,'5_2':0.0},(106,244):{'3_1':0.09,'5_2':0.0},(106,243):{'3_1':0.09,'4_1':0.0},(106,242):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(106,241):{'3_1':0.06,'5_2':0.0},(106,240):{'3_1':0.03},(106,239):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(106,238):{'3_1':0.03,'4_1':0.0},(106,237):{'3_1':0.0},(106,236):{'3_1':0.03},(106,235):{'3_1':0.06},(106,234):{'3_1':0.03,'4_1':0.0},(106,233):{'3_1':0.03,'4_1':0.0},(106,232):{'3_1':0.0,'5_2':0.0},(106,231):{'3_1':0.0,'4_1':0.0},(106,230):{'3_1':0.03},(106,229):{'3_1':0.09,'4_1':0.0},(106,228):{'3_1':0.06,'4_1':0.0},(106,227):{'3_1':0.03,'4_1':0.0},(106,226):{'3_1':0.09,'6_2':0.0},(106,225):{'3_1':0.03,'5_2':0.0},(106,224):{'3_1':0.03},(106,223):{'3_1':0.06,'4_1':0.0},(106,222):{'3_1':0.0},(106,221):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(106,220):{'3_1':0.03},(106,219):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(106,218):{'3_1':0.0,'4_1':0.0},(106,217):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(106,216):{'3_1':0.0},(106,215):{'3_1':0.0,'4_1':0.0},(106,214):{'3_1':0.0},(106,213):{'3_1':0.0},(106,212):{'4_1':0.0},(106,211):{'3_1':0.0},(106,210):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(106,209):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(106,208):{'3_1':0.03,'4_1':0.0},(106,207):{'3_1':0.03},(106,206):{'3_1':0.0,'5_2':0.0},(106,205):{'3_1':0.06},(106,204):{'3_1':0.0},(106,203):{'3_1':0.0},(106,202):{'3_1':0.0},(106,200):{'3_1':0.0,'4_1':0.0},(106,199):{'3_1':0.0,'4_1':0.0},(106,198):{'3_1':0.0},(106,196):{'3_1':0.0},(106,195):{'3_1':0.0},(106,194):{'3_1':0.0},(106,193):{'3_1':0.0},(106,191):{'3_1':0.0},(106,190):{'3_1':0.0},(106,189):{'3_1':0.0},(106,188):{'5_2':0.0},(106,187):{'3_1':0.0},(106,185):{'3_1':0.0},(106,184):{'3_1':0.0,'5_2':0.0},(106,183):{'3_1':0.03},(106,182):{'3_1':0.0},(106,181):{'3_1':0.03},(106,180):{'3_1':0.0},(106,179):{'3_1':0.03},(106,178):{'3_1':0.03,'4_1':0.0},(106,177):{'3_1':0.0},(106,176):{'3_1':0.03},(106,175):{'3_1':0.03},(106,174):{'3_1':0.0},(106,173):{'3_1':0.0},(106,170):{'3_1':0.0,'4_1':0.0},(106,169):{'4_1':0.0},(106,168):{'3_1':0.0},(106,166):{'3_1':0.0,'4_1':0.0},(106,165):{'3_1':0.0},(106,164):{'3_1':0.0},(106,163):{'3_1':0.0,'4_1':0.0},(106,160):{'3_1':0.0},(106,158):{'4_1':0.0},(106,156):{'3_1':0.0,'4_1':0.0},(106,155):{'3_1':0.0},(106,154):{'3_1':0.03},(106,153):{'3_1':0.0},(106,152):{'3_1':0.0},(106,151):{'3_1':0.0},(106,150):{'3_1':0.0},(106,148):{'3_1':0.0},(106,147):{'3_1':0.0,'4_1':0.0},(106,146):{'3_1':0.0,'5_2':0.0},(106,145):{'3_1':0.0},(106,144):{'3_1':0.0},(106,143):{'3_1':0.0},(107,290):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(107,289):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(107,288):{'3_1':0.03,'4_1':0.0},(107,287):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(107,286):{'3_1':0.12,'4_1':0.06,'6_3':0.0},(107,285):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(107,284):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(107,283):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(107,282):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'-3':0.0},(107,281):{'3_1':0.09,'4_1':0.0},(107,280):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(107,279):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(107,278):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_3':0.0,'-3':0.0},(107,277):{'3_1':0.21,'4_1':0.06,'5_2':0.0},(107,276):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(107,275):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0},(107,274):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(107,273):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(107,272):{'3_1':0.09,'4_1':0.0},(107,271):{'3_1':0.09},(107,270):{'3_1':0.09},(107,269):{'3_1':0.03,'4_1':0.0},(107,268):{'3_1':0.06,'5_2':0.0},(107,267):{'3_1':0.09},(107,266):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(107,265):{'3_1':0.09,'5_2':0.0},(107,264):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(107,263):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(107,262):{'3_1':0.06,'5_2':0.0},(107,261):{'3_1':0.09},(107,260):{'3_1':0.06},(107,259):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(107,258):{'3_1':0.24,'5_2':0.0,'5_1':0.0},(107,257):{'3_1':0.12,'5_1':0.0},(107,256):{'3_1':0.12,'5_1':0.0},(107,255):{'3_1':0.09,'4_1':0.0},(107,254):{'3_1':0.06},(107,253):{'3_1':0.06,'4_1':0.0},(107,252):{'3_1':0.06},(107,251):{'3_1':0.06,'5_2':0.0},(107,250):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(107,249):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(107,248):{'3_1':0.09,'5_2':0.0},(107,247):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(107,246):{'3_1':0.09},(107,245):{'3_1':0.12},(107,244):{'3_1':0.06},(107,243):{'3_1':0.09},(107,242):{'3_1':0.03},(107,241):{'3_1':0.06,'5_2':0.0},(107,240):{'3_1':0.03},(107,239):{'3_1':0.03},(107,238):{'3_1':0.0},(107,237):{'3_1':0.03,'4_1':0.0},(107,236):{'3_1':0.03},(107,235):{'3_1':0.0,'4_1':0.0},(107,234):{'3_1':0.06,'4_1':0.0},(107,233):{'3_1':0.06,'6_2':0.0},(107,232):{'3_1':0.03,'4_1':0.0},(107,231):{'3_1':0.09},(107,230):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(107,229):{'3_1':0.09},(107,228):{'3_1':0.06,'4_1':0.0},(107,227):{'3_1':0.06,'4_1':0.0},(107,226):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(107,225):{'3_1':0.0},(107,224):{'3_1':0.03},(107,223):{'3_1':0.03},(107,222):{'3_1':0.06,'4_1':0.0},(107,221):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(107,220):{'3_1':0.03,'4_1':0.0},(107,219):{'3_1':0.06,'4_1':0.0},(107,218):{'3_1':0.03,'4_1':0.0},(107,217):{'3_1':0.03},(107,216):{'3_1':0.0,'4_1':0.0},(107,215):{'3_1':0.0,'4_1':0.0},(107,214):{'3_1':0.0},(107,213):{'3_1':0.0,'4_1':0.0},(107,212):{'3_1':0.0},(107,211):{'3_1':0.0},(107,210):{'3_1':0.0},(107,209):{'3_1':0.0},(107,208):{'3_1':0.0},(107,207):{'3_1':0.0},(107,206):{'3_1':0.0,'4_1':0.0},(107,205):{'3_1':0.0},(107,204):{'3_1':0.0},(107,203):{'3_1':0.03},(107,202):{'3_1':0.03},(107,201):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(107,199):{'3_1':0.0},(107,198):{'3_1':0.0},(107,197):{'3_1':0.0},(107,195):{'3_1':0.0},(107,194):{'3_1':0.0,'5_2':0.0},(107,193):{'3_1':0.0},(107,189):{'3_1':0.0},(107,188):{'3_1':0.0,'4_1':0.0},(107,187):{'3_1':0.0},(107,186):{'3_1':0.0},(107,185):{'3_1':0.0},(107,184):{'3_1':0.03},(107,183):{'3_1':0.0},(107,182):{'3_1':0.03},(107,181):{'3_1':0.0},(107,180):{'3_1':0.0},(107,179):{'3_1':0.03},(107,178):{'3_1':0.0,'5_1':0.0},(107,177):{'3_1':0.03},(107,176):{'3_1':0.03},(107,175):{'3_1':0.0,'5_1':0.0},(107,174):{'3_1':0.0},(107,173):{'4_1':0.0},(107,172):{'3_1':0.0,'4_1':0.0},(107,171):{'3_1':0.0},(107,170):{'3_1':0.0,'4_1':0.0},(107,169):{'3_1':0.0,'4_1':0.0},(107,168):{'3_1':0.0},(107,167):{'3_1':0.0},(107,166):{'3_1':0.0},(107,165):{'3_1':0.0},(107,163):{'3_1':0.0},(107,161):{'3_1':0.0},(107,160):{'3_1':0.0},(107,159):{'3_1':0.0},(107,158):{'3_1':0.0},(107,157):{'3_1':0.0},(107,156):{'3_1':0.03},(107,155):{'4_1':0.0},(107,154):{'3_1':0.0,'4_1':0.0},(107,153):{'3_1':0.0},(107,151):{'3_1':0.0},(107,147):{'3_1':0.0,'4_1':0.0},(107,146):{'3_1':0.0},(107,145):{'3_1':0.0},(107,142):{'3_1':0.0},(108,290):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(108,289):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'5_2':0.0,'6_1':0.0},(108,288):{'3_1':0.09,'4_1':0.03},(108,287):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'8_11':0.0},(108,286):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(108,285):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(108,284):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'5_2':0.0,'8_6':0.0},(108,283):{'3_1':0.15,'5_2':0.0},(108,282):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(108,281):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(108,280):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(108,279):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(108,278):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_11':0.0},(108,277):{'3_1':0.15,'5_2':0.0,'7_2':0.0,'7_6':0.0},(108,276):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(108,275):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(108,274):{'3_1':0.12,'4_1':0.03},(108,273):{'3_1':0.09,'4_1':0.0},(108,272):{'3_1':0.15,'6_1':0.0},(108,271):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(108,270):{'3_1':0.09,'5_2':0.0},(108,269):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(108,268):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(108,267):{'3_1':0.09},(108,266):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(108,265):{'3_1':0.03},(108,264):{'3_1':0.06},(108,263):{'3_1':0.09,'4_1':0.0},(108,262):{'3_1':0.09},(108,261):{'3_1':0.12,'4_1':0.0},(108,260):{'3_1':0.06},(108,259):{'3_1':0.18,'5_1':0.0},(108,258):{'3_1':0.21,'5_1':0.0,'8_21|3_1#4_1':0.0},(108,257):{'3_1':0.12,'5_2':0.0},(108,256):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(108,255):{'3_1':0.12},(108,254):{'3_1':0.09},(108,253):{'3_1':0.06,'5_2':0.0},(108,252):{'3_1':0.09},(108,251):{'3_1':0.09},(108,250):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(108,249):{'3_1':0.06,'4_1':0.0},(108,248):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(108,247):{'3_1':0.06},(108,246):{'3_1':0.06},(108,245):{'3_1':0.09},(108,244):{'3_1':0.09},(108,243):{'3_1':0.09},(108,242):{'3_1':0.06},(108,241):{'3_1':0.06},(108,240):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(108,239):{'3_1':0.06,'5_2':0.0},(108,238):{'3_1':0.03,'4_1':0.0},(108,237):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(108,236):{'3_1':0.03},(108,235):{'3_1':0.0,'4_1':0.0},(108,234):{'3_1':0.03},(108,233):{'3_1':0.03},(108,232):{'3_1':0.0},(108,231):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(108,230):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(108,229):{'3_1':0.03},(108,228):{'3_1':0.0},(108,227):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(108,226):{'3_1':0.09,'4_1':0.0},(108,225):{'3_1':0.06},(108,224):{'3_1':0.03},(108,223):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(108,222):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(108,221):{'3_1':0.03,'6_2':0.0},(108,220):{'3_1':0.06},(108,219):{'3_1':0.03,'4_1':0.0},(108,218):{'3_1':0.0},(108,217):{'3_1':0.03},(108,216):{'3_1':0.03,'6_2':0.0},(108,215):{'3_1':0.03},(108,213):{'3_1':0.0,'4_1':0.0},(108,212):{'3_1':0.0,'4_1':0.0},(108,211):{'3_1':0.0},(108,210):{'3_1':0.03},(108,209):{'3_1':0.06},(108,208):{'3_1':0.03},(108,207):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(108,206):{'3_1':0.0},(108,205):{'3_1':0.03},(108,204):{'3_1':0.03},(108,203):{'3_1':0.03,'4_1':0.0},(108,202):{'3_1':0.0},(108,201):{'3_1':0.03},(108,200):{'3_1':0.03},(108,199):{'3_1':0.0,'-3':0.0},(108,198):{'3_1':0.03},(108,197):{'3_1':0.0,'5_2':0.0},(108,196):{'3_1':0.0,'5_2':0.0},(108,195):{'3_1':0.0},(108,194):{'3_1':0.0},(108,193):{'3_1':0.0,'4_1':0.0},(108,191):{'3_1':0.0,'5_2':0.0,'-3':0.0},(108,190):{'3_1':0.0},(108,189):{'3_1':0.0},(108,188):{'3_1':0.0,'5_2':0.0},(108,187):{'3_1':0.0},(108,186):{'3_1':0.0,'5_1':0.0},(108,185):{'3_1':0.0},(108,184):{'3_1':0.03},(108,183):{'3_1':0.0},(108,182):{'3_1':0.0},(108,181):{'3_1':0.03},(108,180):{'3_1':0.0},(108,179):{'3_1':0.06,'5_1':0.0},(108,178):{'3_1':0.0},(108,177):{'3_1':0.03},(108,176):{'3_1':0.0},(108,175):{'3_1':0.0},(108,174):{'3_1':0.03},(108,173):{'3_1':0.0},(108,172):{'3_1':0.03},(108,170):{'4_1':0.0},(108,169):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(108,168):{'4_1':0.03,'3_1':0.0},(108,167):{'3_1':0.0,'4_1':0.0},(108,165):{'3_1':0.0},(108,163):{'4_1':0.0,'3_1':0.0},(108,162):{'3_1':0.0},(108,161):{'3_1':0.0},(108,160):{'3_1':0.0,'4_1':0.0},(108,159):{'3_1':0.0},(108,158):{'4_1':0.0,'3_1':0.0},(108,157):{'3_1':0.0},(108,155):{'3_1':0.0},(108,154):{'3_1':0.0},(108,153):{'3_1':0.03},(108,152):{'3_1':0.0},(108,151):{'3_1':0.0},(108,150):{'3_1':0.0,'4_1':0.0},(108,149):{'3_1':0.0},(108,148):{'3_1':0.0},(108,147):{'3_1':0.0},(108,145):{'3_1':0.0},(108,144):{'3_1':0.0},(108,143):{'3_1':0.0},(108,141):{'4_1':0.0},(109,290):{'3_1':0.09,'5_1':0.0},(109,289):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(109,288):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0},(109,287):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(109,286):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(109,285):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0},(109,284):{'3_1':0.12,'4_1':0.0},(109,283):{'3_1':0.12,'4_1':0.03},(109,282):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(109,281):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(109,280):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(109,279):{'3_1':0.09,'5_2':0.0,'8_6':0.0},(109,278):{'3_1':0.06,'4_1':0.03,'-3':0.0},(109,277):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(109,276):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(109,275):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(109,274):{'3_1':0.12,'4_1':0.0},(109,273):{'3_1':0.09,'4_1':0.0},(109,272):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(109,271):{'3_1':0.12,'5_2':0.0},(109,270):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(109,269):{'3_1':0.12},(109,268):{'3_1':0.03},(109,267):{'3_1':0.09,'5_1':0.0},(109,266):{'3_1':0.06,'4_1':0.0},(109,265):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(109,264):{'3_1':0.03},(109,263):{'3_1':0.06,'-3':0.0},(109,262):{'3_1':0.03},(109,261):{'3_1':0.03},(109,260):{'3_1':0.15,'5_2':0.0},(109,259):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(109,258):{'3_1':0.18,'8_20|3_1#3_1':0.0,'7_5':0.0},(109,257):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0},(109,256):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(109,255):{'3_1':0.12,'4_1':0.0},(109,254):{'3_1':0.15},(109,253):{'3_1':0.09},(109,252):{'3_1':0.12,'5_2':0.0},(109,251):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(109,250):{'3_1':0.12,'5_1':0.0},(109,249):{'3_1':0.06},(109,248):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(109,247):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(109,246):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(109,245):{'3_1':0.09,'5_2':0.0},(109,244):{'3_1':0.06},(109,243):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(109,242):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(109,241):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(109,240):{'3_1':0.06,'4_1':0.0},(109,239):{'3_1':0.06},(109,238):{'3_1':0.03,'4_1':0.0},(109,237):{'3_1':0.03,'4_1':0.0},(109,236):{'3_1':0.03},(109,235):{'3_1':0.0,'4_1':0.0},(109,234):{'3_1':0.0,'4_1':0.0},(109,233):{'3_1':0.06,'4_1':0.0},(109,232):{'3_1':0.03},(109,231):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(109,230):{'3_1':0.09,'4_1':0.0},(109,229):{'3_1':0.03,'4_1':0.0},(109,228):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(109,227):{'3_1':0.06},(109,226):{'3_1':0.03},(109,225):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(109,224):{'3_1':0.03},(109,223):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(109,222):{'3_1':0.03,'4_1':0.0},(109,221):{'3_1':0.03,'4_1':0.0},(109,220):{'3_1':0.06,'6_2':0.0},(109,219):{'3_1':0.06,'4_1':0.0},(109,218):{'3_1':0.06},(109,217):{'3_1':0.09},(109,216):{'3_1':0.03,'4_1':0.0},(109,215):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(109,214):{'3_1':0.0,'4_1':0.0},(109,213):{'3_1':0.0},(109,212):{'3_1':0.03},(109,211):{'3_1':0.03},(109,210):{'3_1':0.0},(109,209):{'3_1':0.03},(109,208):{'3_1':0.03},(109,207):{'3_1':0.03,'5_2':0.0},(109,206):{'3_1':0.09},(109,205):{'3_1':0.03,'5_1':0.0},(109,204):{'3_1':0.0},(109,203):{'3_1':0.03},(109,202):{'3_1':0.0,'4_1':0.0},(109,201):{'3_1':0.0},(109,200):{'3_1':0.0,'5_2':0.0},(109,199):{'3_1':0.0},(109,198):{'3_1':0.0},(109,197):{'3_1':0.0},(109,196):{'3_1':0.0},(109,194):{'3_1':0.0},(109,193):{'3_1':0.0},(109,192):{'3_1':0.0},(109,191):{'3_1':0.0},(109,190):{'3_1':0.0},(109,189):{'3_1':0.0},(109,188):{'3_1':0.03},(109,187):{'3_1':0.0},(109,186):{'3_1':0.0},(109,185):{'3_1':0.0},(109,183):{'3_1':0.0},(109,182):{'3_1':0.03},(109,181):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(109,180):{'3_1':0.0},(109,179):{'3_1':0.0},(109,178):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(109,177):{'3_1':0.0},(109,176):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(109,175):{'3_1':0.03},(109,174):{'3_1':0.0},(109,171):{'3_1':0.0,'4_1':0.0},(109,170):{'3_1':0.0},(109,169):{'3_1':0.0,'4_1':0.0},(109,168):{'4_1':0.0},(109,167):{'3_1':0.0},(109,166):{'4_1':0.0,'3_1':0.0},(109,165):{'3_1':0.0,'4_1':0.0},(109,164):{'3_1':0.0},(109,163):{'3_1':0.0},(109,162):{'3_1':0.0,'4_1':0.0},(109,160):{'3_1':0.0},(109,159):{'3_1':0.0},(109,158):{'5_2':0.0},(109,156):{'3_1':0.0},(109,154):{'3_1':0.0},(109,153):{'3_1':0.0},(109,152):{'3_1':0.0,'5_2':0.0},(109,151):{'3_1':0.0},(109,150):{'3_1':0.0},(109,149):{'3_1':0.03},(109,148):{'3_1':0.0},(109,147):{'3_1':0.0},(109,144):{'3_1':0.0},(109,141):{'3_1':0.0},(110,290):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_3':0.0},(110,289):{'3_1':0.18,'4_1':0.0},(110,288):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(110,287):{'3_1':0.06,'4_1':0.0},(110,286):{'3_1':0.06,'4_1':0.03},(110,285):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(110,284):{'3_1':0.09,'4_1':0.03},(110,283):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(110,282):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(110,281):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(110,280):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(110,279):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(110,278):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(110,277):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(110,276):{'3_1':0.12,'5_2':0.0,'6_3':0.0,'5_1':0.0,'6_1':0.0},(110,275):{'3_1':0.18,'4_1':0.0},(110,274):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0},(110,273):{'3_1':0.15,'4_1':0.0},(110,272):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(110,271):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(110,270):{'3_1':0.06,'4_1':0.0},(110,269):{'3_1':0.09},(110,268):{'3_1':0.06},(110,267):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(110,266):{'3_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0},(110,265):{'3_1':0.12,'4_1':0.0},(110,264):{'3_1':0.06,'4_1':0.0},(110,263):{'3_1':0.09,'5_2':0.0},(110,262):{'3_1':0.12,'5_2':0.0},(110,261):{'3_1':0.09,'4_1':0.0},(110,260):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(110,259):{'3_1':0.18},(110,258):{'3_1':0.18},(110,257):{'3_1':0.12,'5_1':0.0},(110,256):{'3_1':0.06,'5_2':0.0},(110,255):{'3_1':0.06},(110,254):{'3_1':0.06},(110,253):{'3_1':0.09,'5_2':0.0},(110,252):{'3_1':0.06},(110,251):{'3_1':0.12,'4_1':0.0},(110,250):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(110,249):{'3_1':0.09,'5_2':0.0},(110,248):{'3_1':0.09},(110,247):{'3_1':0.03,'5_1':0.0},(110,246):{'3_1':0.12},(110,245):{'3_1':0.09,'5_2':0.0},(110,244):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(110,243):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(110,242):{'3_1':0.06,'5_1':0.0},(110,241):{'3_1':0.06,'4_1':0.0},(110,240):{'3_1':0.09,'6_2':0.0},(110,239):{'3_1':0.06},(110,238):{'3_1':0.06},(110,237):{'3_1':0.03,'4_1':0.0},(110,236):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(110,235):{'3_1':0.03},(110,234):{'3_1':0.09,'4_1':0.0},(110,233):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(110,232):{'3_1':0.06},(110,231):{'3_1':0.0,'4_1':0.0},(110,230):{'3_1':0.0,'4_1':0.0},(110,229):{'3_1':0.0},(110,228):{'3_1':0.03,'4_1':0.0},(110,227):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(110,226):{'3_1':0.06},(110,225):{'3_1':0.03},(110,224):{'3_1':0.03,'4_1':0.0},(110,223):{'3_1':0.03,'4_1':0.0},(110,222):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(110,221):{'3_1':0.06,'4_1':0.0},(110,220):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(110,219):{'3_1':0.06},(110,218):{'3_1':0.06},(110,217):{'3_1':0.03,'4_1':0.0},(110,216):{'3_1':0.0,'4_1':0.0},(110,215):{'3_1':0.03,'4_1':0.0},(110,214):{'3_1':0.03},(110,213):{'3_1':0.03,'4_1':0.0},(110,212):{'3_1':0.03,'4_1':0.0},(110,211):{'3_1':0.06,'4_1':0.0},(110,210):{'3_1':0.03},(110,209):{'3_1':0.0},(110,208):{'3_1':0.03},(110,207):{'3_1':0.03},(110,206):{'3_1':0.03,'4_1':0.0},(110,205):{'3_1':0.0,'5_1':0.0},(110,204):{'3_1':0.0},(110,203):{'3_1':0.0},(110,202):{'3_1':0.06},(110,201):{'3_1':0.0},(110,198):{'3_1':0.0},(110,197):{'3_1':0.0},(110,196):{'3_1':0.0},(110,195):{'3_1':0.0},(110,194):{'3_1':0.0},(110,193):{'3_1':0.0},(110,192):{'3_1':0.0},(110,191):{'3_1':0.0},(110,190):{'3_1':0.0},(110,189):{'3_1':0.0},(110,188):{'3_1':0.0},(110,187):{'3_1':0.03},(110,186):{'3_1':0.03},(110,185):{'3_1':0.0},(110,184):{'3_1':0.0,'8_14':0.0},(110,183):{'3_1':0.0},(110,182):{'3_1':0.0,'4_1':0.0},(110,181):{'3_1':0.0},(110,180):{'3_1':0.06},(110,179):{'3_1':0.03,'4_1':0.0},(110,178):{'3_1':0.03},(110,177):{'3_1':0.0,'4_1':0.0},(110,176):{'3_1':0.0},(110,175):{'3_1':0.0},(110,174):{'3_1':0.0,'5_2':0.0},(110,173):{'3_1':0.0,'4_1':0.0},(110,172):{'3_1':0.0},(110,171):{'3_1':0.0,'4_1':0.0},(110,170):{'3_1':0.0,'4_1':0.0},(110,169):{'3_1':0.0,'4_1':0.0},(110,168):{'3_1':0.0,'4_1':0.0},(110,167):{'4_1':0.0},(110,166):{'3_1':0.0},(110,165):{'4_1':0.0,'3_1':0.0},(110,164):{'3_1':0.0,'4_1':0.0},(110,163):{'3_1':0.0,'4_1':0.0},(110,162):{'3_1':0.0},(110,161):{'3_1':0.0},(110,160):{'3_1':0.0},(110,159):{'3_1':0.0},(110,158):{'3_1':0.0,'4_1':0.0},(110,157):{'3_1':0.0},(110,156):{'3_1':0.0},(110,155):{'3_1':0.0},(110,154):{'3_1':0.0},(110,153):{'3_1':0.0},(110,152):{'3_1':0.0,'5_2':0.0},(110,151):{'3_1':0.0,'4_1':0.0},(110,150):{'3_1':0.0},(110,149):{'3_1':0.0},(110,148):{'3_1':0.0},(110,147):{'3_1':0.0},(110,146):{'3_1':0.0},(110,145):{'3_1':0.03},(110,144):{'3_1':0.03},(110,142):{'3_1':0.0},(111,290):{'3_1':0.18,'4_1':0.0},(111,289):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(111,288):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(111,287):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(111,286):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(111,285):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_3':0.0},(111,284):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0},(111,283):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(111,282):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(111,281):{'3_1':0.15,'4_1':0.0},(111,280):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(111,279):{'3_1':0.12,'4_1':0.03,'8_21|3_1#4_1':0.0},(111,278):{'3_1':0.12,'4_1':0.0},(111,277):{'3_1':0.15,'5_1':0.0,'6_2':0.0,'-3':0.0},(111,276):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(111,275):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(111,274):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(111,273):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(111,272):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(111,271):{'3_1':0.09,'5_2':0.0},(111,270):{'3_1':0.03},(111,269):{'3_1':0.15},(111,268):{'3_1':0.09,'-3':0.0},(111,267):{'3_1':0.15,'8_20|3_1#3_1':0.0},(111,266):{'3_1':0.09,'6_3':0.0},(111,265):{'3_1':0.09,'5_2':0.0},(111,264):{'3_1':0.12,'5_2':0.0},(111,263):{'3_1':0.12},(111,262):{'3_1':0.15,'4_1':0.0},(111,261):{'3_1':0.15,'5_2':0.0},(111,260):{'3_1':0.12},(111,259):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(111,258):{'3_1':0.15,'5_1':0.0,'6_3':0.0},(111,257):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(111,256):{'3_1':0.09},(111,255):{'3_1':0.12},(111,254):{'3_1':0.09,'4_1':0.0},(111,253):{'3_1':0.09,'5_2':0.0},(111,252):{'3_1':0.15,'6_1':0.0,'5_2':0.0},(111,251):{'3_1':0.03},(111,250):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(111,249):{'3_1':0.06,'4_1':0.0},(111,248):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(111,247):{'3_1':0.06,'4_1':0.0},(111,246):{'3_1':0.09,'4_1':0.0},(111,245):{'3_1':0.09,'5_1':0.0},(111,244):{'3_1':0.09},(111,243):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(111,242):{'3_1':0.03,'4_1':0.0},(111,241):{'3_1':0.0,'5_2':0.0},(111,240):{'4_1':0.0,'3_1':0.0},(111,239):{'3_1':0.06},(111,238):{'3_1':0.03},(111,237):{'3_1':0.03},(111,236):{'3_1':0.03},(111,235):{'3_1':0.0,'4_1':0.0},(111,234):{'3_1':0.03,'4_1':0.0},(111,233):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(111,232):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(111,231):{'3_1':0.06,'5_1':0.0},(111,230):{'3_1':0.03,'4_1':0.0},(111,229):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(111,228):{'3_1':0.09},(111,227):{'3_1':0.09,'4_1':0.03},(111,226):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(111,225):{'3_1':0.0},(111,224):{'3_1':0.06},(111,223):{'3_1':0.03,'4_1':0.0},(111,222):{'3_1':0.06},(111,221):{'3_1':0.03,'4_1':0.0},(111,220):{'3_1':0.03},(111,219):{'3_1':0.03,'6_2':0.0,'6_3':0.0},(111,218):{'3_1':0.06},(111,217):{'3_1':0.0,'4_1':0.0},(111,216):{'3_1':0.03},(111,215):{'3_1':0.0,'5_1':0.0},(111,213):{'3_1':0.0},(111,212):{'3_1':0.03},(111,211):{'3_1':0.0},(111,210):{'3_1':0.0,'4_1':0.0},(111,209):{'3_1':0.0},(111,208):{'3_1':0.0},(111,207):{'3_1':0.03,'4_1':0.0},(111,206):{'3_1':0.03,'5_1':0.0},(111,205):{'3_1':0.0},(111,204):{'3_1':0.03},(111,203):{'3_1':0.06},(111,202):{'3_1':0.0},(111,201):{'3_1':0.06},(111,200):{'3_1':0.0},(111,199):{'3_1':0.0},(111,198):{'3_1':0.0},(111,197):{'3_1':0.0,'8_20|3_1#3_1':0.0},(111,196):{'3_1':0.0},(111,195):{'3_1':0.0},(111,193):{'3_1':0.03,'4_1':0.0},(111,192):{'3_1':0.0},(111,191):{'3_1':0.0},(111,189):{'3_1':0.0},(111,188):{'3_1':0.0},(111,187):{'3_1':0.0},(111,186):{'3_1':0.03},(111,185):{'3_1':0.0},(111,184):{'3_1':0.03},(111,183):{'3_1':0.06,'5_1':0.0},(111,182):{'3_1':0.03,'5_2':0.0},(111,181):{'3_1':0.0,'6_2':0.0},(111,180):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(111,179):{'3_1':0.06,'4_1':0.0},(111,178):{'3_1':0.0},(111,177):{'3_1':0.0},(111,176):{'3_1':0.0,'4_1':0.0},(111,175):{'5_1':0.0},(111,174):{'3_1':0.03},(111,173):{'3_1':0.0,'4_1':0.0},(111,172):{'3_1':0.0},(111,171):{'3_1':0.0},(111,170):{'3_1':0.0,'4_1':0.0},(111,169):{'4_1':0.0},(111,168):{'3_1':0.0,'4_1':0.0},(111,167):{'3_1':0.0},(111,166):{'3_1':0.0},(111,165):{'3_1':0.0},(111,164):{'3_1':0.0},(111,162):{'3_1':0.03},(111,161):{'3_1':0.0},(111,160):{'3_1':0.0},(111,159):{'4_1':0.0},(111,158):{'3_1':0.0,'4_1':0.0},(111,157):{'3_1':0.03},(111,156):{'3_1':0.0},(111,155):{'4_1':0.0},(111,154):{'3_1':0.0},(111,153):{'3_1':0.0},(111,152):{'3_1':0.03},(111,151):{'3_1':0.0},(111,149):{'3_1':0.0},(111,148):{'3_1':0.0},(111,146):{'3_1':0.0,'4_1':0.0},(111,145):{'3_1':0.0},(111,144):{'3_1':0.0},(111,143):{'3_1':0.03},(111,142):{'3_1':0.0,'4_1':0.0},(112,290):{'3_1':0.15,'4_1':0.0},(112,289):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(112,288):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(112,287):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(112,286):{'3_1':0.09,'4_1':0.03},(112,285):{'3_1':0.09,'4_1':0.0},(112,284):{'3_1':0.15,'5_2':0.0},(112,283):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(112,282):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(112,281):{'3_1':0.12,'4_1':0.03},(112,280):{'3_1':0.06,'4_1':0.03,'5_2':0.03},(112,279):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(112,278):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0},(112,277):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_3':0.0},(112,276):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(112,275):{'3_1':0.12,'6_3':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(112,274):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0},(112,273):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(112,272):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0},(112,271):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(112,270):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(112,269):{'3_1':0.15,'5_2':0.0},(112,268):{'3_1':0.15,'4_1':0.0},(112,267):{'3_1':0.12,'4_1':0.0},(112,266):{'3_1':0.09},(112,265):{'3_1':0.06,'4_1':0.0},(112,264):{'3_1':0.09},(112,263):{'3_1':0.12},(112,262):{'3_1':0.15,'4_1':0.0},(112,261):{'3_1':0.09},(112,260):{'3_1':0.15,'4_1':0.0},(112,259):{'3_1':0.15},(112,258):{'3_1':0.18,'5_1':0.0,'8_20|3_1#3_1':0.0},(112,257):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(112,256):{'3_1':0.06},(112,255):{'3_1':0.09,'4_1':0.03},(112,254):{'3_1':0.06,'4_1':0.0},(112,253):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(112,252):{'3_1':0.09},(112,251):{'3_1':0.12,'4_1':0.0},(112,250):{'3_1':0.09,'6_3':0.0},(112,249):{'3_1':0.12,'4_1':0.0},(112,248):{'3_1':0.09,'5_2':0.0},(112,247):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(112,246):{'3_1':0.03,'5_1':0.0},(112,245):{'3_1':0.09},(112,244):{'3_1':0.09,'4_1':0.0},(112,243):{'3_1':0.12},(112,242):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(112,241):{'3_1':0.06,'5_2':0.03,'7_4':0.0},(112,240):{'3_1':0.06,'4_1':0.0},(112,239):{'3_1':0.03,'4_1':0.0},(112,238):{'3_1':0.03},(112,237):{'3_1':0.03,'4_1':0.0},(112,236):{'3_1':0.0,'4_1':0.0},(112,235):{'3_1':0.03,'4_1':0.0},(112,234):{'3_1':0.03},(112,233):{'3_1':0.03},(112,232):{'3_1':0.03},(112,231):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(112,230):{'3_1':0.06,'8_20|3_1#3_1':0.0},(112,229):{'3_1':0.03},(112,228):{'3_1':0.0,'4_1':0.0},(112,227):{'3_1':0.0,'4_1':0.0},(112,226):{'3_1':0.06,'4_1':0.03},(112,225):{'3_1':0.06,'4_1':0.0},(112,224):{'3_1':0.06,'4_1':0.0},(112,223):{'3_1':0.09,'4_1':0.0},(112,222):{'3_1':0.03,'4_1':0.03},(112,221):{'3_1':0.03,'4_1':0.0},(112,220):{'3_1':0.03,'4_1':0.0},(112,219):{'3_1':0.0},(112,218):{'3_1':0.0,'4_1':0.0},(112,217):{'3_1':0.03,'4_1':0.0},(112,216):{'3_1':0.0},(112,215):{'3_1':0.03},(112,214):{'3_1':0.03},(112,213):{'3_1':0.0},(112,212):{'3_1':0.0},(112,211):{'3_1':0.0},(112,210):{'3_1':0.0},(112,209):{'3_1':0.0,'5_1':0.0},(112,208):{'3_1':0.03},(112,207):{'3_1':0.03},(112,206):{'3_1':0.06},(112,205):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(112,204):{'3_1':0.03},(112,203):{'3_1':0.03},(112,202):{'3_1':0.03},(112,201):{'3_1':0.0},(112,200):{'3_1':0.03},(112,199):{'3_1':0.0},(112,198):{'3_1':0.0},(112,197):{'4_1':0.0},(112,195):{'3_1':0.0,'4_1':0.0},(112,194):{'3_1':0.0},(112,193):{'3_1':0.0,'4_1':0.0},(112,192):{'3_1':0.0},(112,191):{'3_1':0.0},(112,190):{'3_1':0.0,'4_1':0.0},(112,189):{'3_1':0.0},(112,188):{'3_1':0.03},(112,187):{'3_1':0.0},(112,186):{'3_1':0.0,'5_1':0.0},(112,185):{'3_1':0.0},(112,184):{'3_1':0.0},(112,183):{'3_1':0.0},(112,182):{'3_1':0.0,'4_1':0.0},(112,181):{'3_1':0.0,'5_2':0.0},(112,179):{'4_1':0.0},(112,178):{'3_1':0.0,'4_1':0.0},(112,177):{'3_1':0.0,'4_1':0.0},(112,176):{'3_1':0.0,'4_1':0.0},(112,175):{'3_1':0.0,'4_1':0.0},(112,174):{'3_1':0.06,'5_1':0.0},(112,173):{'3_1':0.0},(112,172):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(112,171):{'3_1':0.0},(112,170):{'3_1':0.0},(112,169):{'3_1':0.0,'6_1':0.0},(112,168):{'4_1':0.0},(112,167):{'3_1':0.0},(112,166):{'3_1':0.0},(112,165):{'3_1':0.0,'4_1':0.0},(112,164):{'3_1':0.0,'4_1':0.0},(112,163):{'3_1':0.0},(112,162):{'3_1':0.0},(112,161):{'3_1':0.0},(112,160):{'3_1':0.0},(112,158):{'3_1':0.0},(112,156):{'3_1':0.0},(112,155):{'3_1':0.0},(112,154):{'3_1':0.0},(112,153):{'3_1':0.0},(112,152):{'3_1':0.0},(112,149):{'3_1':0.0},(112,148):{'3_1':0.0},(112,147):{'3_1':0.0},(112,146):{'3_1':0.0},(112,145):{'3_1':0.0},(112,144):{'3_1':0.03},(112,143):{'3_1':0.0},(112,137):{'3_1':0.0},(113,290):{'3_1':0.12,'5_1':0.0},(113,289):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_19':0.0},(113,288):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(113,287):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(113,286):{'3_1':0.09,'4_1':0.0},(113,285):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(113,284):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(113,283):{'3_1':0.12,'4_1':0.03},(113,282):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(113,281):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(113,280):{'3_1':0.12,'4_1':0.03},(113,279):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(113,278):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(113,277):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(113,276):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(113,275):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(113,274):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(113,273):{'3_1':0.12,'4_1':0.0},(113,272):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(113,271):{'3_1':0.12,'5_1':0.0},(113,270):{'3_1':0.09},(113,269):{'3_1':0.09},(113,268):{'3_1':0.06},(113,267):{'3_1':0.09,'5_2':0.0},(113,266):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(113,265):{'3_1':0.09,'4_1':0.0},(113,264):{'3_1':0.09,'4_1':0.0},(113,263):{'3_1':0.09,'4_1':0.0},(113,262):{'3_1':0.09},(113,261):{'3_1':0.09,'4_1':0.0},(113,260):{'3_1':0.15,'5_1':0.0},(113,259):{'3_1':0.09,'5_1':0.0},(113,258):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(113,257):{'3_1':0.18},(113,256):{'3_1':0.12},(113,255):{'3_1':0.09},(113,254):{'3_1':0.12},(113,253):{'3_1':0.06,'5_2':0.0},(113,252):{'3_1':0.06},(113,251):{'3_1':0.09},(113,250):{'3_1':0.06},(113,249):{'3_1':0.03},(113,248):{'3_1':0.03,'4_1':0.0},(113,247):{'3_1':0.06,'4_1':0.0},(113,246):{'3_1':0.06,'4_1':0.0},(113,245):{'3_1':0.15},(113,244):{'3_1':0.06,'4_1':0.0},(113,243):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(113,242):{'3_1':0.09,'5_2':0.0},(113,241):{'3_1':0.06,'4_1':0.0},(113,240):{'3_1':0.03},(113,239):{'3_1':0.03},(113,238):{'3_1':0.03},(113,237):{'3_1':0.0,'4_1':0.0},(113,236):{'3_1':0.0,'4_1':0.0},(113,235):{'3_1':0.03},(113,234):{'3_1':0.06},(113,233):{'3_1':0.03,'4_1':0.0},(113,232):{'3_1':0.03},(113,231):{'3_1':0.06,'4_1':0.0},(113,230):{'3_1':0.0,'4_1':0.0},(113,229):{'3_1':0.03,'4_1':0.0},(113,228):{'3_1':0.03},(113,227):{'3_1':0.06},(113,226):{'3_1':0.06},(113,225):{'3_1':0.0},(113,224):{'3_1':0.03,'4_1':0.0},(113,223):{'3_1':0.06,'4_1':0.0},(113,222):{'3_1':0.06,'4_1':0.0},(113,221):{'3_1':0.03},(113,220):{'3_1':0.0},(113,219):{'3_1':0.0,'4_1':0.0},(113,218):{'3_1':0.0},(113,217):{'3_1':0.0},(113,216):{'3_1':0.0},(113,215):{'3_1':0.03},(113,214):{'3_1':0.0},(113,213):{'3_1':0.03},(113,212):{'3_1':0.0,'4_1':0.0},(113,211):{'3_1':0.03},(113,210):{'3_1':0.0},(113,209):{'3_1':0.0},(113,208):{'3_1':0.0},(113,207):{'3_1':0.03},(113,206):{'3_1':0.03},(113,205):{'3_1':0.03},(113,204):{'3_1':0.03},(113,203):{'3_1':0.03},(113,202):{'3_1':0.03},(113,201):{'3_1':0.0},(113,199):{'3_1':0.0},(113,198):{'3_1':0.03},(113,197):{'3_1':0.0},(113,196):{'3_1':0.0},(113,194):{'3_1':0.0},(113,193):{'3_1':0.0},(113,192):{'3_1':0.0},(113,191):{'3_1':0.0},(113,190):{'3_1':0.0},(113,189):{'3_1':0.0},(113,187):{'3_1':0.0,'4_1':0.0},(113,186):{'3_1':0.0,'4_1':0.0},(113,185):{'3_1':0.0,'4_1':0.0},(113,184):{'3_1':0.0},(113,183):{'3_1':0.0},(113,182):{'3_1':0.0},(113,181):{'3_1':0.0},(113,178):{'3_1':0.0},(113,177):{'3_1':0.0,'4_1':0.0},(113,176):{'3_1':0.0,'4_1':0.0},(113,175):{'4_1':0.0,'3_1':0.0},(113,174):{'3_1':0.0,'4_1':0.0},(113,172):{'3_1':0.0,'4_1':0.0},(113,171):{'3_1':0.0},(113,170):{'4_1':0.0},(113,168):{'3_1':0.0,'4_1':0.0},(113,166):{'3_1':0.0,'4_1':0.0},(113,165):{'3_1':0.0},(113,164):{'3_1':0.0},(113,162):{'3_1':0.0},(113,161):{'3_1':0.0},(113,160):{'3_1':0.0},(113,159):{'3_1':0.0},(113,158):{'3_1':0.0},(113,157):{'3_1':0.0},(113,156):{'3_1':0.0},(113,154):{'3_1':0.0},(113,153):{'3_1':0.0},(113,152):{'3_1':0.0},(113,149):{'3_1':0.0},(113,145):{'3_1':0.0},(113,144):{'3_1':0.0},(113,143):{'3_1':0.0},(114,290):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(114,289):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(114,288):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'5_1':0.0,'5_2':0.0},(114,287):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(114,286):{'3_1':0.15,'4_1':0.0},(114,285):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(114,284):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(114,283):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(114,282):{'3_1':0.12,'4_1':0.03},(114,281):{'3_1':0.18,'4_1':0.0},(114,280):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(114,279):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'5_2':0.0,'-3':0.0},(114,278):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0},(114,277):{'3_1':0.18,'4_1':0.0,'6_3':0.0,'5_2':0.0},(114,276):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(114,275):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(114,274):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(114,273):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(114,272):{'3_1':0.09},(114,271):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(114,270):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(114,269):{'3_1':0.09},(114,268):{'3_1':0.09,'7_7':0.0},(114,267):{'3_1':0.12},(114,266):{'3_1':0.15},(114,265):{'3_1':0.18},(114,264):{'3_1':0.15,'4_1':0.0},(114,263):{'3_1':0.12},(114,262):{'3_1':0.12},(114,261):{'3_1':0.15},(114,260):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(114,259):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(114,258):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(114,257):{'3_1':0.24,'5_2':0.0,'4_1':0.0},(114,256):{'3_1':0.12,'4_1':0.0},(114,255):{'3_1':0.12},(114,254):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(114,253):{'3_1':0.09},(114,252):{'3_1':0.09},(114,251):{'3_1':0.12},(114,250):{'3_1':0.12},(114,249):{'3_1':0.09},(114,248):{'3_1':0.09},(114,247):{'3_1':0.12,'4_1':0.0},(114,246):{'3_1':0.06,'5_2':0.0},(114,245):{'3_1':0.15},(114,244):{'3_1':0.15,'5_2':0.0},(114,243):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(114,242):{'3_1':0.06,'6_2':0.0},(114,241):{'3_1':0.03,'5_2':0.0},(114,240):{'3_1':0.09},(114,239):{'3_1':0.03},(114,238):{'3_1':0.03,'4_1':0.0},(114,237):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(114,236):{'3_1':0.0},(114,235):{'3_1':0.0},(114,234):{'3_1':0.0},(114,233):{'3_1':0.0,'4_1':0.0},(114,232):{'3_1':0.03,'4_1':0.0},(114,231):{'3_1':0.03,'4_1':0.0},(114,230):{'3_1':0.03,'4_1':0.0},(114,229):{'3_1':0.03,'4_1':0.0},(114,228):{'3_1':0.03},(114,227):{'3_1':0.06,'4_1':0.0},(114,226):{'3_1':0.09,'4_1':0.0},(114,225):{'3_1':0.03,'4_1':0.0},(114,224):{'3_1':0.03,'4_1':0.0},(114,223):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(114,222):{'3_1':0.03,'4_1':0.0},(114,221):{'3_1':0.03,'4_1':0.0},(114,220):{'3_1':0.0,'4_1':0.0},(114,219):{'3_1':0.03},(114,218):{'3_1':0.0},(114,217):{'3_1':0.03},(114,216):{'3_1':0.0},(114,215):{'3_1':0.0},(114,214):{'3_1':0.0,'4_1':0.0},(114,212):{'3_1':0.03},(114,211):{'3_1':0.03},(114,210):{'3_1':0.0},(114,209):{'3_1':0.0},(114,208):{'3_1':0.03,'5_1':0.0},(114,207):{'3_1':0.03,'5_1':0.0},(114,206):{'3_1':0.03,'5_2':0.0},(114,205):{'3_1':0.03},(114,204):{'3_1':0.0,'4_1':0.0},(114,203):{'3_1':0.03},(114,202):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(114,201):{'3_1':0.03},(114,199):{'3_1':0.06},(114,198):{'3_1':0.0,'4_1':0.0},(114,197):{'3_1':0.0},(114,196):{'3_1':0.0},(114,195):{'3_1':0.0},(114,194):{'3_1':0.0},(114,193):{'3_1':0.0},(114,192):{'4_1':0.0},(114,191):{'3_1':0.0},(114,189):{'3_1':0.0},(114,188):{'3_1':0.0,'4_1':0.0},(114,187):{'3_1':0.0,'5_2':0.0},(114,186):{'3_1':0.03},(114,185):{'3_1':0.0},(114,183):{'3_1':0.0},(114,182):{'3_1':0.0,'5_2':0.0},(114,181):{'3_1':0.0},(114,180):{'3_1':0.0},(114,178):{'3_1':0.0},(114,177):{'3_1':0.0},(114,176):{'3_1':0.0,'4_1':0.0},(114,175):{'3_1':0.0,'4_1':0.0},(114,174):{'3_1':0.0},(114,173):{'3_1':0.0,'4_1':0.0},(114,172):{'3_1':0.0},(114,171):{'3_1':0.03},(114,170):{'4_1':0.0,'3_1':0.0},(114,167):{'3_1':0.0},(114,166):{'3_1':0.0},(114,165):{'3_1':0.0},(114,164):{'3_1':0.0},(114,162):{'3_1':0.0},(114,159):{'3_1':0.0},(114,158):{'3_1':0.0},(114,157):{'3_1':0.0},(114,155):{'3_1':0.0},(114,154):{'3_1':0.0},(114,146):{'3_1':0.0},(114,144):{'3_1':0.0},(114,138):{'3_1':0.0,'4_1':0.0},(114,131):{'3_1':0.0},(115,290):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(115,289):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(115,288):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(115,287):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(115,286):{'3_1':0.21},(115,285):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(115,284):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_1':0.0},(115,283):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(115,282):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'6_3':0.0},(115,281):{'3_1':0.21,'4_1':0.0,'6_3':0.0},(115,280):{'3_1':0.21,'4_1':0.0,'6_3':0.0},(115,279):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'5_2':0.0},(115,278):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(115,277):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_3':0.0},(115,276):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(115,275):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(115,274):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(115,273):{'3_1':0.12,'4_1':0.0},(115,272):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(115,271):{'3_1':0.15,'4_1':0.0},(115,270):{'3_1':0.15},(115,269):{'3_1':0.18,'4_1':0.0},(115,268):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(115,267):{'3_1':0.15,'4_1':0.0},(115,266):{'3_1':0.12},(115,265):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(115,264):{'3_1':0.06,'5_2':0.0},(115,263):{'3_1':0.12,'5_2':0.0},(115,262):{'3_1':0.15},(115,261):{'3_1':0.21},(115,260):{'3_1':0.18},(115,259):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(115,258):{'3_1':0.12,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(115,257):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(115,256):{'3_1':0.15,'4_1':0.0},(115,255):{'3_1':0.18,'4_1':0.0},(115,254):{'3_1':0.15,'4_1':0.0},(115,253):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(115,252):{'3_1':0.12,'5_2':0.0},(115,251):{'3_1':0.18},(115,250):{'3_1':0.06},(115,249):{'3_1':0.12,'4_1':0.0},(115,248):{'3_1':0.12,'4_1':0.0},(115,247):{'3_1':0.12,'4_1':0.0},(115,246):{'3_1':0.06},(115,245):{'3_1':0.12,'4_1':0.0},(115,244):{'3_1':0.06,'4_1':0.0},(115,243):{'3_1':0.06,'5_2':0.0},(115,242):{'3_1':0.09},(115,241):{'3_1':0.06},(115,240):{'3_1':0.09,'5_2':0.0},(115,239):{'3_1':0.03},(115,238):{'3_1':0.06,'4_1':0.0},(115,237):{'3_1':0.03},(115,236):{'3_1':0.0},(115,235):{'3_1':0.0,'4_1':0.0},(115,234):{'3_1':0.0,'5_1':0.0},(115,233):{'3_1':0.0},(115,232):{'3_1':0.03},(115,231):{'3_1':0.06},(115,230):{'3_1':0.06,'4_1':0.0},(115,229):{'3_1':0.0,'4_1':0.0},(115,228):{'3_1':0.03},(115,227):{'3_1':0.03,'4_1':0.0},(115,226):{'3_1':0.03,'4_1':0.0},(115,225):{'3_1':0.0,'4_1':0.0},(115,224):{'3_1':0.03},(115,223):{'3_1':0.03,'4_1':0.0},(115,222):{'3_1':0.03,'4_1':0.0},(115,221):{'3_1':0.03,'4_1':0.0},(115,220):{'3_1':0.03,'4_1':0.0},(115,219):{'3_1':0.03},(115,218):{'3_1':0.0},(115,217):{'3_1':0.03,'4_1':0.0},(115,216):{'3_1':0.0},(115,215):{'3_1':0.0},(115,214):{'3_1':0.03,'4_1':0.0},(115,213):{'3_1':0.03},(115,212):{'3_1':0.03},(115,211):{'3_1':0.0},(115,210):{'3_1':0.0},(115,209):{'3_1':0.03},(115,208):{'3_1':0.03},(115,207):{'3_1':0.03},(115,206):{'3_1':0.0},(115,205):{'3_1':0.0,'4_1':0.0},(115,204):{'3_1':0.06,'5_1':0.0},(115,203):{'3_1':0.0,'5_2':0.0},(115,202):{'3_1':0.0},(115,201):{'3_1':0.0,'5_2':0.0},(115,200):{'3_1':0.0},(115,199):{'3_1':0.0},(115,198):{'3_1':0.0},(115,197):{'3_1':0.0},(115,196):{'3_1':0.0},(115,195):{'3_1':0.03},(115,193):{'3_1':0.0},(115,192):{'3_1':0.0},(115,190):{'3_1':0.0},(115,189):{'4_1':0.0},(115,188):{'3_1':0.0,'4_1':0.0},(115,187):{'3_1':0.0,'5_1':0.0},(115,186):{'3_1':0.0},(115,185):{'4_1':0.0},(115,184):{'3_1':0.0},(115,183):{'3_1':0.0},(115,182):{'3_1':0.0},(115,180):{'3_1':0.0,'4_1':0.0},(115,179):{'4_1':0.0},(115,178):{'3_1':0.0},(115,177):{'3_1':0.0},(115,176):{'3_1':0.0},(115,175):{'3_1':0.0},(115,173):{'3_1':0.0},(115,172):{'3_1':0.0},(115,171):{'3_1':0.0},(115,169):{'3_1':0.0},(115,168):{'3_1':0.0},(115,165):{'3_1':0.0},(115,164):{'3_1':0.0},(115,162):{'3_1':0.0},(115,156):{'3_1':0.0},(115,154):{'3_1':0.0},(115,153):{'3_1':0.0},(115,151):{'3_1':0.0},(115,148):{'3_1':0.0},(115,146):{'3_1':0.0},(115,144):{'3_1':0.0},(115,143):{'3_1':0.0},(115,142):{'3_1':0.0},(115,139):{'3_1':0.0},(115,138):{'3_1':0.03},(115,135):{'3_1':0.0},(116,290):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0},(116,289):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(116,288):{'3_1':0.09,'4_1':0.06,'6_3':0.0},(116,287):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(116,286):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(116,285):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(116,284):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(116,283):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(116,282):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(116,281):{'3_1':0.15,'4_1':0.03,'6_3':0.0,'5_1':0.0,'5_2':0.0},(116,280):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(116,279):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0},(116,278):{'3_1':0.15,'4_1':0.0,'7_7':0.0},(116,277):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(116,276):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'-3':0.0},(116,275):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_3':0.0,'-3':0.0},(116,274):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(116,273):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(116,272):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(116,271):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(116,270):{'3_1':0.15},(116,269):{'3_1':0.12,'4_1':0.0},(116,268):{'3_1':0.12},(116,267):{'3_1':0.21},(116,266):{'3_1':0.12,'4_1':0.0},(116,265):{'3_1':0.12,'5_1':0.0,'6_3':0.0},(116,264):{'3_1':0.15,'5_2':0.0},(116,263):{'3_1':0.18},(116,262):{'3_1':0.15},(116,261):{'3_1':0.12,'4_1':0.0},(116,260):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(116,259):{'3_1':0.09,'4_1':0.0},(116,258):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0},(116,257):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(116,256):{'3_1':0.15,'5_2':0.0},(116,255):{'3_1':0.15,'4_1':0.0},(116,254):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(116,253):{'3_1':0.15,'4_1':0.0},(116,252):{'3_1':0.09,'4_1':0.0},(116,251):{'3_1':0.09,'4_1':0.0},(116,250):{'3_1':0.09,'5_2':0.0},(116,249):{'3_1':0.15,'4_1':0.0},(116,248):{'3_1':0.15,'4_1':0.0},(116,247):{'3_1':0.09,'4_1':0.0},(116,246):{'3_1':0.12,'4_1':0.0},(116,245):{'3_1':0.15},(116,244):{'3_1':0.09,'4_1':0.0},(116,243):{'3_1':0.06},(116,242):{'3_1':0.06},(116,241):{'3_1':0.06,'5_2':0.0},(116,240):{'3_1':0.06},(116,239):{'3_1':0.03,'4_1':0.0},(116,238):{'3_1':0.06},(116,237):{'3_1':0.0},(116,236):{'3_1':0.03,'4_1':0.0},(116,235):{'3_1':0.03,'4_1':0.0},(116,234):{'3_1':0.03,'5_2':0.0},(116,233):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(116,232):{'3_1':0.0,'4_1':0.0},(116,231):{'3_1':0.0,'4_1':0.0},(116,230):{'3_1':0.03,'4_1':0.0},(116,229):{'3_1':0.03},(116,228):{'3_1':0.03,'4_1':0.0},(116,227):{'3_1':0.0},(116,226):{'3_1':0.06},(116,225):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(116,224):{'3_1':0.03,'4_1':0.0},(116,223):{'3_1':0.03,'4_1':0.0},(116,222):{'3_1':0.03,'4_1':0.0},(116,221):{'3_1':0.03,'4_1':0.0},(116,220):{'3_1':0.06,'4_1':0.0},(116,219):{'3_1':0.0},(116,218):{'3_1':0.03},(116,217):{'3_1':0.03,'4_1':0.0},(116,216):{'3_1':0.0},(116,215):{'3_1':0.0},(116,214):{'4_1':0.0},(116,213):{'3_1':0.0},(116,211):{'3_1':0.0},(116,210):{'3_1':0.0,'4_1':0.0},(116,209):{'3_1':0.0},(116,208):{'3_1':0.03},(116,207):{'3_1':0.03,'4_1':0.0},(116,206):{'3_1':0.03,'4_1':0.0},(116,205):{'3_1':0.0},(116,203):{'3_1':0.0},(116,202):{'3_1':0.0},(116,201):{'3_1':0.03},(116,200):{'3_1':0.0},(116,199):{'3_1':0.0,'4_1':0.0},(116,198):{'3_1':0.0},(116,195):{'3_1':0.03},(116,193):{'3_1':0.0},(116,192):{'3_1':0.0},(116,191):{'3_1':0.03},(116,190):{'3_1':0.0},(116,189):{'3_1':0.0},(116,188):{'3_1':0.0},(116,186):{'3_1':0.0,'4_1':0.0},(116,185):{'3_1':0.0},(116,184):{'3_1':0.0},(116,182):{'3_1':0.0,'4_1':0.0},(116,181):{'3_1':0.0},(116,180):{'4_1':0.0},(116,179):{'3_1':0.0},(116,178):{'4_1':0.0},(116,177):{'3_1':0.0},(116,176):{'4_1':0.0},(116,175):{'3_1':0.0},(116,173):{'3_1':0.0},(116,171):{'3_1':0.0},(116,170):{'4_1':0.0,'3_1':0.0},(116,149):{'3_1':0.0},(116,147):{'3_1':0.0},(116,145):{'3_1':0.0},(116,144):{'3_1':0.0},(116,142):{'3_1':0.0},(116,141):{'3_1':0.0},(116,137):{'3_1':0.0},(116,136):{'3_1':0.0},(116,135):{'3_1':0.0},(117,290):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(117,289):{'3_1':0.09,'4_1':0.0},(117,288):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(117,287):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(117,286):{'3_1':0.12,'4_1':0.0},(117,285):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(117,284):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(117,283):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(117,282):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(117,281):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'-3':0.0},(117,280):{'3_1':0.15,'4_1':0.0},(117,279):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(117,278):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(117,277):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0},(117,276):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'7_6':0.0},(117,275):{'3_1':0.12,'5_2':0.0},(117,274):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(117,273):{'3_1':0.18,'4_1':0.03},(117,272):{'3_1':0.12,'4_1':0.0},(117,271):{'3_1':0.12,'5_1':0.0},(117,270):{'3_1':0.09,'5_2':0.0},(117,269):{'3_1':0.03,'4_1':0.0},(117,268):{'3_1':0.09},(117,267):{'3_1':0.15},(117,266):{'3_1':0.12,'6_3':0.0},(117,265):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(117,264):{'3_1':0.15},(117,263):{'3_1':0.15},(117,262):{'3_1':0.12},(117,261):{'3_1':0.12},(117,260):{'3_1':0.18,'5_1':0.0},(117,259):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(117,258):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(117,257):{'3_1':0.18,'5_1':0.0},(117,256):{'3_1':0.18,'4_1':0.0},(117,255):{'3_1':0.18},(117,254):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(117,253):{'3_1':0.15},(117,252):{'3_1':0.12,'4_1':0.0},(117,251):{'3_1':0.12,'4_1':0.0},(117,250):{'3_1':0.09,'4_1':0.0},(117,249):{'3_1':0.09,'4_1':0.0},(117,248):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(117,247):{'3_1':0.15},(117,246):{'3_1':0.06},(117,245):{'3_1':0.09},(117,244):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(117,243):{'3_1':0.15,'4_1':0.0},(117,242):{'3_1':0.09,'4_1':0.0},(117,241):{'3_1':0.03,'4_1':0.0},(117,240):{'3_1':0.06,'4_1':0.0},(117,239):{'3_1':0.03},(117,238):{'3_1':0.0},(117,237):{'3_1':0.03},(117,236):{'3_1':0.03,'4_1':0.0},(117,235):{'3_1':0.06},(117,234):{'3_1':0.03,'5_2':0.0},(117,233):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(117,232):{'3_1':0.0,'4_1':0.0},(117,231):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(117,230):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(117,229):{'3_1':0.0,'4_1':0.0},(117,228):{'3_1':0.0,'4_1':0.0},(117,227):{'4_1':0.0,'3_1':0.0},(117,226):{'3_1':0.0,'4_1':0.0},(117,225):{'3_1':0.03,'4_1':0.0},(117,224):{'3_1':0.03,'4_1':0.0},(117,223):{'3_1':0.09},(117,222):{'3_1':0.03},(117,221):{'3_1':0.03},(117,220):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(117,219):{'4_1':0.0},(117,218):{'3_1':0.0},(117,217):{'3_1':0.03},(117,216):{'3_1':0.03},(117,215):{'3_1':0.0},(117,214):{'4_1':0.0},(117,213):{'3_1':0.0,'4_1':0.0},(117,211):{'3_1':0.0},(117,210):{'3_1':0.0,'4_1':0.0},(117,209):{'3_1':0.03},(117,208):{'3_1':0.03,'5_2':0.0},(117,207):{'3_1':0.0},(117,206):{'3_1':0.0},(117,205):{'3_1':0.0},(117,204):{'3_1':0.03},(117,203):{'3_1':0.0},(117,201):{'3_1':0.03,'4_1':0.0},(117,200):{'3_1':0.0},(117,198):{'3_1':0.0,'4_1':0.0},(117,197):{'3_1':0.0},(117,196):{'3_1':0.0,'4_1':0.0},(117,195):{'3_1':0.0},(117,194):{'3_1':0.0},(117,193):{'3_1':0.0},(117,192):{'3_1':0.03},(117,191):{'3_1':0.0},(117,189):{'3_1':0.0},(117,187):{'3_1':0.0},(117,186):{'3_1':0.0},(117,185):{'3_1':0.0},(117,184):{'3_1':0.03},(117,183):{'3_1':0.03},(117,182):{'3_1':0.0},(117,181):{'3_1':0.0},(117,180):{'3_1':0.0},(117,179):{'3_1':0.03},(117,178):{'4_1':0.0},(117,177):{'3_1':0.0},(117,176):{'3_1':0.0},(117,175):{'3_1':0.0},(117,174):{'3_1':0.0},(117,172):{'3_1':0.0},(117,167):{'3_1':0.0},(117,145):{'3_1':0.0},(117,143):{'3_1':0.0},(117,142):{'3_1':0.0},(117,141):{'3_1':0.0},(117,136):{'3_1':0.0},(118,290):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(118,289):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(118,288):{'3_1':0.12,'4_1':0.03},(118,287):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(118,286):{'3_1':0.12,'4_1':0.0},(118,285):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(118,284):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(118,283):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(118,282):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(118,281):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(118,280):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(118,279):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(118,278):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(118,277):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_3':0.0},(118,276):{'3_1':0.12,'5_2':0.0},(118,275):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(118,274):{'3_1':0.15,'4_1':0.03},(118,273):{'3_1':0.12,'4_1':0.0},(118,272):{'3_1':0.15,'4_1':0.0,'7_3':0.0},(118,271):{'3_1':0.15,'5_2':0.0},(118,270):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(118,269):{'3_1':0.18},(118,268):{'3_1':0.12,'5_2':0.0},(118,267):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(118,266):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(118,265):{'3_1':0.12},(118,264):{'3_1':0.12,'4_1':0.0},(118,263):{'3_1':0.09,'4_1':0.0},(118,262):{'3_1':0.12,'5_2':0.0},(118,261):{'3_1':0.09,'4_1':0.0},(118,260):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(118,259):{'3_1':0.09},(118,258):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(118,257):{'3_1':0.15},(118,256):{'3_1':0.12},(118,255):{'3_1':0.12,'4_1':0.0},(118,254):{'3_1':0.06,'4_1':0.0},(118,253):{'3_1':0.12,'4_1':0.0},(118,252):{'3_1':0.09,'4_1':0.0},(118,251):{'3_1':0.06,'4_1':0.03},(118,250):{'3_1':0.06,'4_1':0.0},(118,249):{'3_1':0.09},(118,248):{'3_1':0.15},(118,247):{'3_1':0.12},(118,246):{'3_1':0.06,'4_1':0.0},(118,245):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(118,244):{'3_1':0.12},(118,243):{'3_1':0.09,'5_2':0.0},(118,242):{'3_1':0.09,'4_1':0.0},(118,241):{'3_1':0.06},(118,240):{'3_1':0.0},(118,239):{'3_1':0.0,'4_1':0.0},(118,238):{'3_1':0.03},(118,237):{'3_1':0.0},(118,236):{'3_1':0.03,'4_1':0.0},(118,235):{'3_1':0.0},(118,234):{'3_1':0.0},(118,233):{'3_1':0.0},(118,232):{'3_1':0.0},(118,231):{'3_1':0.03},(118,230):{'3_1':0.03,'4_1':0.0},(118,229):{'3_1':0.0},(118,228):{'3_1':0.06,'5_1':0.0},(118,227):{'3_1':0.03,'4_1':0.0},(118,226):{'3_1':0.0},(118,225):{'3_1':0.0,'4_1':0.0},(118,224):{'3_1':0.03,'4_1':0.0},(118,223):{'3_1':0.0},(118,222):{'3_1':0.03,'4_1':0.0},(118,221):{'3_1':0.0},(118,220):{'3_1':0.0,'4_1':0.0},(118,219):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(118,218):{'3_1':0.0},(118,217):{'3_1':0.0,'4_1':0.0},(118,216):{'3_1':0.0},(118,215):{'3_1':0.0},(118,214):{'3_1':0.0,'4_1':0.0},(118,213):{'3_1':0.0},(118,212):{'3_1':0.0},(118,211):{'3_1':0.03},(118,210):{'3_1':0.0,'4_1':0.0},(118,209):{'3_1':0.0},(118,208):{'3_1':0.0},(118,207):{'3_1':0.0},(118,206):{'3_1':0.0,'5_2':0.0},(118,205):{'3_1':0.0},(118,204):{'3_1':0.0},(118,203):{'3_1':0.0,'5_2':0.0},(118,202):{'3_1':0.03},(118,201):{'3_1':0.03},(118,200):{'3_1':0.0},(118,199):{'3_1':0.0,'4_1':0.0},(118,198):{'3_1':0.0},(118,197):{'3_1':0.0,'4_1':0.0},(118,196):{'3_1':0.0},(118,195):{'3_1':0.0,'4_1':0.0},(118,194):{'3_1':0.0},(118,193):{'3_1':0.03},(118,192):{'3_1':0.03},(118,190):{'3_1':0.03},(118,189):{'3_1':0.0},(118,188):{'3_1':0.0},(118,187):{'3_1':0.0},(118,186):{'3_1':0.0},(118,185):{'3_1':0.03},(118,184):{'3_1':0.0,'4_1':0.0},(118,183):{'3_1':0.03},(118,182):{'3_1':0.0},(118,181):{'3_1':0.0},(118,179):{'3_1':0.0},(118,178):{'3_1':0.0},(118,177):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(118,176):{'3_1':0.0},(118,175):{'3_1':0.0,'4_1':0.0},(118,174):{'3_1':0.0},(118,173):{'4_1':0.0},(118,172):{'3_1':0.0,'4_1':0.0},(118,171):{'3_1':0.0},(118,170):{'3_1':0.0},(118,169):{'3_1':0.0},(118,168):{'3_1':0.0},(118,167):{'3_1':0.0},(118,166):{'3_1':0.0},(118,149):{'3_1':0.0},(118,148):{'3_1':0.0},(118,146):{'3_1':0.0},(118,144):{'3_1':0.0},(118,139):{'3_1':0.0},(118,138):{'3_1':0.0},(118,137):{'3_1':0.0},(118,136):{'3_1':0.0},(119,290):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(119,289):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(119,288):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(119,287):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(119,286):{'3_1':0.09,'4_1':0.0},(119,285):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(119,284):{'3_1':0.03,'4_1':0.0},(119,283):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(119,282):{'3_1':0.12,'4_1':0.0},(119,281):{'3_1':0.09,'5_2':0.0},(119,280):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(119,279):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0,'6_1':0.0},(119,278):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(119,277):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0},(119,276):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(119,275):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(119,274):{'3_1':0.18,'4_1':0.0},(119,273):{'3_1':0.06,'4_1':0.0},(119,272):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(119,271):{'3_1':0.06,'5_2':0.0},(119,270):{'3_1':0.09,'4_1':0.0},(119,269):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(119,268):{'3_1':0.12,'4_1':0.0},(119,267):{'3_1':0.15,'4_1':0.0},(119,266):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(119,265):{'3_1':0.06,'4_1':0.0},(119,264):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(119,263):{'3_1':0.06},(119,262):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(119,261):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(119,260):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(119,259):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(119,258):{'3_1':0.21,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(119,257):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(119,256):{'3_1':0.09,'4_1':0.0},(119,255):{'3_1':0.15,'4_1':0.0},(119,254):{'3_1':0.12,'4_1':0.0},(119,253):{'3_1':0.09},(119,252):{'3_1':0.12,'4_1':0.0},(119,251):{'3_1':0.06,'4_1':0.0},(119,250):{'3_1':0.06,'4_1':0.0},(119,249):{'3_1':0.09,'5_2':0.0},(119,248):{'3_1':0.09,'4_1':0.0},(119,247):{'3_1':0.09,'5_2':0.0},(119,246):{'3_1':0.09},(119,245):{'3_1':0.12},(119,244):{'3_1':0.09},(119,243):{'3_1':0.09,'5_2':0.0},(119,242):{'3_1':0.09,'7_3':0.0},(119,241):{'3_1':0.06},(119,240):{'3_1':0.09},(119,239):{'3_1':0.03},(119,238):{'3_1':0.03},(119,237):{'3_1':0.0,'6_3':0.0},(119,235):{'3_1':0.0,'4_1':0.0},(119,234):{'3_1':0.03},(119,233):{'3_1':0.0,'4_1':0.0},(119,232):{'3_1':0.0},(119,231):{'3_1':0.03,'4_1':0.0},(119,230):{'3_1':0.0,'4_1':0.0},(119,229):{'3_1':0.06,'5_1':0.0},(119,228):{'3_1':0.03,'4_1':0.0},(119,227):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(119,226):{'3_1':0.0,'4_1':0.0},(119,225):{'3_1':0.03},(119,224):{'3_1':0.0},(119,223):{'3_1':0.03},(119,222):{'3_1':0.06,'4_1':0.0},(119,221):{'3_1':0.0},(119,220):{'3_1':0.03},(119,219):{'3_1':0.0,'4_1':0.0},(119,218):{'3_1':0.0,'4_1':0.0},(119,217):{'3_1':0.0},(119,216):{'3_1':0.0},(119,215):{'3_1':0.0},(119,214):{'3_1':0.0},(119,213):{'3_1':0.0},(119,212):{'3_1':0.0,'4_1':0.0},(119,211):{'3_1':0.0},(119,210):{'3_1':0.0},(119,209):{'3_1':0.03},(119,208):{'3_1':0.0},(119,207):{'3_1':0.0},(119,206):{'3_1':0.03},(119,205):{'3_1':0.03,'5_1':0.0},(119,204):{'3_1':0.03},(119,203):{'3_1':0.0,'5_2':0.0},(119,202):{'3_1':0.03},(119,201):{'3_1':0.0},(119,200):{'3_1':0.0,'4_1':0.0},(119,199):{'3_1':0.0},(119,198):{'3_1':0.0,'4_1':0.0},(119,197):{'3_1':0.0,'5_2':0.0},(119,196):{'3_1':0.0},(119,195):{'3_1':0.0},(119,194):{'3_1':0.0},(119,193):{'3_1':0.03},(119,192):{'3_1':0.0},(119,191):{'3_1':0.0},(119,190):{'3_1':0.0},(119,189):{'3_1':0.0},(119,187):{'3_1':0.0},(119,186):{'3_1':0.0},(119,185):{'3_1':0.03},(119,184):{'3_1':0.03},(119,183):{'3_1':0.0},(119,181):{'3_1':0.03},(119,180):{'3_1':0.0},(119,179):{'3_1':0.0},(119,178):{'3_1':0.0},(119,177):{'3_1':0.0},(119,176):{'3_1':0.0},(119,175):{'3_1':0.0},(119,174):{'3_1':0.0},(119,173):{'4_1':0.0},(119,172):{'4_1':0.0},(119,170):{'3_1':0.0},(119,146):{'3_1':0.0},(119,140):{'3_1':0.0},(119,139):{'3_1':0.0},(119,138):{'3_1':0.03},(119,137):{'3_1':0.0},(120,290):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(120,289):{'3_1':0.06,'5_2':0.0},(120,288):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(120,287):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(120,286):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(120,285):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(120,284):{'3_1':0.12,'4_1':0.0},(120,283):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(120,282):{'3_1':0.09},(120,281):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(120,280):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0},(120,279):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0},(120,278):{'3_1':0.09,'5_2':0.0},(120,277):{'3_1':0.15,'4_1':0.03},(120,276):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(120,275):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(120,274):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(120,273):{'3_1':0.09,'4_1':0.03},(120,272):{'3_1':0.12,'5_2':0.0},(120,271):{'3_1':0.06,'5_2':0.0},(120,270):{'3_1':0.12,'4_1':0.0},(120,269):{'3_1':0.03},(120,268):{'3_1':0.09,'4_1':0.0},(120,267):{'3_1':0.03,'5_1':0.0},(120,266):{'4_1':0.0,'3_1':0.0},(120,265):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(120,264):{'3_1':0.12},(120,263):{'3_1':0.03},(120,262):{'3_1':0.06},(120,261):{'3_1':0.09},(120,260):{'3_1':0.06,'5_2':0.0},(120,259):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(120,258):{'3_1':0.12,'5_1':0.0},(120,257):{'3_1':0.12},(120,256):{'3_1':0.15},(120,255):{'3_1':0.12},(120,254):{'3_1':0.15,'4_1':0.0},(120,253):{'3_1':0.09},(120,252):{'3_1':0.12,'4_1':0.0},(120,251):{'3_1':0.12},(120,250):{'3_1':0.09},(120,249):{'3_1':0.06,'4_1':0.0},(120,248):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(120,247):{'3_1':0.09,'4_1':0.0,'7_4':0.0},(120,246):{'3_1':0.09,'4_1':0.0},(120,245):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(120,244):{'3_1':0.09,'4_1':0.0},(120,243):{'3_1':0.06,'4_1':0.0},(120,242):{'3_1':0.12},(120,241):{'3_1':0.06},(120,240):{'3_1':0.0},(120,239):{'3_1':0.0},(120,238):{'3_1':0.03,'4_1':0.0},(120,237):{'3_1':0.0},(120,236):{'3_1':0.03,'4_1':0.0},(120,235):{'3_1':0.0,'4_1':0.0},(120,234):{'3_1':0.0},(120,233):{'3_1':0.03,'4_1':0.0},(120,232):{'3_1':0.0,'4_1':0.0},(120,231):{'3_1':0.0,'4_1':0.0},(120,230):{'3_1':0.0,'4_1':0.0},(120,229):{'3_1':0.06,'4_1':0.0},(120,228):{'3_1':0.0,'5_1':0.0},(120,227):{'3_1':0.03,'4_1':0.0},(120,226):{'3_1':0.0},(120,225):{'3_1':0.03},(120,224):{'3_1':0.03,'4_1':0.0},(120,223):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(120,222):{'3_1':0.09,'4_1':0.0},(120,221):{'3_1':0.0},(120,220):{'3_1':0.03,'4_1':0.0},(120,219):{'3_1':0.0},(120,218):{'3_1':0.0,'4_1':0.0},(120,217):{'3_1':0.03},(120,216):{'3_1':0.0},(120,215):{'3_1':0.0},(120,214):{'3_1':0.0},(120,213):{'3_1':0.03},(120,212):{'3_1':0.03},(120,211):{'3_1':0.0,'4_1':0.0},(120,209):{'3_1':0.0,'5_2':0.0},(120,208):{'3_1':0.0},(120,207):{'3_1':0.0},(120,206):{'3_1':0.03},(120,205):{'3_1':0.03},(120,204):{'3_1':0.0},(120,203):{'3_1':0.03,'5_2':0.0},(120,202):{'3_1':0.0},(120,201):{'3_1':0.0},(120,200):{'3_1':0.0,'5_2':0.0},(120,199):{'3_1':0.0},(120,198):{'3_1':0.0},(120,197):{'3_1':0.0},(120,194):{'3_1':0.0,'4_1':0.0},(120,193):{'3_1':0.0},(120,192):{'3_1':0.0},(120,191):{'3_1':0.0},(120,190):{'3_1':0.03},(120,189):{'3_1':0.0},(120,187):{'3_1':0.0},(120,186):{'3_1':0.0,'4_1':0.0},(120,185):{'4_1':0.0},(120,184):{'3_1':0.0},(120,183):{'3_1':0.0,'4_1':0.0},(120,182):{'3_1':0.0},(120,181):{'4_1':0.0},(120,179):{'3_1':0.0},(120,176):{'3_1':0.0},(120,175):{'3_1':0.0},(120,173):{'3_1':0.0},(120,172):{'3_1':0.0},(120,171):{'3_1':0.0},(120,170):{'4_1':0.0,'3_1':0.0},(120,169):{'3_1':0.0},(120,168):{'3_1':0.0,'4_1':0.0},(120,166):{'3_1':0.0},(120,146):{'3_1':0.0},(120,143):{'3_1':0.0},(120,142):{'3_1':0.0,'4_1':0.0},(120,140):{'3_1':0.0},(120,139):{'3_1':0.0},(120,138):{'3_1':0.0},(120,136):{'3_1':0.0},(121,290):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(121,289):{'3_1':0.09,'4_1':0.0},(121,288):{'3_1':0.12,'4_1':0.0},(121,287):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(121,286):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(121,285):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(121,284):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(121,283):{'3_1':0.06,'6_3':0.0,'4_1':0.0,'6_1':0.0},(121,282):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(121,281):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(121,280):{'3_1':0.12,'5_2':0.0},(121,279):{'3_1':0.09,'5_2':0.03,'6_3':0.0},(121,278):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0},(121,277):{'3_1':0.15,'5_1':0.0,'6_3':0.0},(121,276):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(121,275):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(121,274):{'3_1':0.09,'5_2':0.0},(121,273):{'3_1':0.09,'5_2':0.0},(121,272):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(121,271):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(121,270):{'3_1':0.03},(121,269):{'3_1':0.09},(121,268):{'3_1':0.06,'4_1':0.0},(121,267):{'3_1':0.06,'4_1':0.0},(121,266):{'3_1':0.09},(121,265):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(121,264):{'3_1':0.12},(121,263):{'3_1':0.06,'4_1':0.0},(121,262):{'3_1':0.03,'4_1':0.0},(121,261):{'3_1':0.15,'5_2':0.0},(121,260):{'3_1':0.06,'5_2':0.0},(121,259):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(121,258):{'3_1':0.15,'8_20|3_1#3_1':0.0},(121,257):{'3_1':0.15},(121,256):{'3_1':0.06,'5_2':0.0},(121,255):{'3_1':0.09,'4_1':0.0},(121,254):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(121,253):{'3_1':0.09,'4_1':0.0},(121,252):{'3_1':0.09},(121,251):{'3_1':0.09,'4_1':0.0},(121,250):{'3_1':0.06,'5_1':0.0},(121,249):{'3_1':0.03},(121,248):{'3_1':0.09,'4_1':0.0},(121,247):{'3_1':0.06,'4_1':0.0},(121,246):{'3_1':0.03,'5_2':0.0},(121,245):{'3_1':0.09,'7_3':0.0},(121,244):{'3_1':0.03},(121,243):{'3_1':0.06},(121,242):{'3_1':0.06,'4_1':0.0},(121,241):{'3_1':0.06,'4_1':0.0},(121,240):{'3_1':0.03},(121,239):{'3_1':0.03},(121,238):{'3_1':0.0},(121,237):{'3_1':0.03},(121,236):{'3_1':0.0},(121,235):{'3_1':0.0},(121,234):{'3_1':0.03},(121,233):{'3_1':0.0},(121,232):{'3_1':0.0,'4_1':0.0},(121,231):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(121,230):{'3_1':0.03,'4_1':0.0},(121,229):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(121,228):{'3_1':0.0,'4_1':0.0},(121,227):{'3_1':0.03,'4_1':0.0},(121,226):{'3_1':0.03,'4_1':0.0},(121,225):{'3_1':0.03,'4_1':0.0},(121,224):{'3_1':0.03},(121,223):{'3_1':0.06},(121,222):{'3_1':0.03,'4_1':0.0},(121,221):{'3_1':0.0},(121,220):{'3_1':0.06,'4_1':0.0},(121,219):{'3_1':0.0},(121,218):{'4_1':0.0},(121,217):{'3_1':0.0},(121,215):{'3_1':0.0},(121,214):{'3_1':0.0},(121,213):{'3_1':0.0},(121,212):{'3_1':0.0},(121,211):{'3_1':0.0},(121,210):{'3_1':0.03},(121,209):{'3_1':0.03},(121,208):{'3_1':0.0},(121,207):{'3_1':0.0},(121,206):{'3_1':0.0},(121,205):{'3_1':0.03},(121,204):{'3_1':0.0},(121,203):{'3_1':0.0},(121,201):{'3_1':0.0},(121,200):{'3_1':0.0},(121,199):{'3_1':0.0},(121,198):{'3_1':0.0},(121,197):{'3_1':0.0},(121,196):{'3_1':0.0},(121,195):{'3_1':0.0},(121,193):{'3_1':0.0},(121,192):{'3_1':0.0},(121,190):{'3_1':0.03,'4_1':0.0},(121,188):{'3_1':0.0},(121,187):{'3_1':0.0},(121,186):{'4_1':0.0},(121,184):{'3_1':0.0},(121,183):{'3_1':0.0},(121,182):{'3_1':0.03},(121,181):{'3_1':0.0},(121,180):{'3_1':0.0},(121,178):{'3_1':0.0},(121,177):{'3_1':0.0},(121,176):{'3_1':0.0,'4_1':0.0},(121,175):{'3_1':0.0},(121,174):{'3_1':0.0,'4_1':0.0},(121,172):{'3_1':0.0},(121,170):{'4_1':0.0},(121,167):{'3_1':0.0},(121,166):{'3_1':0.0},(121,141):{'3_1':0.0},(121,140):{'3_1':0.0},(121,139):{'3_1':0.0},(121,138):{'3_1':0.0},(121,137):{'3_1':0.0,'4_1':0.0},(122,290):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(122,289):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(122,288):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(122,287):{'3_1':0.09,'4_1':0.0},(122,286):{'3_1':0.06,'4_1':0.03},(122,285):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(122,284):{'3_1':0.09},(122,283):{'3_1':0.09,'6_3':0.0},(122,282):{'3_1':0.06,'5_2':0.0},(122,281):{'3_1':0.06},(122,280):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(122,279):{'3_1':0.06,'7_3':0.0},(122,278):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(122,277):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(122,276):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(122,275):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(122,274):{'3_1':0.12,'5_2':0.0},(122,273):{'3_1':0.12,'4_1':0.03},(122,272):{'3_1':0.06,'6_3':0.0},(122,271):{'3_1':0.09},(122,270):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(122,269):{'3_1':0.03},(122,268):{'3_1':0.06},(122,267):{'3_1':0.12,'4_1':0.0},(122,266):{'3_1':0.06,'4_1':0.0},(122,265):{'3_1':0.06,'5_2':0.0},(122,264):{'3_1':0.06},(122,263):{'3_1':0.03,'4_1':0.0},(122,262):{'3_1':0.06},(122,261):{'3_1':0.03,'5_2':0.0},(122,260):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(122,259):{'3_1':0.06,'4_1':0.0},(122,258):{'3_1':0.12,'4_1':0.0},(122,257):{'3_1':0.15},(122,256):{'3_1':0.06,'5_2':0.0},(122,255):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(122,254):{'3_1':0.03,'4_1':0.0},(122,253):{'3_1':0.09,'4_1':0.0},(122,252):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(122,251):{'3_1':0.06,'4_1':0.0},(122,250):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(122,249):{'3_1':0.06},(122,248):{'3_1':0.06},(122,247):{'3_1':0.06,'5_2':0.0},(122,246):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(122,245):{'3_1':0.03,'5_1':0.0},(122,244):{'3_1':0.03,'5_2':0.0},(122,243):{'3_1':0.03},(122,242):{'3_1':0.09},(122,241):{'3_1':0.03},(122,240):{'3_1':0.0,'4_1':0.0},(122,239):{'3_1':0.0},(122,238):{'3_1':0.0},(122,237):{'3_1':0.03},(122,236):{'3_1':0.0},(122,235):{'4_1':0.0,'3_1':0.0},(122,234):{'3_1':0.0,'5_2':0.0},(122,233):{'3_1':0.0},(122,232):{'3_1':0.0},(122,231):{'3_1':0.0,'4_1':0.0},(122,230):{'4_1':0.0,'3_1':0.0},(122,229):{'3_1':0.03,'4_1':0.0},(122,228):{'3_1':0.0,'4_1':0.0},(122,227):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(122,226):{'3_1':0.0,'4_1':0.0},(122,225):{'3_1':0.03,'4_1':0.0},(122,224):{'3_1':0.03,'4_1':0.0},(122,223):{'3_1':0.06},(122,222):{'3_1':0.0},(122,221):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(122,220):{'3_1':0.0},(122,219):{'3_1':0.0},(122,218):{'3_1':0.0},(122,217):{'3_1':0.03},(122,214):{'3_1':0.0},(122,213):{'3_1':0.0,'4_1':0.0},(122,212):{'3_1':0.0},(122,211):{'3_1':0.0},(122,210):{'3_1':0.0},(122,208):{'3_1':0.0},(122,207):{'3_1':0.0},(122,206):{'3_1':0.0},(122,205):{'3_1':0.0},(122,204):{'3_1':0.03},(122,203):{'3_1':0.0,'5_2':0.0},(122,202):{'3_1':0.0},(122,201):{'3_1':0.03},(122,200):{'3_1':0.0},(122,199):{'3_1':0.0},(122,196):{'3_1':0.03},(122,195):{'3_1':0.0},(122,194):{'3_1':0.0},(122,192):{'3_1':0.0},(122,191):{'3_1':0.0},(122,190):{'3_1':0.0},(122,188):{'3_1':0.0},(122,186):{'3_1':0.0},(122,185):{'3_1':0.0},(122,184):{'5_2':0.0},(122,183):{'3_1':0.03},(122,182):{'3_1':0.0},(122,181):{'3_1':0.0,'4_1':0.0},(122,180):{'3_1':0.0},(122,179):{'3_1':0.03,'5_2':0.0},(122,178):{'3_1':0.03,'4_1':0.0},(122,176):{'3_1':0.0,'5_2':0.0},(122,174):{'3_1':0.0},(122,172):{'3_1':0.0},(122,171):{'3_1':0.0},(122,170):{'3_1':0.0},(122,168):{'3_1':0.0},(122,166):{'3_1':0.0},(122,162):{'3_1':0.0},(122,145):{'3_1':0.0},(122,144):{'3_1':0.0},(122,139):{'3_1':0.0},(123,290):{'3_1':0.15,'5_2':0.0},(123,289):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(123,288):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(123,287):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(123,286):{'3_1':0.15,'4_1':0.0},(123,285):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(123,284):{'3_1':0.09,'5_2':0.0},(123,283):{'3_1':0.15,'5_2':0.0},(123,282):{'3_1':0.12,'4_1':0.0},(123,281):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(123,280):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(123,279):{'3_1':0.12,'6_3':0.0},(123,278):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(123,277):{'3_1':0.12,'5_2':0.0,'7_6':0.0},(123,276):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(123,275):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(123,274):{'3_1':0.15,'4_1':0.0},(123,273):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(123,272):{'3_1':0.06},(123,271):{'3_1':0.06},(123,270):{'3_1':0.12,'4_1':0.0},(123,269):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(123,268):{'3_1':0.03,'4_1':0.0},(123,267):{'3_1':0.06},(123,266):{'3_1':0.06},(123,265):{'3_1':0.09},(123,264):{'3_1':0.06},(123,263):{'3_1':0.12},(123,262):{'3_1':0.09},(123,261):{'3_1':0.09},(123,260):{'3_1':0.06},(123,259):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(123,258):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(123,257):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(123,256):{'3_1':0.06,'4_1':0.0},(123,255):{'3_1':0.06,'4_1':0.0},(123,254):{'3_1':0.06,'4_1':0.0},(123,253):{'3_1':0.09,'4_1':0.0},(123,252):{'3_1':0.09,'4_1':0.0},(123,251):{'3_1':0.03},(123,250):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(123,249):{'3_1':0.03},(123,248):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0},(123,247):{'3_1':0.06},(123,246):{'3_1':0.06},(123,245):{'3_1':0.03,'4_1':0.0},(123,244):{'3_1':0.06,'7_3':0.0},(123,243):{'3_1':0.06,'5_1':0.0},(123,242):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(123,241):{'3_1':0.06},(123,240):{'3_1':0.0,'5_2':0.0},(123,239):{'3_1':0.03},(123,238):{'3_1':0.03,'4_1':0.0},(123,237):{'3_1':0.0,'4_1':0.0},(123,236):{'3_1':0.0},(123,235):{'3_1':0.0},(123,234):{'3_1':0.03},(123,233):{'3_1':0.06,'4_1':0.0},(123,232):{'3_1':0.03},(123,231):{'3_1':0.0,'4_1':0.0},(123,230):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(123,229):{'3_1':0.0},(123,228):{'3_1':0.0,'4_1':0.0},(123,227):{'3_1':0.03},(123,226):{'3_1':0.0,'5_2':0.0},(123,225):{'3_1':0.03,'4_1':0.0},(123,224):{'3_1':0.06},(123,223):{'3_1':0.06,'4_1':0.0},(123,222):{'3_1':0.03},(123,221):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(123,220):{'3_1':0.06,'4_1':0.0},(123,219):{'3_1':0.0},(123,218):{'3_1':0.0,'4_1':0.0},(123,217):{'3_1':0.0},(123,216):{'3_1':0.0,'4_1':0.0},(123,215):{'3_1':0.03},(123,212):{'3_1':0.0},(123,211):{'3_1':0.0},(123,210):{'3_1':0.0},(123,209):{'3_1':0.0,'5_1':0.0},(123,208):{'3_1':0.0,'5_2':0.0},(123,207):{'3_1':0.0},(123,206):{'3_1':0.0},(123,205):{'3_1':0.0},(123,204):{'3_1':0.0},(123,203):{'3_1':0.03},(123,202):{'3_1':0.0},(123,201):{'3_1':0.0},(123,200):{'3_1':0.0},(123,199):{'3_1':0.03},(123,198):{'3_1':0.0,'4_1':0.0},(123,197):{'3_1':0.0},(123,196):{'3_1':0.0},(123,195):{'3_1':0.0},(123,194):{'3_1':0.0},(123,193):{'3_1':0.0},(123,192):{'3_1':0.0,'5_2':0.0},(123,191):{'3_1':0.03},(123,190):{'3_1':0.0},(123,189):{'3_1':0.03},(123,187):{'3_1':0.0},(123,186):{'3_1':0.06},(123,185):{'3_1':0.0},(123,184):{'3_1':0.0},(123,183):{'3_1':0.06},(123,182):{'3_1':0.0},(123,181):{'3_1':0.0},(123,180):{'3_1':0.0},(123,179):{'3_1':0.03},(123,178):{'3_1':0.03},(123,177):{'4_1':0.0},(123,176):{'3_1':0.0},(123,175):{'3_1':0.03},(123,174):{'3_1':0.0},(123,173):{'3_1':0.0},(123,172):{'3_1':0.0},(123,171):{'3_1':0.0},(123,170):{'3_1':0.0},(123,168):{'3_1':0.0},(123,164):{'3_1':0.0},(123,162):{'3_1':0.0},(123,154):{'3_1':0.0},(123,153):{'3_1':0.0},(123,152):{'3_1':0.0},(123,148):{'3_1':0.0},(123,145):{'3_1':0.0},(123,143):{'3_1':0.0},(123,141):{'3_1':0.0},(123,139):{'3_1':0.0},(123,138):{'3_1':0.0},(123,137):{'3_1':0.03},(123,136):{'3_1':0.0},(123,135):{'3_1':0.0},(123,131):{'3_1':0.0},(124,290):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(124,289):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(124,288):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(124,287):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(124,286):{'3_1':0.09,'4_1':0.0},(124,285):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(124,284):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(124,283):{'3_1':0.09,'5_1':0.0},(124,282):{'3_1':0.09,'5_2':0.0},(124,281):{'3_1':0.12,'5_2':0.0},(124,280):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'-3':0.0},(124,279):{'3_1':0.15,'5_2':0.0,'6_3':0.0,'4_1':0.0,'7_3':0.0},(124,278):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'-3':0.0},(124,277):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(124,276):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(124,275):{'3_1':0.12,'5_2':0.0},(124,274):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(124,273):{'3_1':0.12,'4_1':0.0},(124,272):{'3_1':0.09,'4_1':0.0},(124,271):{'3_1':0.03,'4_1':0.0},(124,270):{'3_1':0.06},(124,269):{'3_1':0.09},(124,268):{'3_1':0.0},(124,267):{'3_1':0.09},(124,266):{'3_1':0.12},(124,265):{'3_1':0.03,'4_1':0.0},(124,264):{'3_1':0.12},(124,263):{'3_1':0.09,'4_1':0.0},(124,262):{'3_1':0.06},(124,261):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(124,260):{'3_1':0.06,'5_1':0.0},(124,259):{'3_1':0.03},(124,258):{'3_1':0.12,'4_1':0.0},(124,257):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(124,256):{'3_1':0.12,'6_1':0.0},(124,255):{'3_1':0.03,'4_1':0.0},(124,254):{'3_1':0.09,'4_1':0.0},(124,253):{'3_1':0.09},(124,252):{'3_1':0.06,'5_2':0.0},(124,251):{'3_1':0.06},(124,250):{'3_1':0.03},(124,249):{'3_1':0.03,'5_2':0.0},(124,248):{'3_1':0.12,'4_1':0.0},(124,247):{'3_1':0.03,'5_1':0.0},(124,246):{'3_1':0.03,'4_1':0.0},(124,245):{'3_1':0.06},(124,244):{'3_1':0.06,'5_2':0.0},(124,243):{'3_1':0.03,'4_1':0.0},(124,242):{'3_1':0.06},(124,241):{'3_1':0.0,'6_2':0.0},(124,240):{'3_1':0.0},(124,239):{'3_1':0.03},(124,238):{'3_1':0.03},(124,237):{'3_1':0.0},(124,236):{'3_1':0.06},(124,235):{'3_1':0.0},(124,234):{'3_1':0.03,'4_1':0.0},(124,233):{'3_1':0.0,'4_1':0.0},(124,232):{'3_1':0.0},(124,231):{'3_1':0.06},(124,230):{'3_1':0.06,'4_1':0.0},(124,229):{'3_1':0.0},(124,228):{'3_1':0.09,'4_1':0.0},(124,227):{'3_1':0.0},(124,226):{'3_1':0.03,'4_1':0.0},(124,225):{'3_1':0.03},(124,224):{'3_1':0.03,'4_1':0.0},(124,223):{'3_1':0.03,'5_1':0.0},(124,222):{'3_1':0.06,'4_1':0.0},(124,221):{'3_1':0.0},(124,220):{'3_1':0.03},(124,219):{'3_1':0.03},(124,218):{'3_1':0.06,'4_1':0.0},(124,217):{'3_1':0.0,'4_1':0.0},(124,216):{'3_1':0.0,'4_1':0.0},(124,215):{'3_1':0.0,'4_1':0.0},(124,214):{'3_1':0.03},(124,213):{'3_1':0.0},(124,212):{'3_1':0.03},(124,210):{'3_1':0.0},(124,209):{'3_1':0.0},(124,208):{'3_1':0.03},(124,207):{'3_1':0.0},(124,206):{'3_1':0.0},(124,205):{'3_1':0.0},(124,204):{'3_1':0.03},(124,203):{'3_1':0.0,'5_2':0.0},(124,202):{'3_1':0.0},(124,201):{'3_1':0.0},(124,200):{'3_1':0.0},(124,199):{'3_1':0.03},(124,198):{'3_1':0.0},(124,197):{'3_1':0.0},(124,196):{'3_1':0.0},(124,195):{'3_1':0.03},(124,194):{'3_1':0.0},(124,193):{'3_1':0.03},(124,192):{'3_1':0.03},(124,191):{'3_1':0.0},(124,189):{'3_1':0.0},(124,188):{'3_1':0.03},(124,187):{'3_1':0.0},(124,185):{'3_1':0.0},(124,184):{'3_1':0.0},(124,183):{'3_1':0.0,'5_2':0.0},(124,182):{'3_1':0.03},(124,181):{'3_1':0.0,'8_20|3_1#3_1':0.0},(124,180):{'3_1':0.03,'4_1':0.0},(124,178):{'3_1':0.0},(124,177):{'3_1':0.0,'5_1':0.0},(124,176):{'3_1':0.0},(124,174):{'4_1':0.0},(124,173):{'3_1':0.0},(124,172):{'3_1':0.0},(124,171):{'3_1':0.03},(124,170):{'3_1':0.0},(124,169):{'3_1':0.0},(124,167):{'3_1':0.0},(124,166):{'3_1':0.0},(124,164):{'3_1':0.0},(124,163):{'3_1':0.0},(124,161):{'3_1':0.0},(124,148):{'3_1':0.0},(124,146):{'3_1':0.0},(124,145):{'3_1':0.0},(124,143):{'3_1':0.0},(124,142):{'3_1':0.0},(124,140):{'3_1':0.0},(124,139):{'3_1':0.0},(124,138):{'3_1':0.03},(124,137):{'3_1':0.0},(124,136):{'3_1':0.0},(124,135):{'3_1':0.0},(124,132):{'3_1':0.0},(125,290):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(125,289):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(125,288):{'3_1':0.09,'6_3':0.0,'4_1':0.0,'5_1':0.0},(125,287):{'3_1':0.09,'4_1':0.0},(125,286):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(125,285):{'3_1':0.06,'5_2':0.0,'6_3':0.0,'4_1':0.0},(125,284):{'3_1':0.15,'4_1':0.0},(125,283):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(125,282):{'3_1':0.06,'4_1':0.0},(125,281):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(125,280):{'3_1':0.12,'5_2':0.0,'6_3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(125,279):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(125,278):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(125,277):{'3_1':0.15,'4_1':0.0},(125,276):{'3_1':0.09,'5_2':0.0},(125,275):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_5':0.0},(125,274):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(125,273):{'3_1':0.06,'4_1':0.0},(125,272):{'3_1':0.03,'4_1':0.0},(125,271):{'3_1':0.09},(125,270):{'3_1':0.03,'4_1':0.0},(125,269):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(125,268):{'3_1':0.09,'4_1':0.0},(125,267):{'3_1':0.03,'4_1':0.0},(125,266):{'3_1':0.12},(125,265):{'3_1':0.12,'4_1':0.0},(125,264):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(125,263):{'3_1':0.03,'5_2':0.0},(125,262):{'3_1':0.09},(125,261):{'3_1':0.09},(125,260):{'3_1':0.06},(125,259):{'3_1':0.12},(125,258):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(125,257):{'3_1':0.15,'5_2':0.0,'6_2':0.0},(125,256):{'3_1':0.06,'5_1':0.0},(125,255):{'3_1':0.12},(125,254):{'3_1':0.06,'5_2':0.0},(125,253):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(125,252):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(125,251):{'3_1':0.03},(125,250):{'3_1':0.06,'4_1':0.0},(125,249):{'3_1':0.06},(125,248):{'3_1':0.09,'4_1':0.0},(125,247):{'3_1':0.09,'4_1':0.0},(125,246):{'3_1':0.06,'5_2':0.0},(125,245):{'3_1':0.09,'5_2':0.0},(125,244):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(125,243):{'3_1':0.06},(125,242):{'3_1':0.06},(125,241):{'3_1':0.03},(125,240):{'3_1':0.0},(125,239):{'3_1':0.03,'4_1':0.0},(125,238):{'4_1':0.0,'3_1':0.0},(125,237):{'3_1':0.0,'4_1':0.0},(125,235):{'4_1':0.0},(125,234):{'3_1':0.03,'4_1':0.0},(125,233):{'3_1':0.03},(125,232):{'3_1':0.0,'4_1':0.0},(125,231):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(125,230):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(125,229):{'3_1':0.0,'4_1':0.0},(125,228):{'3_1':0.03,'4_1':0.0},(125,227):{'3_1':0.06},(125,226):{'3_1':0.0,'5_1':0.0},(125,225):{'3_1':0.0},(125,224):{'3_1':0.03,'4_1':0.0},(125,223):{'3_1':0.09},(125,222):{'3_1':0.06},(125,221):{'3_1':0.03},(125,220):{'3_1':0.06,'4_1':0.0},(125,219):{'3_1':0.0,'4_1':0.0},(125,218):{'3_1':0.03},(125,217):{'3_1':0.0},(125,215):{'3_1':0.0},(125,214):{'3_1':0.0,'5_2':0.0},(125,213):{'3_1':0.0},(125,212):{'3_1':0.0},(125,211):{'3_1':0.03},(125,210):{'3_1':0.0,'5_2':0.0},(125,209):{'3_1':0.03},(125,208):{'3_1':0.0},(125,207):{'3_1':0.03},(125,206):{'3_1':0.0},(125,205):{'3_1':0.06},(125,204):{'3_1':0.0,'4_1':0.0},(125,203):{'3_1':0.0},(125,202):{'3_1':0.0},(125,201):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(125,198):{'3_1':0.0},(125,196):{'3_1':0.0},(125,195):{'3_1':0.0},(125,194):{'3_1':0.0},(125,193):{'3_1':0.03},(125,192):{'3_1':0.0},(125,191):{'3_1':0.0,'4_1':0.0},(125,190):{'3_1':0.03},(125,189):{'3_1':0.03},(125,188):{'3_1':0.0},(125,187):{'3_1':0.0},(125,186):{'3_1':0.0},(125,185):{'3_1':0.0},(125,184):{'3_1':0.0},(125,183):{'3_1':0.0},(125,182):{'3_1':0.0},(125,180):{'5_2':0.0},(125,179):{'3_1':0.03},(125,178):{'3_1':0.0,'4_1':0.0},(125,177):{'3_1':0.0},(125,173):{'3_1':0.0},(125,172):{'3_1':0.0},(125,171):{'3_1':0.03},(125,170):{'3_1':0.0},(125,168):{'3_1':0.0},(125,166):{'3_1':0.0},(125,164):{'3_1':0.0},(125,163):{'3_1':0.0},(125,162):{'3_1':0.0},(125,144):{'3_1':0.0},(125,143):{'3_1':0.0},(125,141):{'3_1':0.0},(125,139):{'3_1':0.0},(125,138):{'3_1':0.03},(125,137):{'3_1':0.0},(125,136):{'3_1':0.03},(125,135):{'3_1':0.0},(126,290):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(126,289):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(126,288):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(126,287):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(126,286):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(126,285):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(126,284):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(126,283):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(126,282):{'3_1':0.18,'5_2':0.0},(126,281):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(126,280):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(126,279):{'3_1':0.12,'5_2':0.0},(126,278):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'-3':0.0,'6_3':0.0},(126,277):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(126,276):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0},(126,275):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(126,274):{'3_1':0.18,'5_2':0.0},(126,273):{'3_1':0.03,'5_2':0.0},(126,272):{'3_1':0.06,'5_2':0.0},(126,271):{'3_1':0.09},(126,270):{'3_1':0.09},(126,269):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(126,268):{'3_1':0.15},(126,267):{'3_1':0.12},(126,266):{'3_1':0.03},(126,265):{'3_1':0.09},(126,264):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(126,263):{'3_1':0.09,'4_1':0.0},(126,262):{'3_1':0.09,'4_1':0.0},(126,261):{'3_1':0.15,'4_1':0.0},(126,260):{'3_1':0.12,'4_1':0.0},(126,259):{'3_1':0.06},(126,258):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(126,257):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(126,256):{'3_1':0.15},(126,255):{'3_1':0.12},(126,254):{'3_1':0.03},(126,253):{'3_1':0.06,'4_1':0.0},(126,252):{'3_1':0.09},(126,251):{'3_1':0.06,'4_1':0.0},(126,250):{'3_1':0.09,'5_2':0.0},(126,249):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(126,248):{'3_1':0.03,'4_1':0.0},(126,247):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(126,246):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(126,245):{'3_1':0.06,'5_1':0.0},(126,244):{'3_1':0.09},(126,243):{'3_1':0.15},(126,242):{'3_1':0.06},(126,241):{'3_1':0.03,'4_1':0.0},(126,240):{'3_1':0.06,'4_1':0.0},(126,239):{'3_1':0.0},(126,238):{'3_1':0.0,'5_2':0.0},(126,237):{'3_1':0.03},(126,236):{'3_1':0.0,'4_1':0.0},(126,235):{'3_1':0.03,'4_1':0.0},(126,234):{'3_1':0.0,'4_1':0.0},(126,233):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(126,232):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(126,231):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(126,230):{'3_1':0.03},(126,229):{'3_1':0.0},(126,228):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(126,227):{'3_1':0.0,'4_1':0.0},(126,226):{'3_1':0.0},(126,225):{'3_1':0.06},(126,224):{'3_1':0.06,'4_1':0.0},(126,223):{'3_1':0.0},(126,222):{'3_1':0.06,'4_1':0.0},(126,221):{'3_1':0.03,'4_1':0.0},(126,220):{'3_1':0.03},(126,219):{'3_1':0.03,'4_1':0.0},(126,218):{'4_1':0.0},(126,217):{'3_1':0.03},(126,215):{'3_1':0.0,'4_1':0.0},(126,213):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(126,212):{'3_1':0.0},(126,211):{'3_1':0.0},(126,210):{'3_1':0.0},(126,209):{'3_1':0.0},(126,208):{'3_1':0.0,'5_2':0.0},(126,207):{'3_1':0.0},(126,206):{'3_1':0.0},(126,205):{'3_1':0.0},(126,204):{'3_1':0.0},(126,203):{'3_1':0.03},(126,202):{'3_1':0.03,'4_1':0.0},(126,201):{'3_1':0.0},(126,200):{'3_1':0.0,'4_1':0.0},(126,199):{'3_1':0.0},(126,198):{'3_1':0.0},(126,197):{'3_1':0.0},(126,196):{'3_1':0.0},(126,195):{'3_1':0.0},(126,194):{'3_1':0.0},(126,192):{'3_1':0.0},(126,191):{'3_1':0.0},(126,190):{'3_1':0.0},(126,189):{'3_1':0.0},(126,188):{'3_1':0.0},(126,187):{'3_1':0.0},(126,186):{'3_1':0.0},(126,185):{'3_1':0.0,'5_2':0.0},(126,184):{'3_1':0.0},(126,183):{'3_1':0.0},(126,182):{'3_1':0.0,'5_1':0.0},(126,181):{'3_1':0.0},(126,180):{'3_1':0.0,'4_1':0.0},(126,179):{'3_1':0.03},(126,178):{'3_1':0.0},(126,177):{'3_1':0.0},(126,176):{'3_1':0.0},(126,175):{'3_1':0.0},(126,174):{'3_1':0.0},(126,173):{'3_1':0.0},(126,172):{'3_1':0.0},(126,171):{'3_1':0.0},(126,168):{'3_1':0.0},(126,166):{'3_1':0.0},(126,165):{'3_1':0.0},(126,164):{'3_1':0.0},(126,163):{'3_1':0.0},(126,162):{'3_1':0.0},(126,161):{'3_1':0.0},(126,151):{'3_1':0.0},(126,150):{'3_1':0.0},(126,146):{'3_1':0.0},(126,145):{'3_1':0.0},(126,144):{'3_1':0.0},(126,143):{'3_1':0.0},(126,142):{'3_1':0.0},(126,141):{'3_1':0.0},(126,140):{'3_1':0.0},(126,139):{'3_1':0.0},(126,138):{'3_1':0.0},(126,137):{'3_1':0.0},(126,136):{'3_1':0.0},(126,135):{'3_1':0.0},(127,290):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(127,289):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(127,288):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'7_5':0.0},(127,287):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(127,286):{'3_1':0.06,'4_1':0.0},(127,285):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(127,284):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(127,283):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(127,282):{'3_1':0.09,'4_1':0.03,'-3':0.0},(127,281):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(127,280):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0},(127,279):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(127,278):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(127,277):{'3_1':0.15,'4_1':0.0,'7_3':0.0},(127,276):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(127,275):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(127,274):{'3_1':0.15},(127,273):{'3_1':0.12,'4_1':0.0},(127,272):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(127,271):{'3_1':0.09},(127,270):{'3_1':0.06,'4_1':0.0},(127,269):{'3_1':0.06,'4_1':0.0},(127,268):{'3_1':0.06,'4_1':0.0},(127,267):{'3_1':0.06,'5_2':0.0},(127,266):{'3_1':0.12},(127,265):{'3_1':0.15},(127,264):{'3_1':0.15},(127,263):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(127,262):{'3_1':0.09},(127,261):{'3_1':0.12},(127,260):{'3_1':0.12},(127,259):{'3_1':0.15,'6_2':0.0},(127,258):{'3_1':0.18,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(127,257):{'3_1':0.18},(127,256):{'3_1':0.09},(127,255):{'3_1':0.12,'4_1':0.0},(127,254):{'3_1':0.12,'4_1':0.0},(127,253):{'3_1':0.06,'4_1':0.0},(127,252):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(127,251):{'3_1':0.03,'5_2':0.0},(127,250):{'3_1':0.15,'4_1':0.0},(127,249):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(127,248):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(127,247):{'3_1':0.09},(127,246):{'3_1':0.12},(127,245):{'3_1':0.12,'5_1':0.0},(127,244):{'3_1':0.12},(127,243):{'3_1':0.06},(127,242):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(127,241):{'3_1':0.09},(127,240):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(127,239):{'3_1':0.03,'5_2':0.0},(127,238):{'3_1':0.03,'5_2':0.0},(127,237):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(127,236):{'3_1':0.03},(127,235):{'3_1':0.03},(127,234):{'3_1':0.06},(127,233):{'3_1':0.03,'4_1':0.0},(127,232):{'3_1':0.0},(127,231):{'3_1':0.03,'4_1':0.0},(127,230):{'3_1':0.0,'4_1':0.0},(127,229):{'3_1':0.03,'4_1':0.0},(127,228):{'3_1':0.03,'5_1':0.0},(127,227):{'3_1':0.03},(127,226):{'3_1':0.0},(127,225):{'3_1':0.0},(127,224):{'3_1':0.03},(127,223):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(127,222):{'3_1':0.0},(127,221):{'3_1':0.0,'4_1':0.0},(127,220):{'3_1':0.03,'4_1':0.0},(127,219):{'3_1':0.03},(127,218):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(127,217):{'3_1':0.0,'4_1':0.0},(127,216):{'4_1':0.0,'5_2':0.0},(127,215):{'3_1':0.0},(127,214):{'3_1':0.0},(127,213):{'3_1':0.0,'5_2':0.0},(127,212):{'3_1':0.03},(127,210):{'3_1':0.0},(127,209):{'3_1':0.03,'5_1':0.0},(127,208):{'3_1':0.03},(127,207):{'3_1':0.0,'4_1':0.0},(127,206):{'3_1':0.03},(127,205):{'3_1':0.0,'5_1':0.0},(127,204):{'3_1':0.0},(127,203):{'3_1':0.06},(127,202):{'3_1':0.03},(127,201):{'3_1':0.0},(127,200):{'3_1':0.0},(127,199):{'3_1':0.0},(127,198):{'3_1':0.0},(127,197):{'3_1':0.0},(127,196):{'3_1':0.03},(127,195):{'3_1':0.0,'5_2':0.0},(127,193):{'3_1':0.0,'4_1':0.0},(127,192):{'3_1':0.0,'4_1':0.0},(127,191):{'4_1':0.0},(127,190):{'3_1':0.0},(127,189):{'3_1':0.0},(127,188):{'3_1':0.0},(127,187):{'3_1':0.0},(127,186):{'3_1':0.0},(127,185):{'3_1':0.03},(127,184):{'3_1':0.0},(127,183):{'3_1':0.0},(127,182):{'3_1':0.0},(127,181):{'3_1':0.0},(127,180):{'3_1':0.0},(127,179):{'3_1':0.0},(127,178):{'3_1':0.0},(127,177):{'3_1':0.03},(127,176):{'3_1':0.0},(127,175):{'3_1':0.0},(127,174):{'3_1':0.0},(127,172):{'3_1':0.0},(127,171):{'3_1':0.0},(127,170):{'3_1':0.0},(127,169):{'3_1':0.0},(127,167):{'3_1':0.0},(127,165):{'3_1':0.0},(127,164):{'3_1':0.0},(127,162):{'3_1':0.0},(127,157):{'3_1':0.0},(127,153):{'3_1':0.0},(127,152):{'3_1':0.0},(127,149):{'3_1':0.0},(127,147):{'3_1':0.0},(127,142):{'3_1':0.0},(127,140):{'3_1':0.0},(127,139):{'3_1':0.0},(127,138):{'3_1':0.0},(128,290):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(128,289):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(128,288):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_3':0.0},(128,287):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(128,286):{'3_1':0.15,'4_1':0.03},(128,285):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(128,284):{'3_1':0.15},(128,283):{'3_1':0.12,'5_2':0.0},(128,282):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_6':0.0},(128,281):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(128,280):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(128,279):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(128,278):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(128,277):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_6':0.0},(128,276):{'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0},(128,275):{'3_1':0.15,'7_7':0.0},(128,274):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(128,273):{'3_1':0.09,'4_1':0.0},(128,272):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(128,271):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(128,270):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(128,269):{'3_1':0.12,'4_1':0.0},(128,268):{'3_1':0.03,'4_1':0.0},(128,267):{'3_1':0.09,'4_1':0.0},(128,266):{'3_1':0.06,'4_1':0.03},(128,265):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(128,264):{'3_1':0.03},(128,263):{'3_1':0.09,'4_1':0.0},(128,262):{'3_1':0.18},(128,261):{'3_1':0.12},(128,260):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(128,259):{'3_1':0.06,'5_1':0.0},(128,258):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(128,257):{'3_1':0.21,'4_1':0.0,'6_3':0.0},(128,256):{'3_1':0.12,'4_1':0.0},(128,255):{'3_1':0.09,'5_2':0.0},(128,254):{'3_1':0.12,'4_1':0.0},(128,253):{'3_1':0.09,'4_1':0.0},(128,252):{'3_1':0.12,'4_1':0.0},(128,251):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(128,250):{'3_1':0.09},(128,249):{'3_1':0.09},(128,248):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0},(128,247):{'3_1':0.09,'4_1':0.0},(128,246):{'3_1':0.06,'5_2':0.0},(128,245):{'3_1':0.06,'7_3':0.0},(128,244):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(128,243):{'3_1':0.09},(128,242):{'3_1':0.03,'5_2':0.0},(128,241):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(128,240):{'3_1':0.06,'4_1':0.0},(128,239):{'3_1':0.03,'5_2':0.0},(128,238):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(128,237):{'3_1':0.03},(128,236):{'3_1':0.03,'4_1':0.0},(128,235):{'3_1':0.06,'4_1':0.0},(128,234):{'3_1':0.03,'5_2':0.0},(128,233):{'3_1':0.03},(128,232):{'3_1':0.03,'4_1':0.0},(128,231):{'3_1':0.0},(128,230):{'4_1':0.0},(128,229):{'3_1':0.03},(128,228):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(128,227):{'3_1':0.03,'4_1':0.0},(128,226):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(128,225):{'3_1':0.03},(128,224):{'3_1':0.06},(128,223):{'3_1':0.03,'4_1':0.0},(128,222):{'3_1':0.06},(128,221):{'3_1':0.06,'4_1':0.0},(128,220):{'3_1':0.0,'4_1':0.0},(128,219):{'3_1':0.0},(128,218):{'3_1':0.03},(128,217):{'3_1':0.0},(128,216):{'3_1':0.0},(128,215):{'3_1':0.03},(128,214):{'3_1':0.0},(128,212):{'5_2':0.0},(128,211):{'3_1':0.0,'5_2':0.0},(128,209):{'3_1':0.03,'5_2':0.0},(128,208):{'3_1':0.0},(128,207):{'3_1':0.0},(128,206):{'3_1':0.03},(128,205):{'3_1':0.0},(128,204):{'3_1':0.0},(128,203):{'3_1':0.0},(128,202):{'3_1':0.0},(128,201):{'3_1':0.0},(128,200):{'3_1':0.0},(128,199):{'3_1':0.0},(128,198):{'3_1':0.0},(128,197):{'3_1':0.0},(128,196):{'3_1':0.0},(128,195):{'4_1':0.0},(128,194):{'3_1':0.0},(128,193):{'3_1':0.0},(128,192):{'3_1':0.0},(128,191):{'3_1':0.0,'4_1':0.0},(128,190):{'3_1':0.03,'4_1':0.0},(128,189):{'3_1':0.03},(128,188):{'3_1':0.0},(128,187):{'3_1':0.0},(128,186):{'3_1':0.0},(128,184):{'3_1':0.0},(128,183):{'3_1':0.0},(128,182):{'3_1':0.0},(128,181):{'3_1':0.0},(128,180):{'3_1':0.0},(128,179):{'3_1':0.0,'5_1':0.0},(128,178):{'3_1':0.0},(128,177):{'3_1':0.03},(128,176):{'3_1':0.0},(128,175):{'3_1':0.0},(128,174):{'3_1':0.0},(128,173):{'3_1':0.0},(128,172):{'3_1':0.0},(128,171):{'3_1':0.0},(128,170):{'3_1':0.03},(128,169):{'3_1':0.03},(128,168):{'3_1':0.0},(128,167):{'3_1':0.0},(128,166):{'3_1':0.0},(128,165):{'3_1':0.0},(128,163):{'3_1':0.0},(128,162):{'3_1':0.0},(128,161):{'3_1':0.0},(128,157):{'3_1':0.0},(128,151):{'3_1':0.0},(128,145):{'3_1':0.0},(128,142):{'3_1':0.0},(128,139):{'3_1':0.0},(129,290):{'3_1':0.09,'4_1':0.0},(129,289):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(129,288):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(129,287):{'3_1':0.09,'4_1':0.03},(129,286):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_6':0.0},(129,285):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_3':0.0},(129,284):{'3_1':0.06,'6_3':0.0},(129,283):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(129,282):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(129,281):{'3_1':0.12,'5_2':0.0},(129,280):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(129,279):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(129,278):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(129,277):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0},(129,276):{'3_1':0.15},(129,275):{'3_1':0.15,'5_1':0.0},(129,274):{'3_1':0.12,'6_2':0.0,'6_3':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(129,273):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(129,272):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(129,271):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(129,270):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(129,269):{'3_1':0.06,'4_1':0.0},(129,268):{'3_1':0.03,'4_1':0.0},(129,267):{'3_1':0.09},(129,266):{'3_1':0.09,'4_1':0.0},(129,265):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(129,264):{'3_1':0.06,'4_1':0.0},(129,263):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(129,262):{'3_1':0.15,'4_1':0.0},(129,261):{'3_1':0.06,'5_2':0.0},(129,260):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(129,259):{'3_1':0.12,'5_1':0.0},(129,258):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(129,257):{'3_1':0.15,'5_1':0.0},(129,256):{'3_1':0.09},(129,255):{'3_1':0.12,'4_1':0.0},(129,254):{'3_1':0.03,'5_2':0.0},(129,253):{'3_1':0.09,'4_1':0.0},(129,252):{'3_1':0.06},(129,251):{'3_1':0.06},(129,250):{'3_1':0.03},(129,249):{'3_1':0.03,'5_1':0.0},(129,248):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(129,247):{'3_1':0.06,'4_1':0.0},(129,246):{'3_1':0.15},(129,245):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(129,244):{'3_1':0.12},(129,243):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(129,242):{'3_1':0.09,'5_2':0.0},(129,241):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(129,240):{'3_1':0.03,'4_1':0.0},(129,239):{'3_1':0.0},(129,238):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(129,237):{'3_1':0.06,'4_1':0.0},(129,236):{'3_1':0.03},(129,235):{'3_1':0.0,'4_1':0.0},(129,234):{'3_1':0.0,'4_1':0.0},(129,233):{'3_1':0.0,'4_1':0.0},(129,232):{'3_1':0.0},(129,231):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(129,230):{'3_1':0.0,'5_1':0.0},(129,229):{'3_1':0.03},(129,228):{'3_1':0.03,'5_1':0.0},(129,227):{'3_1':0.0,'4_1':0.0},(129,226):{'3_1':0.03},(129,225):{'3_1':0.0},(129,224):{'3_1':0.03},(129,223):{'3_1':0.03},(129,222):{'3_1':0.03},(129,221):{'3_1':0.06},(129,220):{'3_1':0.03,'4_1':0.0},(129,219):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(129,218):{'3_1':0.03},(129,217):{'3_1':0.03,'4_1':0.0},(129,216):{'3_1':0.03,'4_1':0.0},(129,215):{'3_1':0.0,'4_1':0.0},(129,214):{'3_1':0.0,'4_1':0.0},(129,212):{'3_1':0.0},(129,211):{'3_1':0.0},(129,210):{'5_2':0.0},(129,209):{'3_1':0.0},(129,208):{'3_1':0.0},(129,207):{'3_1':0.0},(129,206):{'3_1':0.0},(129,205):{'3_1':0.0},(129,204):{'3_1':0.0},(129,203):{'3_1':0.0},(129,200):{'3_1':0.0},(129,198):{'3_1':0.0},(129,197):{'3_1':0.0},(129,196):{'3_1':0.0},(129,195):{'3_1':0.0},(129,194):{'3_1':0.0},(129,193):{'3_1':0.0},(129,192):{'3_1':0.0},(129,191):{'3_1':0.0},(129,190):{'3_1':0.0},(129,189):{'3_1':0.0},(129,188):{'3_1':0.0},(129,187):{'3_1':0.03},(129,186):{'3_1':0.0},(129,185):{'3_1':0.0},(129,184):{'3_1':0.0},(129,183):{'3_1':0.0},(129,182):{'3_1':0.0},(129,181):{'3_1':0.0},(129,180):{'3_1':0.03},(129,179):{'3_1':0.0},(129,178):{'3_1':0.0},(129,175):{'3_1':0.0},(129,174):{'3_1':0.0},(129,172):{'3_1':0.0},(129,171):{'3_1':0.0},(129,170):{'3_1':0.03},(129,169):{'3_1':0.06},(129,167):{'3_1':0.0},(129,165):{'3_1':0.0},(129,163):{'3_1':0.0},(129,162):{'3_1':0.0},(129,160):{'3_1':0.0},(129,156):{'3_1':0.0},(129,153):{'3_1':0.0},(129,152):{'3_1':0.0},(129,151):{'3_1':0.0},(129,144):{'3_1':0.0},(129,139):{'3_1':0.0},(130,290):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(130,289):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(130,288):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(130,287):{'3_1':0.03,'5_2':0.0},(130,286):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(130,285):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(130,284):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(130,283):{'3_1':0.09,'6_1':0.0},(130,282):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(130,281):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(130,280):{'3_1':0.06,'5_2':0.0},(130,279):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(130,278):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(130,277):{'3_1':0.12,'6_3':0.0,'5_2':0.0},(130,276):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(130,275):{'3_1':0.09,'4_1':0.0},(130,274):{'3_1':0.12,'4_1':0.0},(130,273):{'3_1':0.09,'5_2':0.0},(130,272):{'3_1':0.06,'4_1':0.0},(130,271):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(130,270):{'3_1':0.06,'5_2':0.0},(130,269):{'3_1':0.12,'4_1':0.0},(130,268):{'3_1':0.06},(130,267):{'3_1':0.09},(130,266):{'3_1':0.12},(130,265):{'3_1':0.06},(130,264):{'3_1':0.09},(130,263):{'3_1':0.09},(130,262):{'3_1':0.09,'4_1':0.0},(130,261):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(130,260):{'3_1':0.06,'4_1':0.0},(130,259):{'3_1':0.06},(130,258):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(130,257):{'3_1':0.15,'4_1':0.0},(130,256):{'3_1':0.09},(130,255):{'3_1':0.15},(130,254):{'3_1':0.12},(130,253):{'3_1':0.09,'4_1':0.0},(130,252):{'3_1':0.09,'6_3':0.0},(130,251):{'3_1':0.09,'4_1':0.0},(130,250):{'3_1':0.03,'6_3':0.0},(130,249):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(130,248):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(130,247):{'3_1':0.06,'4_1':0.0},(130,246):{'3_1':0.12,'4_1':0.0},(130,245):{'3_1':0.12,'4_1':0.0},(130,244):{'3_1':0.09},(130,243):{'3_1':0.09},(130,242):{'3_1':0.12,'4_1':0.0},(130,241):{'3_1':0.06,'4_1':0.0},(130,240):{'3_1':0.03,'4_1':0.0},(130,239):{'3_1':0.03,'4_1':0.0},(130,238):{'3_1':0.0},(130,237):{'3_1':0.0,'4_1':0.0},(130,236):{'3_1':0.0},(130,235):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(130,234):{'3_1':0.0},(130,233):{'3_1':0.03},(130,232):{'3_1':0.0,'4_1':0.0},(130,231):{'3_1':0.06},(130,230):{'3_1':0.03},(130,229):{'3_1':0.03},(130,228):{'3_1':0.03,'5_1':0.0},(130,227):{'3_1':0.03},(130,226):{'3_1':0.0,'5_1':0.0},(130,225):{'3_1':0.03,'4_1':0.0},(130,224):{'3_1':0.06,'5_1':0.0},(130,223):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(130,222):{'3_1':0.09,'4_1':0.0},(130,221):{'3_1':0.03,'5_1':0.0},(130,220):{'3_1':0.0,'5_1':0.0},(130,219):{'3_1':0.03,'4_1':0.0},(130,218):{'3_1':0.0,'5_1':0.0},(130,217):{'3_1':0.03,'4_1':0.0},(130,216):{'3_1':0.0},(130,215):{'3_1':0.03},(130,214):{'3_1':0.0},(130,213):{'3_1':0.0},(130,212):{'3_1':0.0},(130,211):{'3_1':0.0},(130,210):{'3_1':0.0,'4_1':0.0},(130,209):{'3_1':0.0},(130,207):{'3_1':0.03,'4_1':0.0},(130,206):{'3_1':0.03},(130,205):{'5_2':0.0,'3_1':0.0},(130,204):{'3_1':0.0},(130,203):{'3_1':0.0},(130,202):{'3_1':0.0},(130,201):{'3_1':0.03},(130,199):{'3_1':0.0},(130,198):{'4_1':0.0},(130,197):{'3_1':0.0},(130,196):{'3_1':0.0},(130,195):{'3_1':0.0},(130,194):{'3_1':0.0},(130,193):{'3_1':0.0,'4_1':0.0},(130,192):{'3_1':0.0},(130,190):{'4_1':0.0},(130,189):{'3_1':0.0},(130,187):{'3_1':0.0},(130,186):{'4_1':0.0},(130,185):{'3_1':0.0},(130,183):{'3_1':0.0},(130,182):{'3_1':0.0},(130,181):{'3_1':0.0,'5_2':0.0},(130,180):{'3_1':0.0},(130,179):{'3_1':0.0},(130,178):{'3_1':0.0},(130,176):{'3_1':0.0},(130,175):{'3_1':0.0},(130,174):{'3_1':0.0},(130,173):{'3_1':0.0},(130,171):{'3_1':0.0},(130,170):{'3_1':0.0},(130,169):{'3_1':0.0},(130,167):{'3_1':0.0},(130,166):{'3_1':0.0},(130,165):{'3_1':0.0},(130,164):{'3_1':0.0},(130,162):{'3_1':0.0},(130,161):{'3_1':0.0},(130,158):{'3_1':0.0},(130,156):{'3_1':0.0},(130,154):{'3_1':0.0},(130,150):{'3_1':0.0},(130,148):{'3_1':0.0},(130,134):{'3_1':0.0},(131,290):{'3_1':0.06,'4_1':0.0},(131,289):{'3_1':0.06,'5_1':0.0},(131,288):{'3_1':0.12,'4_1':0.0},(131,287):{'3_1':0.09,'4_1':0.03},(131,286):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(131,285):{'3_1':0.06},(131,284):{'3_1':0.09,'6_3':0.0},(131,283):{'3_1':0.15,'4_1':0.0},(131,282):{'3_1':0.15},(131,281):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(131,280):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(131,279):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0,'5_1':0.0},(131,278):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_7':0.0},(131,277):{'3_1':0.12,'6_3':0.0,'4_1':0.0,'5_2':0.0},(131,276):{'3_1':0.06,'5_2':0.0,'8_7':0.0},(131,275):{'3_1':0.12,'5_2':0.0},(131,274):{'3_1':0.06,'4_1':0.0},(131,273):{'3_1':0.06,'6_3':0.0},(131,272):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(131,271):{'3_1':0.09,'4_1':0.0},(131,270):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(131,269):{'3_1':0.09},(131,268):{'3_1':0.03,'5_2':0.0},(131,267):{'3_1':0.06,'4_1':0.0},(131,266):{'3_1':0.06,'4_1':0.0},(131,265):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(131,264):{'3_1':0.09},(131,263):{'3_1':0.06,'6_3':0.0},(131,262):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(131,261):{'3_1':0.06,'4_1':0.0},(131,260):{'3_1':0.03,'6_2':0.0},(131,259):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(131,258):{'3_1':0.15,'8_20|3_1#3_1':0.0},(131,257):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(131,256):{'3_1':0.12},(131,255):{'3_1':0.12},(131,254):{'3_1':0.12},(131,253):{'3_1':0.06,'4_1':0.0},(131,252):{'3_1':0.12},(131,251):{'3_1':0.06,'4_1':0.0},(131,250):{'3_1':0.06,'4_1':0.0},(131,249):{'3_1':0.03,'4_1':0.0},(131,248):{'3_1':0.03,'6_3':0.0},(131,247):{'3_1':0.06,'4_1':0.0},(131,246):{'3_1':0.03,'5_1':0.0},(131,245):{'3_1':0.06,'4_1':0.0},(131,244):{'3_1':0.06,'4_1':0.0},(131,243):{'3_1':0.03,'4_1':0.0},(131,242):{'3_1':0.03},(131,241):{'3_1':0.06,'5_2':0.0},(131,240):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(131,239):{'3_1':0.0,'5_2':0.0},(131,238):{'3_1':0.03},(131,237):{'3_1':0.0,'4_1':0.0},(131,236):{'3_1':0.0},(131,235):{'3_1':0.03},(131,234):{'3_1':0.06},(131,233):{'3_1':0.03,'4_1':0.0},(131,232):{'3_1':0.0,'4_1':0.0},(131,231):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(131,230):{'3_1':0.03},(131,229):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(131,228):{'3_1':0.06},(131,227):{'3_1':0.03,'5_1':0.0},(131,226):{'3_1':0.0},(131,225):{'3_1':0.03,'4_1':0.0},(131,224):{'3_1':0.09},(131,223):{'3_1':0.03,'5_1':0.0},(131,222):{'3_1':0.09,'5_1':0.0},(131,221):{'3_1':0.06,'5_1':0.0},(131,220):{'3_1':0.03},(131,219):{'3_1':0.0},(131,218):{'3_1':0.03},(131,217):{'3_1':0.03,'4_1':0.0},(131,216):{'3_1':0.03,'4_1':0.0},(131,215):{'3_1':0.03},(131,213):{'3_1':0.03},(131,212):{'3_1':0.0},(131,210):{'3_1':0.03,'4_1':0.0},(131,209):{'3_1':0.0},(131,208):{'3_1':0.0},(131,207):{'3_1':0.0,'4_1':0.0},(131,205):{'3_1':0.0},(131,204):{'3_1':0.03},(131,203):{'3_1':0.0},(131,201):{'3_1':0.03},(131,200):{'4_1':0.0,'5_2':0.0},(131,199):{'3_1':0.0},(131,198):{'3_1':0.0},(131,197):{'3_1':0.03},(131,196):{'3_1':0.0},(131,195):{'3_1':0.0},(131,194):{'3_1':0.0},(131,193):{'3_1':0.0},(131,192):{'3_1':0.0},(131,191):{'3_1':0.06},(131,190):{'3_1':0.0},(131,189):{'3_1':0.03,'4_1':0.0},(131,188):{'3_1':0.0},(131,187):{'3_1':0.0},(131,186):{'3_1':0.0},(131,185):{'3_1':0.0},(131,184):{'3_1':0.0},(131,183):{'3_1':0.0},(131,181):{'3_1':0.03,'5_2':0.0},(131,180):{'3_1':0.0},(131,178):{'3_1':0.0},(131,177):{'3_1':0.0},(131,176):{'3_1':0.03},(131,173):{'3_1':0.0},(131,171):{'3_1':0.03},(131,170):{'3_1':0.0},(131,169):{'3_1':0.0},(131,168):{'3_1':0.0},(131,167):{'3_1':0.03},(131,166):{'3_1':0.0},(131,164):{'3_1':0.0},(131,163):{'3_1':0.0},(131,162):{'3_1':0.0},(131,161):{'3_1':0.0},(131,160):{'3_1':0.0},(132,290):{'3_1':0.03,'4_1':0.0},(132,289):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_19':0.0},(132,288):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(132,287):{'3_1':0.09},(132,286):{'3_1':0.06,'4_1':0.0},(132,285):{'3_1':0.12,'4_1':0.0},(132,284):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(132,283):{'3_1':0.06,'4_1':0.03},(132,282):{'3_1':0.09,'4_1':0.0},(132,281):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(132,280):{'3_1':0.09,'5_2':0.0},(132,279):{'3_1':0.09,'4_1':0.0},(132,278):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(132,277):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(132,276):{'3_1':0.21,'5_2':0.0},(132,275):{'3_1':0.06,'5_2':0.0},(132,274):{'3_1':0.09,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(132,273):{'3_1':0.09},(132,272):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(132,271):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(132,270):{'3_1':0.03,'4_1':0.0},(132,269):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(132,268):{'3_1':0.03,'4_1':0.0},(132,267):{'3_1':0.03},(132,266):{'3_1':0.06},(132,265):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(132,264):{'3_1':0.09},(132,263):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(132,262):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(132,261):{'3_1':0.12},(132,260):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_3':0.0},(132,259):{'3_1':0.06,'5_2':0.0},(132,258):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(132,257):{'3_1':0.09,'4_1':0.0},(132,256):{'3_1':0.09,'5_2':0.0},(132,255):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(132,254):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(132,253):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(132,252):{'3_1':0.06,'4_1':0.0,'7_7':0.0},(132,251):{'3_1':0.06},(132,250):{'3_1':0.03,'5_2':0.0},(132,249):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(132,248):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(132,247):{'3_1':0.06,'4_1':0.0},(132,246):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(132,245):{'3_1':0.03},(132,244):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(132,243):{'3_1':0.06,'5_2':0.0},(132,242):{'3_1':0.09,'5_2':0.0},(132,241):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(132,240):{'3_1':0.03},(132,239):{'3_1':0.06,'4_1':0.0},(132,238):{'3_1':0.0,'4_1':0.0},(132,237):{'3_1':0.03},(132,236):{'3_1':0.03,'4_1':0.0},(132,235):{'3_1':0.03},(132,234):{'3_1':0.0,'4_1':0.0},(132,233):{'3_1':0.03},(132,232):{'3_1':0.0},(132,231):{'3_1':0.03,'5_1':0.0},(132,230):{'3_1':0.0},(132,229):{'3_1':0.03,'5_1':0.0},(132,228):{'3_1':0.03},(132,227):{'3_1':0.0,'4_1':0.0},(132,226):{'3_1':0.06,'5_1':0.0},(132,225):{'3_1':0.03},(132,224):{'3_1':0.03,'5_2':0.0},(132,223):{'3_1':0.03},(132,222):{'3_1':0.06,'5_1':0.0},(132,221):{'3_1':0.06},(132,220):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(132,219):{'3_1':0.06},(132,218):{'3_1':0.0,'8_20|3_1#3_1':0.0},(132,217):{'3_1':0.03},(132,216):{'3_1':0.0},(132,215):{'3_1':0.0},(132,213):{'3_1':0.06},(132,211):{'3_1':0.03,'4_1':0.0},(132,210):{'3_1':0.0},(132,209):{'3_1':0.0},(132,208):{'3_1':0.0},(132,207):{'3_1':0.0},(132,206):{'3_1':0.03},(132,205):{'3_1':0.0,'4_1':0.0},(132,203):{'3_1':0.0},(132,202):{'3_1':0.0},(132,201):{'3_1':0.0},(132,199):{'3_1':0.03},(132,198):{'3_1':0.0},(132,197):{'3_1':0.0},(132,196):{'3_1':0.0},(132,195):{'3_1':0.0},(132,194):{'3_1':0.03,'5_2':0.0},(132,193):{'3_1':0.06},(132,192):{'3_1':0.03},(132,191):{'3_1':0.0},(132,190):{'3_1':0.0},(132,189):{'3_1':0.0},(132,188):{'3_1':0.0},(132,186):{'3_1':0.0},(132,185):{'3_1':0.0},(132,184):{'3_1':0.0},(132,183):{'3_1':0.0},(132,182):{'3_1':0.0},(132,181):{'3_1':0.03},(132,180):{'3_1':0.0,'4_1':0.0},(132,179):{'3_1':0.0},(132,178):{'3_1':0.0},(132,175):{'3_1':0.0},(132,173):{'3_1':0.0},(132,171):{'3_1':0.0},(132,170):{'3_1':0.0},(132,169):{'3_1':0.0},(132,167):{'3_1':0.0},(132,166):{'3_1':0.0},(132,165):{'3_1':0.0},(132,163):{'3_1':0.0},(132,160):{'3_1':0.0},(133,290):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(133,289):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(133,288):{'3_1':0.15,'6_3':0.0,'8_21|3_1#4_1':0.0},(133,287):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(133,286):{'3_1':0.12,'4_1':0.0},(133,285):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(133,284):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(133,283):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(133,282):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(133,281):{'3_1':0.15,'4_1':0.0},(133,280):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'-3':0.0},(133,279):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(133,278):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(133,277):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(133,276):{'3_1':0.03,'5_2':0.0},(133,275):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(133,274):{'5_2':0.03,'3_1':0.0},(133,273):{'3_1':0.06,'4_1':0.0},(133,272):{'3_1':0.0,'4_1':0.0,'7_7':0.0},(133,271):{'3_1':0.03,'4_1':0.0},(133,270):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(133,269):{'3_1':0.06},(133,268):{'3_1':0.06,'5_2':0.0},(133,267):{'3_1':0.06,'4_1':0.0},(133,266):{'3_1':0.03,'5_2':0.0},(133,265):{'3_1':0.09},(133,264):{'3_1':0.0},(133,263):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(133,262):{'3_1':0.06},(133,261):{'3_1':0.06},(133,260):{'3_1':0.09},(133,259):{'3_1':0.15,'5_1':0.0},(133,258):{'3_1':0.09},(133,257):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(133,256):{'3_1':0.06,'6_2':0.0},(133,255):{'3_1':0.12,'4_1':0.0},(133,254):{'3_1':0.03,'4_1':0.0},(133,253):{'3_1':0.03,'4_1':0.0},(133,252):{'3_1':0.12,'4_1':0.0},(133,251):{'3_1':0.06,'4_1':0.0},(133,250):{'3_1':0.06},(133,249):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(133,248):{'3_1':0.0},(133,247):{'3_1':0.03,'4_1':0.0},(133,246):{'3_1':0.06,'5_1':0.0},(133,245):{'3_1':0.06,'4_1':0.0},(133,244):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(133,243):{'3_1':0.06},(133,242):{'3_1':0.06,'4_1':0.0},(133,241):{'3_1':0.09,'4_1':0.0},(133,240):{'3_1':0.03,'4_1':0.0},(133,239):{'3_1':0.0,'4_1':0.0},(133,238):{'3_1':0.06},(133,237):{'3_1':0.03},(133,236):{'3_1':0.03,'4_1':0.0},(133,235):{'3_1':0.03},(133,234):{'3_1':0.0},(133,233):{'3_1':0.03,'4_1':0.0},(133,232):{'3_1':0.0},(133,231):{'3_1':0.03},(133,230):{'3_1':0.03,'6_1':0.0},(133,229):{'3_1':0.06},(133,228):{'3_1':0.06},(133,227):{'3_1':0.03,'4_1':0.0},(133,226):{'3_1':0.03,'4_1':0.0},(133,225):{'3_1':0.0},(133,224):{'3_1':0.03,'5_1':0.0},(133,223):{'3_1':0.09},(133,222):{'3_1':0.06,'5_2':0.0},(133,221):{'3_1':0.03,'4_1':0.0},(133,220):{'3_1':0.09},(133,219):{'3_1':0.0},(133,218):{'3_1':0.0},(133,217):{'3_1':0.0},(133,216):{'3_1':0.0},(133,215):{'3_1':0.0,'4_1':0.0},(133,214):{'4_1':0.0},(133,213):{'3_1':0.0,'4_1':0.0},(133,212):{'3_1':0.0},(133,210):{'3_1':0.0},(133,209):{'3_1':0.0},(133,208):{'3_1':0.0},(133,207):{'3_1':0.0},(133,206):{'3_1':0.03},(133,204):{'3_1':0.0},(133,203):{'3_1':0.0},(133,201):{'3_1':0.0},(133,200):{'3_1':0.03},(133,199):{'3_1':0.0},(133,198):{'3_1':0.0},(133,197):{'4_1':0.0,'3_1':0.0},(133,196):{'3_1':0.0,'4_1':0.0},(133,195):{'3_1':0.0},(133,194):{'3_1':0.0},(133,193):{'3_1':0.0},(133,192):{'3_1':0.03},(133,191):{'3_1':0.0,'4_1':0.0},(133,190):{'3_1':0.0},(133,189):{'3_1':0.0},(133,187):{'3_1':0.0},(133,186):{'3_1':0.0},(133,185):{'3_1':0.0},(133,184):{'3_1':0.0},(133,183):{'3_1':0.03},(133,182):{'3_1':0.0},(133,181):{'3_1':0.0,'5_2':0.0},(133,177):{'3_1':0.0},(133,176):{'3_1':0.03},(133,175):{'3_1':0.0},(133,174):{'3_1':0.0},(133,173):{'3_1':0.0},(133,172):{'3_1':0.0},(133,171):{'3_1':0.0},(133,170):{'3_1':0.0},(133,168):{'3_1':0.0},(133,167):{'3_1':0.0},(133,163):{'3_1':0.0},(133,161):{'3_1':0.0},(134,290):{'3_1':0.06,'5_2':0.0},(134,289):{'3_1':0.12,'4_1':0.03},(134,288):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(134,287):{'3_1':0.0},(134,286):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(134,285):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(134,284):{'3_1':0.09,'6_3':0.0,'7_5':0.0},(134,283):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(134,282):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(134,281):{'3_1':0.06,'5_2':0.0},(134,280):{'3_1':0.06,'5_2':0.0},(134,279):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(134,278):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(134,277):{'3_1':0.06,'5_2':0.0},(134,276):{'3_1':0.06},(134,275):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(134,274):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(134,273):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(134,272):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(134,271):{'3_1':0.03,'4_1':0.0},(134,270):{'3_1':0.06,'4_1':0.0},(134,269):{'3_1':0.06},(134,268):{'3_1':0.06,'4_1':0.0},(134,267):{'3_1':0.03},(134,266):{'3_1':0.06},(134,265):{'3_1':0.12},(134,264):{'3_1':0.03,'4_1':0.0},(134,263):{'3_1':0.03,'4_1':0.0},(134,262):{'3_1':0.03},(134,261):{'3_1':0.09},(134,260):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(134,259):{'3_1':0.09,'5_1':0.0},(134,258):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(134,257):{'3_1':0.12},(134,256):{'3_1':0.15,'5_2':0.0},(134,255):{'3_1':0.06,'4_1':0.0},(134,254):{'3_1':0.06,'4_1':0.0},(134,253):{'3_1':0.03,'4_1':0.0},(134,252):{'3_1':0.06,'4_1':0.0},(134,251):{'3_1':0.03,'4_1':0.0},(134,250):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(134,249):{'3_1':0.03,'4_1':0.0},(134,248):{'3_1':0.09},(134,247):{'3_1':0.06,'4_1':0.0},(134,246):{'3_1':0.03,'4_1':0.0},(134,245):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(134,244):{'3_1':0.06,'4_1':0.0},(134,243):{'3_1':0.03,'5_2':0.0},(134,242):{'3_1':0.03,'5_2':0.0},(134,241):{'3_1':0.06,'4_1':0.0},(134,240):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(134,239):{'3_1':0.03},(134,238):{'3_1':0.06,'4_1':0.0},(134,237):{'3_1':0.03,'4_1':0.0},(134,236):{'3_1':0.0,'4_1':0.0},(134,235):{'4_1':0.0,'3_1':0.0,'6_3':0.0},(134,234):{'3_1':0.03},(134,233):{'3_1':0.0,'4_1':0.0},(134,232):{'3_1':0.0,'4_1':0.0},(134,231):{'3_1':0.03,'5_2':0.0},(134,230):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(134,229):{'3_1':0.03,'5_2':0.0},(134,228):{'3_1':0.0},(134,227):{'3_1':0.03},(134,226):{'3_1':0.06,'4_1':0.0},(134,225):{'3_1':0.03,'4_1':0.0},(134,224):{'3_1':0.0,'5_1':0.0},(134,223):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(134,222):{'3_1':0.03},(134,221):{'3_1':0.03},(134,220):{'3_1':0.0,'6_3':0.0},(134,219):{'3_1':0.03},(134,218):{'3_1':0.0},(134,217):{'3_1':0.0},(134,214):{'3_1':0.0},(134,213):{'3_1':0.0},(134,212):{'3_1':0.0},(134,211):{'3_1':0.0},(134,210):{'3_1':0.0},(134,208):{'3_1':0.0},(134,207):{'3_1':0.0},(134,206):{'3_1':0.0},(134,205):{'3_1':0.03},(134,204):{'3_1':0.0},(134,203):{'3_1':0.0},(134,202):{'3_1':0.0},(134,201):{'3_1':0.0},(134,200):{'3_1':0.0},(134,198):{'3_1':0.0},(134,197):{'3_1':0.0},(134,196):{'3_1':0.0},(134,194):{'3_1':0.03},(134,193):{'3_1':0.0},(134,192):{'3_1':0.0},(134,191):{'3_1':0.0,'4_1':0.0},(134,190):{'3_1':0.0},(134,189):{'3_1':0.03},(134,187):{'3_1':0.03},(134,186):{'3_1':0.0},(134,185):{'3_1':0.0},(134,184):{'4_1':0.0},(134,183):{'4_1':0.0},(134,182):{'3_1':0.0},(134,181):{'3_1':0.0},(134,180):{'3_1':0.0},(134,179):{'3_1':0.0},(134,178):{'3_1':0.0},(134,177):{'3_1':0.0},(134,176):{'3_1':0.0},(134,175):{'3_1':0.0},(134,172):{'3_1':0.0},(134,171):{'3_1':0.0},(134,170):{'3_1':0.03},(134,168):{'3_1':0.0},(134,167):{'3_1':0.0},(134,166):{'3_1':0.0},(134,161):{'3_1':0.0},(134,160):{'3_1':0.0},(134,156):{'3_1':0.0},(135,290):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(135,289):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(135,288):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(135,287):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(135,286):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(135,285):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(135,284):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(135,283):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(135,282):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(135,281):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(135,280):{'3_1':0.06,'5_2':0.03,'6_3':0.0},(135,279):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(135,278):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(135,277):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(135,276):{'3_1':0.12},(135,275):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(135,274):{'3_1':0.06,'5_2':0.0},(135,273):{'3_1':0.03,'5_2':0.0},(135,272):{'3_1':0.06,'4_1':0.0},(135,271):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(135,270):{'3_1':0.06},(135,269):{'3_1':0.03},(135,268):{'3_1':0.09,'4_1':0.0},(135,267):{'3_1':0.09},(135,266):{'3_1':0.09,'4_1':0.0},(135,265):{'3_1':0.06,'4_1':0.0},(135,264):{'3_1':0.06},(135,263):{'3_1':0.06,'4_1':0.0},(135,262):{'3_1':0.06,'4_1':0.0},(135,261):{'3_1':0.06},(135,260):{'3_1':0.06},(135,259):{'3_1':0.12},(135,258):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(135,257):{'3_1':0.09,'4_1':0.0},(135,256):{'3_1':0.09,'4_1':0.0},(135,255):{'3_1':0.12,'4_1':0.0},(135,254):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(135,253):{'3_1':0.06},(135,252):{'3_1':0.06},(135,251):{'3_1':0.0,'5_1':0.0},(135,250):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(135,249):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(135,248):{'3_1':0.09},(135,247):{'3_1':0.09},(135,246):{'3_1':0.12,'5_2':0.0},(135,245):{'3_1':0.06,'4_1':0.0},(135,244):{'3_1':0.06,'4_1':0.0},(135,243):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(135,242):{'3_1':0.03,'5_2':0.0},(135,241):{'3_1':0.09},(135,240):{'3_1':0.0,'5_2':0.0},(135,239):{'3_1':0.0,'4_1':0.0},(135,238):{'3_1':0.0,'4_1':0.0},(135,237):{'3_1':0.03},(135,236):{'3_1':0.0,'4_1':0.0},(135,235):{'3_1':0.0},(135,234):{'3_1':0.0},(135,233):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(135,232):{'3_1':0.03,'4_1':0.0},(135,231):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(135,230):{'4_1':0.0},(135,229):{'3_1':0.06},(135,228):{'3_1':0.06},(135,227):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(135,226):{'3_1':0.0},(135,225):{'3_1':0.09},(135,224):{'3_1':0.06},(135,223):{'3_1':0.03},(135,222):{'3_1':0.03},(135,221):{'3_1':0.06},(135,220):{'3_1':0.0},(135,219):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(135,218):{'3_1':0.0},(135,217):{'3_1':0.03},(135,216):{'3_1':0.0,'4_1':0.0},(135,215):{'3_1':0.0,'4_1':0.0},(135,214):{'3_1':0.0},(135,213):{'3_1':0.0},(135,212):{'3_1':0.0},(135,211):{'3_1':0.0},(135,210):{'4_1':0.0},(135,209):{'3_1':0.0},(135,208):{'3_1':0.0},(135,207):{'3_1':0.0},(135,206):{'3_1':0.03},(135,204):{'3_1':0.0},(135,203):{'3_1':0.03},(135,202):{'3_1':0.0},(135,201):{'3_1':0.0},(135,200):{'3_1':0.0},(135,199):{'3_1':0.0,'5_2':0.0},(135,198):{'3_1':0.0},(135,196):{'3_1':0.0},(135,195):{'3_1':0.03},(135,194):{'3_1':0.03},(135,193):{'3_1':0.0},(135,192):{'3_1':0.03},(135,191):{'3_1':0.0},(135,190):{'4_1':0.0},(135,189):{'3_1':0.0},(135,187):{'3_1':0.0},(135,186):{'3_1':0.0},(135,185):{'3_1':0.0},(135,184):{'3_1':0.0},(135,183):{'3_1':0.0},(135,182):{'3_1':0.0},(135,181):{'3_1':0.0},(135,179):{'3_1':0.0},(135,174):{'3_1':0.0},(135,173):{'3_1':0.0},(135,171):{'3_1':0.0},(135,170):{'3_1':0.0},(135,169):{'3_1':0.03},(135,168):{'3_1':0.0},(135,163):{'3_1':0.0},(135,161):{'3_1':0.0},(136,290):{'3_1':0.06},(136,289):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(136,288):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(136,287):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(136,286):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(136,285):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(136,284):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(136,283):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'6_3':0.0},(136,282):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(136,281):{'3_1':0.15,'5_2':0.0},(136,280):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(136,279):{'3_1':0.12,'6_3':0.0,'5_2':0.0,'4_1':0.0},(136,278):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(136,277):{'3_1':0.09,'6_3':0.03,'5_2':0.0,'4_1':0.0},(136,276):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(136,275):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'6_3':0.0,'5_1':0.0},(136,274):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(136,273):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(136,272):{'3_1':0.12,'4_1':0.0},(136,271):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(136,270):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(136,269):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(136,268):{'3_1':0.06,'4_1':0.0},(136,267):{'3_1':0.06,'4_1':0.0},(136,266):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(136,265):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(136,264):{'3_1':0.03,'6_3':0.0},(136,263):{'3_1':0.06},(136,262):{'3_1':0.09},(136,261):{'3_1':0.12,'4_1':0.0},(136,260):{'3_1':0.12},(136,259):{'3_1':0.15},(136,258):{'3_1':0.09,'4_1':0.0},(136,257):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(136,256):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(136,255):{'3_1':0.12,'5_2':0.0},(136,254):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(136,253):{'3_1':0.06,'4_1':0.0},(136,252):{'3_1':0.06,'4_1':0.0},(136,251):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(136,250):{'3_1':0.09},(136,249):{'3_1':0.09,'6_3':0.0},(136,248):{'3_1':0.09,'4_1':0.0},(136,247):{'3_1':0.06,'4_1':0.0},(136,246):{'3_1':0.09},(136,245):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(136,244):{'3_1':0.09,'5_1':0.0,'6_3':0.0,'7_4':0.0},(136,243):{'3_1':0.03},(136,242):{'3_1':0.09,'6_3':0.0},(136,241):{'3_1':0.09},(136,240):{'3_1':0.03,'4_1':0.0},(136,239):{'3_1':0.03},(136,238):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(136,237):{'3_1':0.0},(136,236):{'3_1':0.0},(136,235):{'3_1':0.0},(136,234):{'3_1':0.0},(136,233):{'3_1':0.03},(136,232):{'3_1':0.03},(136,231):{'3_1':0.0,'4_1':0.0},(136,230):{'3_1':0.03,'5_1':0.0},(136,229):{'3_1':0.06,'5_1':0.0},(136,228):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(136,227):{'3_1':0.06,'5_1':0.0},(136,226):{'3_1':0.0,'4_1':0.0},(136,225):{'3_1':0.03,'5_1':0.0},(136,224):{'3_1':0.0,'4_1':0.0},(136,223):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(136,222):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(136,221):{'3_1':0.03},(136,220):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(136,219):{'3_1':0.0},(136,218):{'3_1':0.0},(136,216):{'4_1':0.0},(136,215):{'3_1':0.0},(136,213):{'3_1':0.0,'4_1':0.0},(136,212):{'3_1':0.0},(136,211):{'3_1':0.0},(136,209):{'3_1':0.0},(136,208):{'3_1':0.0},(136,207):{'3_1':0.0,'4_1':0.0},(136,206):{'3_1':0.0,'5_1':0.0},(136,205):{'3_1':0.0},(136,204):{'5_1':0.0},(136,203):{'3_1':0.0},(136,202):{'3_1':0.0},(136,200):{'3_1':0.0},(136,199):{'3_1':0.0},(136,198):{'3_1':0.0},(136,197):{'3_1':0.0},(136,196):{'3_1':0.0},(136,195):{'3_1':0.0},(136,194):{'3_1':0.03},(136,192):{'3_1':0.0},(136,191):{'3_1':0.0},(136,190):{'3_1':0.03},(136,188):{'3_1':0.0},(136,187):{'3_1':0.0},(136,186):{'3_1':0.0},(136,185):{'3_1':0.03},(136,184):{'3_1':0.0},(136,183):{'3_1':0.0},(136,180):{'3_1':0.03},(136,179):{'3_1':0.0},(136,178):{'3_1':0.03},(136,177):{'3_1':0.0},(136,176):{'3_1':0.0},(136,175):{'3_1':0.0},(136,174):{'3_1':0.0},(136,172):{'3_1':0.0},(136,171):{'3_1':0.0},(136,170):{'3_1':0.0},(136,167):{'3_1':0.0},(136,166):{'3_1':0.0},(136,165):{'3_1':0.0},(136,164):{'3_1':0.0},(137,290):{'3_1':0.12,'4_1':0.0},(137,289):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'6_3':0.0},(137,288):{'3_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0},(137,287):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(137,286):{'3_1':0.12,'4_1':0.0},(137,285):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(137,284):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(137,283):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(137,282):{'3_1':0.15,'4_1':0.0},(137,281):{'3_1':0.12,'4_1':0.0},(137,280):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0},(137,279):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(137,278):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(137,277):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_3':0.0},(137,276):{'3_1':0.12,'4_1':0.0},(137,275):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(137,274):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(137,273):{'3_1':0.12},(137,272):{'3_1':0.12},(137,271):{'3_1':0.09},(137,270):{'3_1':0.09,'5_2':0.0},(137,269):{'3_1':0.12,'4_1':0.0},(137,268):{'3_1':0.09,'4_1':0.0},(137,267):{'3_1':0.03},(137,266):{'3_1':0.12,'4_1':0.0},(137,265):{'3_1':0.09,'4_1':0.0},(137,264):{'3_1':0.12},(137,263):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(137,262):{'3_1':0.09},(137,261):{'3_1':0.15,'5_1':0.0},(137,260):{'3_1':0.09},(137,259):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(137,258):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(137,257):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(137,256):{'3_1':0.09,'5_2':0.0},(137,255):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(137,254):{'3_1':0.12,'4_1':0.0},(137,253):{'3_1':0.12,'4_1':0.0},(137,252):{'3_1':0.03},(137,251):{'3_1':0.09},(137,250):{'3_1':0.03,'5_1':0.0},(137,249):{'3_1':0.09,'5_1':0.0},(137,248):{'3_1':0.12},(137,247):{'3_1':0.09,'4_1':0.0},(137,246):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(137,245):{'3_1':0.12,'4_1':0.0},(137,244):{'3_1':0.12,'4_1':0.0},(137,243):{'3_1':0.06},(137,242):{'3_1':0.09},(137,241):{'3_1':0.09,'4_1':0.0},(137,240):{'3_1':0.0},(137,239):{'3_1':0.0},(137,238):{'3_1':0.0,'4_1':0.0},(137,237):{'3_1':0.0,'4_1':0.0},(137,236):{'3_1':0.0},(137,235):{'3_1':0.0},(137,234):{'3_1':0.03,'4_1':0.0},(137,233):{'3_1':0.03,'4_1':0.0},(137,232):{'3_1':0.0,'4_1':0.0},(137,231):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(137,230):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(137,229):{'3_1':0.0,'4_1':0.0},(137,228):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(137,227):{'3_1':0.0},(137,226):{'3_1':0.0,'4_1':0.0},(137,225):{'3_1':0.06,'4_1':0.0},(137,224):{'3_1':0.03,'4_1':0.0},(137,223):{'3_1':0.03},(137,222):{'3_1':0.0,'4_1':0.0},(137,221):{'3_1':0.03,'4_1':0.0},(137,220):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(137,219):{'3_1':0.0,'6_3':0.0},(137,218):{'3_1':0.0,'5_2':0.0},(137,217):{'3_1':0.0,'4_1':0.0},(137,216):{'4_1':0.0},(137,215):{'4_1':0.0},(137,213):{'3_1':0.0},(137,212):{'3_1':0.0},(137,211):{'3_1':0.0},(137,210):{'3_1':0.0},(137,209):{'3_1':0.0},(137,208):{'3_1':0.0},(137,207):{'3_1':0.03},(137,206):{'3_1':0.03},(137,205):{'3_1':0.0},(137,204):{'3_1':0.0},(137,202):{'3_1':0.03},(137,199):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(137,198):{'3_1':0.0},(137,197):{'3_1':0.0},(137,196):{'3_1':0.0},(137,195):{'3_1':0.0},(137,194):{'3_1':0.0},(137,193):{'3_1':0.0},(137,192):{'3_1':0.0},(137,191):{'3_1':0.0},(137,190):{'3_1':0.0},(137,189):{'3_1':0.0},(137,188):{'3_1':0.0},(137,187):{'3_1':0.0},(137,186):{'3_1':0.0},(137,184):{'3_1':0.0},(137,183):{'3_1':0.0},(137,181):{'3_1':0.0},(137,180):{'3_1':0.0},(137,178):{'3_1':0.0},(137,175):{'3_1':0.0},(137,170):{'3_1':0.0},(137,169):{'3_1':0.0},(137,162):{'3_1':0.0},(137,161):{'3_1':0.0},(138,290):{'3_1':0.12,'4_1':0.0},(138,289):{'3_1':0.15,'4_1':0.0},(138,288):{'3_1':0.12,'4_1':0.0},(138,287):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(138,286):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(138,285):{'3_1':0.09,'4_1':0.0},(138,284):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(138,283):{'3_1':0.15,'6_1':0.0},(138,282):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(138,281):{'3_1':0.12,'5_2':0.0,'7_5':0.0,'7_6':0.0},(138,280):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0},(138,279):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(138,278):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(138,277):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(138,276):{'3_1':0.09,'5_2':0.03},(138,275):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(138,274):{'3_1':0.21,'5_2':0.0},(138,273):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(138,272):{'3_1':0.09,'4_1':0.0},(138,271):{'3_1':0.06,'4_1':0.0},(138,270):{'3_1':0.09},(138,269):{'3_1':0.12},(138,268):{'3_1':0.12,'4_1':0.0},(138,267):{'3_1':0.09,'5_2':0.0},(138,266):{'3_1':0.06,'5_2':0.0},(138,265):{'3_1':0.15,'5_2':0.0},(138,264):{'3_1':0.06},(138,263):{'3_1':0.09},(138,262):{'3_1':0.12,'4_1':0.0},(138,261):{'3_1':0.09},(138,260):{'3_1':0.15},(138,259):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(138,258):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(138,257):{'3_1':0.18,'6_2':0.0},(138,256):{'3_1':0.12,'4_1':0.0},(138,255):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(138,254):{'3_1':0.21,'6_2':0.0},(138,253):{'3_1':0.15,'4_1':0.0},(138,252):{'3_1':0.15},(138,251):{'3_1':0.12},(138,250):{'3_1':0.15,'4_1':0.0},(138,249):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(138,248):{'3_1':0.09,'5_2':0.0,'7_3':0.0},(138,247):{'3_1':0.09,'5_1':0.0},(138,246):{'3_1':0.18},(138,245):{'3_1':0.15,'5_1':0.0},(138,244):{'3_1':0.09,'4_1':0.0},(138,243):{'3_1':0.06,'4_1':0.0},(138,242):{'3_1':0.09,'5_2':0.0},(138,241):{'3_1':0.06,'5_2':0.0},(138,240):{'3_1':0.03},(138,239):{'3_1':0.03,'4_1':0.0},(138,238):{'3_1':0.0,'4_1':0.0},(138,237):{'3_1':0.0},(138,236):{'3_1':0.0},(138,235):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(138,234):{'3_1':0.03,'5_1':0.0},(138,233):{'3_1':0.03},(138,232):{'3_1':0.0,'4_1':0.0},(138,231):{'3_1':0.03,'4_1':0.0},(138,230):{'3_1':0.0,'4_1':0.0},(138,229):{'3_1':0.0,'4_1':0.0},(138,228):{'3_1':0.03},(138,227):{'3_1':0.03,'4_1':0.0},(138,226):{'3_1':0.0,'4_1':0.0},(138,225):{'3_1':0.0},(138,224):{'3_1':0.06},(138,223):{'3_1':0.03,'4_1':0.03},(138,222):{'3_1':0.03,'4_1':0.0},(138,221):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(138,220):{'4_1':0.03,'3_1':0.0},(138,219):{'3_1':0.0,'4_1':0.0},(138,218):{'3_1':0.0,'4_1':0.0},(138,217):{'3_1':0.0},(138,216):{'3_1':0.0},(138,215):{'3_1':0.0},(138,214):{'4_1':0.0},(138,213):{'3_1':0.03},(138,212):{'3_1':0.0},(138,211):{'3_1':0.03,'5_1':0.0},(138,209):{'3_1':0.0},(138,208):{'3_1':0.0},(138,207):{'3_1':0.03},(138,206):{'3_1':0.0},(138,205):{'3_1':0.0},(138,204):{'3_1':0.0},(138,203):{'3_1':0.03},(138,202):{'3_1':0.0},(138,201):{'3_1':0.0},(138,199):{'3_1':0.0},(138,198):{'3_1':0.0},(138,197):{'3_1':0.0},(138,196):{'3_1':0.0},(138,194):{'3_1':0.0},(138,193):{'3_1':0.0,'4_1':0.0},(138,192):{'3_1':0.0},(138,188):{'3_1':0.0},(138,187):{'3_1':0.0},(138,186):{'3_1':0.0},(138,185):{'3_1':0.0},(138,184):{'3_1':0.0},(138,183):{'3_1':0.0},(138,181):{'3_1':0.0},(138,178):{'3_1':0.0},(138,177):{'3_1':0.0},(138,175):{'3_1':0.0},(138,174):{'3_1':0.0},(138,170):{'3_1':0.0},(138,168):{'3_1':0.0},(138,166):{'3_1':0.0},(138,163):{'3_1':0.0},(139,290):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(139,289):{'3_1':0.15,'4_1':0.0},(139,288):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(139,287):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(139,286):{'3_1':0.06,'5_2':0.0},(139,285):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(139,284):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(139,283):{'3_1':0.18,'5_2':0.0,'6_3':0.0},(139,282):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(139,281):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(139,280):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(139,279):{'3_1':0.15,'4_1':0.0},(139,278):{'3_1':0.12,'4_1':0.0,'7_5':0.0},(139,277):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(139,276):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(139,275):{'3_1':0.15,'5_2':0.0},(139,274):{'3_1':0.15,'4_1':0.0},(139,273):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(139,272):{'3_1':0.12},(139,271):{'3_1':0.12,'4_1':0.0},(139,270):{'3_1':0.09},(139,269):{'3_1':0.09,'4_1':0.0},(139,268):{'3_1':0.09,'5_2':0.0},(139,267):{'3_1':0.15},(139,266):{'3_1':0.12,'4_1':0.0},(139,265):{'3_1':0.12},(139,264):{'3_1':0.09},(139,263):{'3_1':0.18,'4_1':0.0},(139,262):{'3_1':0.12},(139,261):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(139,260):{'3_1':0.15,'5_2':0.0},(139,259):{'3_1':0.21,'5_1':0.0,'6_2':0.0},(139,258):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(139,257):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(139,256):{'3_1':0.09},(139,255):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(139,254):{'3_1':0.09,'4_1':0.0},(139,253):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(139,252):{'3_1':0.09},(139,251):{'3_1':0.15},(139,250):{'3_1':0.15,'4_1':0.0},(139,249):{'3_1':0.15,'4_1':0.0},(139,248):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(139,247):{'3_1':0.15},(139,246):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(139,245):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(139,244):{'3_1':0.09,'5_2':0.0},(139,243):{'3_1':0.15},(139,242):{'3_1':0.09,'7_3':0.0},(139,241):{'3_1':0.03,'5_2':0.0},(139,240):{'3_1':0.06},(139,239):{'3_1':0.03},(139,238):{'3_1':0.03},(139,237):{'3_1':0.0,'4_1':0.0},(139,236):{'3_1':0.0,'4_1':0.0},(139,235):{'3_1':0.0},(139,234):{'3_1':0.03},(139,233):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(139,232):{'3_1':0.03,'4_1':0.0},(139,231):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(139,230):{'3_1':0.06},(139,229):{'3_1':0.06,'4_1':0.0},(139,228):{'3_1':0.03,'4_1':0.0},(139,227):{'3_1':0.03,'5_1':0.0},(139,226):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(139,225):{'3_1':0.06,'4_1':0.0},(139,224):{'3_1':0.03,'5_2':0.0},(139,223):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(139,222):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0},(139,221):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(139,220):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(139,219):{'3_1':0.0,'4_1':0.0},(139,217):{'3_1':0.0,'4_1':0.0},(139,216):{'3_1':0.0},(139,215):{'3_1':0.0,'4_1':0.0},(139,214):{'3_1':0.0},(139,213):{'3_1':0.03},(139,212):{'3_1':0.03},(139,211):{'3_1':0.03,'4_1':0.0},(139,210):{'3_1':0.0},(139,209):{'3_1':0.03,'5_1':0.0},(139,208):{'3_1':0.03},(139,206):{'3_1':0.06},(139,205):{'3_1':0.0},(139,204):{'3_1':0.0},(139,203):{'3_1':0.0},(139,202):{'3_1':0.03},(139,201):{'3_1':0.0},(139,200):{'3_1':0.03},(139,199):{'3_1':0.0},(139,198):{'3_1':0.0},(139,197):{'3_1':0.0},(139,196):{'3_1':0.0},(139,195):{'3_1':0.0},(139,194):{'3_1':0.0},(139,193):{'3_1':0.0},(139,191):{'3_1':0.0},(139,190):{'3_1':0.03},(139,189):{'3_1':0.0},(139,188):{'3_1':0.0},(139,185):{'3_1':0.0},(139,184):{'3_1':0.0},(139,183):{'3_1':0.03},(139,181):{'3_1':0.0},(139,180):{'3_1':0.0},(139,179):{'3_1':0.0},(139,178):{'3_1':0.0},(139,177):{'3_1':0.0},(139,176):{'3_1':0.0},(139,173):{'3_1':0.0},(139,172):{'3_1':0.0},(139,171):{'3_1':0.0},(139,170):{'3_1':0.0},(139,168):{'3_1':0.0},(139,163):{'3_1':0.0},(139,162):{'3_1':0.0},(140,290):{'3_1':0.21,'4_1':0.0},(140,289):{'3_1':0.21,'4_1':0.0},(140,288):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(140,287):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(140,286):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(140,285):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(140,284):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(140,283):{'3_1':0.18,'4_1':0.0},(140,282):{'3_1':0.21,'4_1':0.0},(140,281):{'3_1':0.21,'4_1':0.0},(140,280):{'3_1':0.09,'4_1':0.0,'-3':0.0},(140,279):{'3_1':0.15,'4_1':0.0},(140,278):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(140,277):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(140,276):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(140,275):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(140,274):{'3_1':0.21,'4_1':0.0},(140,273):{'3_1':0.21,'5_2':0.0},(140,272):{'3_1':0.18},(140,271):{'3_1':0.12,'6_3':0.0},(140,270):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(140,269):{'3_1':0.15,'4_1':0.0},(140,268):{'3_1':0.18,'5_2':0.0},(140,267):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(140,266):{'3_1':0.09,'5_2':0.0},(140,265):{'3_1':0.09},(140,264):{'3_1':0.15},(140,263):{'3_1':0.09,'4_1':0.0},(140,262):{'3_1':0.15,'4_1':0.0},(140,261):{'3_1':0.18},(140,260):{'3_1':0.15,'4_1':0.0},(140,259):{'3_1':0.15},(140,258):{'3_1':0.24,'5_2':0.0,'8_20|3_1#3_1':0.0},(140,257):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(140,256):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(140,255):{'3_1':0.12,'4_1':0.0},(140,254):{'3_1':0.09,'4_1':0.0},(140,253):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(140,252):{'3_1':0.15,'4_1':0.0},(140,251):{'3_1':0.09,'5_2':0.0},(140,250):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(140,249):{'3_1':0.18},(140,248):{'3_1':0.09},(140,247):{'3_1':0.12},(140,246):{'3_1':0.06},(140,245):{'3_1':0.09},(140,244):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(140,243):{'3_1':0.12},(140,242):{'3_1':0.09,'5_2':0.0},(140,241):{'3_1':0.09},(140,240):{'3_1':0.06},(140,239):{'3_1':0.03},(140,238):{'3_1':0.09,'4_1':0.0},(140,237):{'3_1':0.0,'4_1':0.0},(140,236):{'3_1':0.0},(140,235):{'3_1':0.0,'4_1':0.0},(140,234):{'3_1':0.0,'4_1':0.0},(140,233):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(140,232):{'3_1':0.0,'4_1':0.0},(140,231):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(140,230):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(140,229):{'3_1':0.0},(140,228):{'3_1':0.0},(140,227):{'3_1':0.0,'4_1':0.0},(140,226):{'3_1':0.0,'4_1':0.0},(140,225):{'3_1':0.0,'4_1':0.0},(140,224):{'3_1':0.03},(140,223):{'3_1':0.03,'4_1':0.0},(140,222):{'3_1':0.0,'4_1':0.0},(140,221):{'3_1':0.03,'4_1':0.0},(140,220):{'4_1':0.0},(140,219):{'3_1':0.0,'5_2':0.0},(140,218):{'3_1':0.0,'4_1':0.0},(140,217):{'3_1':0.0},(140,216):{'3_1':0.03},(140,215):{'3_1':0.03},(140,214):{'3_1':0.0},(140,213):{'3_1':0.03},(140,212):{'3_1':0.03},(140,211):{'3_1':0.0},(140,210):{'3_1':0.0},(140,208):{'3_1':0.03},(140,207):{'3_1':0.06},(140,206):{'3_1':0.0},(140,205):{'3_1':0.0},(140,204):{'3_1':0.0},(140,203):{'3_1':0.0},(140,202):{'3_1':0.0},(140,201):{'3_1':0.0},(140,200):{'3_1':0.0},(140,199):{'3_1':0.0},(140,198):{'3_1':0.0},(140,197):{'3_1':0.0},(140,196):{'3_1':0.0},(140,195):{'3_1':0.0},(140,194):{'3_1':0.03},(140,193):{'3_1':0.0},(140,192):{'3_1':0.0},(140,191):{'3_1':0.0},(140,190):{'3_1':0.0},(140,188):{'3_1':0.0},(140,186):{'3_1':0.0},(140,185):{'3_1':0.0},(140,183):{'3_1':0.0},(140,182):{'3_1':0.0},(140,181):{'3_1':0.0},(140,180):{'3_1':0.0},(140,179):{'3_1':0.0},(140,178):{'3_1':0.0},(140,177):{'3_1':0.0},(140,176):{'3_1':0.0},(140,175):{'3_1':0.0},(140,169):{'3_1':0.0},(140,167):{'3_1':0.0},(140,166):{'3_1':0.0},(140,163):{'3_1':0.0},(140,162):{'3_1':0.0},(141,290):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(141,289):{'3_1':0.15,'5_1':0.0},(141,288):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(141,287):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(141,286):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(141,285):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(141,284):{'3_1':0.09,'5_2':0.0},(141,283):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(141,282):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(141,281):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(141,280):{'3_1':0.15,'5_2':0.03},(141,279):{'3_1':0.21,'5_2':0.0,'6_2':0.0,'6_3':0.0},(141,278):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0},(141,277):{'3_1':0.09,'6_3':0.0,'5_2':0.0},(141,276):{'3_1':0.15,'5_2':0.0},(141,275):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(141,274):{'3_1':0.12,'4_1':0.0},(141,273):{'3_1':0.09},(141,272):{'3_1':0.12,'6_2':0.0},(141,271):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(141,270):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(141,269):{'3_1':0.18,'4_1':0.0},(141,268):{'3_1':0.06,'5_2':0.0},(141,267):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(141,266):{'3_1':0.09},(141,265):{'3_1':0.15},(141,264):{'3_1':0.09},(141,263):{'3_1':0.06,'5_1':0.0},(141,262):{'3_1':0.12},(141,261):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(141,260):{'3_1':0.15},(141,259):{'3_1':0.18,'5_2':0.0},(141,258):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(141,257):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(141,256):{'3_1':0.21,'5_2':0.0},(141,255):{'3_1':0.09,'4_1':0.0},(141,254):{'3_1':0.12,'4_1':0.0},(141,253):{'3_1':0.09,'5_2':0.0},(141,252):{'3_1':0.15},(141,251):{'3_1':0.12,'5_2':0.0},(141,250):{'3_1':0.06,'4_1':0.0},(141,249):{'3_1':0.06,'5_2':0.0},(141,248):{'3_1':0.12},(141,247):{'3_1':0.06},(141,246):{'3_1':0.09},(141,245):{'3_1':0.12},(141,244):{'3_1':0.12},(141,243):{'3_1':0.12,'5_2':0.0},(141,242):{'3_1':0.09,'5_2':0.0},(141,241):{'3_1':0.03},(141,240):{'3_1':0.0},(141,239):{'3_1':0.09,'5_1':0.0},(141,238):{'3_1':0.0},(141,237):{'3_1':0.06},(141,236):{'3_1':0.0},(141,235):{'3_1':0.0,'5_1':0.0},(141,234):{'3_1':0.03,'4_1':0.0},(141,233):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(141,232):{'4_1':0.0},(141,231):{'3_1':0.03,'4_1':0.0},(141,230):{'3_1':0.0,'5_1':0.0},(141,229):{'3_1':0.06,'4_1':0.0},(141,228):{'3_1':0.03,'4_1':0.0},(141,227):{'3_1':0.06,'4_1':0.0},(141,226):{'3_1':0.06},(141,225):{'3_1':0.03},(141,224):{'3_1':0.03,'4_1':0.0},(141,223):{'3_1':0.09,'4_1':0.0},(141,222):{'3_1':0.03,'4_1':0.0},(141,221):{'3_1':0.03,'4_1':0.03},(141,220):{'3_1':0.03,'4_1':0.0},(141,219):{'3_1':0.03,'4_1':0.0},(141,218):{'3_1':0.0},(141,216):{'3_1':0.0},(141,215):{'3_1':0.0},(141,214):{'3_1':0.0},(141,213):{'3_1':0.0},(141,212):{'3_1':0.0},(141,211):{'3_1':0.0},(141,210):{'3_1':0.0,'4_1':0.0},(141,209):{'3_1':0.06},(141,208):{'3_1':0.03},(141,207):{'3_1':0.03},(141,206):{'3_1':0.0},(141,205):{'3_1':0.0},(141,204):{'3_1':0.03},(141,203):{'3_1':0.0},(141,202):{'3_1':0.0},(141,201):{'3_1':0.0},(141,200):{'3_1':0.0},(141,199):{'3_1':0.03},(141,198):{'3_1':0.0},(141,197):{'3_1':0.0},(141,196):{'3_1':0.0},(141,195):{'3_1':0.0},(141,194):{'3_1':0.0},(141,192):{'3_1':0.03},(141,190):{'4_1':0.0},(141,189):{'3_1':0.0},(141,188):{'3_1':0.0},(141,186):{'3_1':0.0},(141,184):{'3_1':0.0},(141,180):{'3_1':0.0},(141,178):{'3_1':0.0},(141,177):{'3_1':0.0},(141,167):{'3_1':0.0},(141,166):{'3_1':0.0},(141,165):{'3_1':0.0},(141,161):{'3_1':0.0},(142,290):{'3_1':0.18},(142,289):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0},(142,288):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(142,287):{'3_1':0.12},(142,286):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(142,285):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0},(142,284):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(142,283):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(142,282):{'3_1':0.21,'4_1':0.0},(142,281):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(142,280):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(142,279):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(142,278):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(142,277):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(142,276):{'3_1':0.21,'-3':0.0},(142,275):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(142,274):{'3_1':0.24,'4_1':0.0},(142,273):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(142,272):{'3_1':0.12,'5_2':0.0},(142,271):{'3_1':0.09,'4_1':0.0},(142,270):{'3_1':0.06},(142,269):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(142,268):{'3_1':0.21},(142,267):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(142,266):{'3_1':0.09},(142,265):{'3_1':0.21,'4_1':0.0},(142,264):{'3_1':0.09},(142,263):{'3_1':0.15},(142,262):{'3_1':0.09},(142,261):{'3_1':0.12},(142,260):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(142,259):{'3_1':0.21},(142,258):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(142,257):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(142,256):{'3_1':0.18},(142,255):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(142,254):{'3_1':0.09,'5_2':0.0},(142,253):{'3_1':0.09},(142,252):{'3_1':0.12},(142,251):{'3_1':0.12,'5_2':0.0},(142,250):{'3_1':0.06,'5_2':0.0},(142,249):{'3_1':0.09,'5_2':0.0},(142,248):{'3_1':0.03,'5_2':0.0},(142,247):{'3_1':0.06,'4_1':0.0},(142,246):{'3_1':0.06},(142,245):{'3_1':0.12},(142,244):{'3_1':0.09,'5_2':0.0},(142,243):{'3_1':0.15},(142,242):{'3_1':0.09},(142,241):{'3_1':0.03,'7_3':0.0},(142,240):{'3_1':0.03},(142,239):{'3_1':0.03,'5_2':0.0},(142,238):{'3_1':0.06},(142,237):{'3_1':0.03},(142,236):{'3_1':0.06},(142,235):{'3_1':0.0},(142,234):{'4_1':0.0,'3_1':0.0},(142,233):{'3_1':0.03},(142,232):{'3_1':0.03},(142,231):{'3_1':0.03},(142,230):{'3_1':0.03,'4_1':0.0},(142,229):{'3_1':0.03,'4_1':0.0},(142,228):{'3_1':0.03,'4_1':0.0},(142,227):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(142,226):{'3_1':0.06,'4_1':0.0},(142,225):{'3_1':0.03},(142,224):{'3_1':0.09},(142,223):{'3_1':0.03},(142,222):{'3_1':0.06,'4_1':0.0},(142,221):{'3_1':0.06,'4_1':0.0},(142,220):{'3_1':0.0},(142,219):{'3_1':0.03},(142,218):{'3_1':0.06},(142,217):{'3_1':0.0,'4_1':0.0},(142,216):{'3_1':0.0},(142,215):{'3_1':0.0},(142,214):{'3_1':0.0},(142,213):{'3_1':0.0},(142,212):{'3_1':0.0},(142,211):{'3_1':0.0,'4_1':0.0},(142,210):{'3_1':0.03},(142,209):{'3_1':0.03},(142,208):{'3_1':0.03},(142,207):{'3_1':0.03},(142,206):{'3_1':0.06},(142,205):{'3_1':0.0},(142,204):{'3_1':0.0},(142,203):{'3_1':0.0},(142,202):{'3_1':0.0},(142,201):{'3_1':0.0},(142,200):{'3_1':0.0,'4_1':0.0},(142,199):{'3_1':0.0},(142,198):{'3_1':0.0},(142,197):{'3_1':0.0},(142,196):{'3_1':0.0},(142,195):{'5_2':0.0},(142,192):{'3_1':0.0},(142,190):{'3_1':0.0},(142,189):{'3_1':0.0},(142,188):{'3_1':0.0},(142,187):{'3_1':0.0},(142,186):{'3_1':0.0},(142,184):{'3_1':0.0},(142,182):{'3_1':0.0},(142,181):{'3_1':0.0},(142,180):{'3_1':0.03},(142,179):{'3_1':0.0},(142,178):{'3_1':0.0},(142,176):{'3_1':0.0},(142,169):{'3_1':0.0},(142,168):{'3_1':0.0},(142,166):{'3_1':0.0},(142,165):{'3_1':0.0},(142,164):{'3_1':0.0},(142,163):{'3_1':0.0},(142,162):{'3_1':0.0},(142,161):{'3_1':0.0},(143,290):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(143,289):{'3_1':0.18,'5_1':0.0},(143,288):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(143,287):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(143,286):{'3_1':0.12,'4_1':0.0},(143,285):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(143,284):{'3_1':0.09,'4_1':0.0},(143,283):{'3_1':0.12,'4_1':0.0},(143,282):{'3_1':0.09,'4_1':0.0,'-3':0.0},(143,281):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(143,280):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(143,279):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(143,278):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0},(143,277):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(143,276):{'3_1':0.12,'5_2':0.0},(143,275):{'3_1':0.09,'4_1':0.0},(143,274):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(143,273):{'3_1':0.15},(143,272):{'3_1':0.12,'4_1':0.0},(143,271):{'3_1':0.12},(143,270):{'3_1':0.06,'5_2':0.0},(143,269):{'3_1':0.15},(143,268):{'3_1':0.15},(143,267):{'3_1':0.09,'4_1':0.0},(143,266):{'3_1':0.15,'5_2':0.0},(143,265):{'3_1':0.09},(143,264):{'3_1':0.12},(143,263):{'3_1':0.15},(143,262):{'3_1':0.09},(143,261):{'3_1':0.09,'5_2':0.0},(143,260):{'3_1':0.18},(143,259):{'3_1':0.18,'5_2':0.0},(143,258):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(143,257):{'3_1':0.21,'5_2':0.0},(143,256):{'3_1':0.12,'4_1':0.0},(143,255):{'3_1':0.15},(143,254):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(143,253):{'3_1':0.09},(143,252):{'3_1':0.09,'4_1':0.0},(143,251):{'3_1':0.12},(143,250):{'3_1':0.09},(143,249):{'3_1':0.12,'4_1':0.0},(143,248):{'3_1':0.09},(143,247):{'3_1':0.06},(143,246):{'3_1':0.06},(143,245):{'3_1':0.06},(143,244):{'3_1':0.09},(143,243):{'3_1':0.03},(143,242):{'3_1':0.06,'5_2':0.0},(143,241):{'3_1':0.06},(143,240):{'3_1':0.09},(143,239):{'3_1':0.0},(143,238):{'3_1':0.06,'4_1':0.0},(143,237):{'3_1':0.03,'4_1':0.0},(143,236):{'3_1':0.03,'4_1':0.0},(143,235):{'3_1':0.03},(143,234):{'3_1':0.03},(143,233):{'3_1':0.06},(143,232):{'3_1':0.03},(143,231):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(143,230):{'3_1':0.06},(143,229):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(143,228):{'3_1':0.03,'4_1':0.0},(143,227):{'3_1':0.0},(143,226):{'3_1':0.0,'4_1':0.0},(143,225):{'3_1':0.03},(143,224):{'3_1':0.12},(143,223):{'3_1':0.06,'4_1':0.0},(143,222):{'3_1':0.06},(143,221):{'3_1':0.06},(143,220):{'3_1':0.03,'4_1':0.0},(143,219):{'3_1':0.03,'4_1':0.0},(143,218):{'3_1':0.0,'4_1':0.0},(143,217):{'3_1':0.0},(143,216):{'3_1':0.0},(143,214):{'3_1':0.0},(143,213):{'3_1':0.0},(143,212):{'3_1':0.03},(143,211):{'3_1':0.0},(143,210):{'3_1':0.0},(143,209):{'3_1':0.0},(143,208):{'3_1':0.03},(143,207):{'3_1':0.03},(143,206):{'3_1':0.0},(143,205):{'3_1':0.0},(143,204):{'3_1':0.0},(143,203):{'3_1':0.03},(143,202):{'3_1':0.0},(143,201):{'3_1':0.0},(143,199):{'3_1':0.0},(143,197):{'3_1':0.0},(143,196):{'3_1':0.0},(143,195):{'3_1':0.0},(143,194):{'3_1':0.0},(143,192):{'3_1':0.0},(143,190):{'3_1':0.0},(143,189):{'3_1':0.0},(143,185):{'3_1':0.0},(143,184):{'3_1':0.0},(143,183):{'3_1':0.0},(143,182):{'3_1':0.0},(143,181):{'3_1':0.0},(143,180):{'3_1':0.0},(143,179):{'3_1':0.0},(143,178):{'3_1':0.0},(143,169):{'3_1':0.0},(143,168):{'3_1':0.0},(143,166):{'3_1':0.0},(143,165):{'3_1':0.0},(143,164):{'3_1':0.0},(143,163):{'3_1':0.0},(143,162):{'3_1':0.0},(143,161):{'3_1':0.0},(143,159):{'3_1':0.0},(143,157):{'3_1':0.0},(143,156):{'3_1':0.0},(144,290):{'3_1':0.09,'8_20|3_1#3_1':0.0},(144,289):{'3_1':0.18,'5_1':0.0},(144,288):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(144,287):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(144,286):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(144,285):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(144,284):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(144,283):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(144,282):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(144,281):{'3_1':0.12,'6_3':0.0},(144,280):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(144,279):{'3_1':0.12,'4_1':0.0,'7_6':0.0},(144,278):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(144,277):{'3_1':0.06,'6_3':0.0,'4_1':0.0},(144,276):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(144,275):{'3_1':0.06,'4_1':0.0},(144,274):{'3_1':0.09,'5_2':0.0},(144,273):{'3_1':0.09,'5_2':0.0},(144,272):{'3_1':0.12,'4_1':0.0},(144,271):{'3_1':0.03,'4_1':0.03},(144,270):{'3_1':0.06},(144,269):{'3_1':0.15,'4_1':0.0},(144,268):{'3_1':0.09},(144,267):{'3_1':0.09,'4_1':0.0},(144,266):{'3_1':0.03},(144,265):{'3_1':0.09},(144,264):{'3_1':0.12},(144,263):{'3_1':0.06},(144,262):{'3_1':0.06,'4_1':0.0},(144,261):{'3_1':0.12},(144,260):{'3_1':0.06},(144,259):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(144,258):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(144,257):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(144,256):{'3_1':0.15,'6_2':0.0},(144,255):{'3_1':0.12},(144,254):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(144,253):{'3_1':0.09,'4_1':0.0},(144,252):{'3_1':0.03},(144,251):{'3_1':0.09,'5_2':0.0},(144,250):{'3_1':0.12},(144,249):{'3_1':0.03,'4_1':0.0},(144,248):{'3_1':0.09,'5_2':0.0},(144,247):{'3_1':0.06,'4_1':0.0},(144,246):{'3_1':0.09},(144,245):{'3_1':0.12},(144,244):{'3_1':0.09,'4_1':0.0},(144,243):{'3_1':0.06,'5_2':0.0},(144,242):{'3_1':0.09},(144,241):{'3_1':0.12,'5_2':0.0},(144,240):{'3_1':0.03},(144,239):{'3_1':0.03,'4_1':0.0},(144,238):{'3_1':0.0},(144,237):{'3_1':0.03},(144,236):{'3_1':0.06},(144,235):{'3_1':0.03,'4_1':0.0},(144,234):{'3_1':0.03},(144,233):{'3_1':0.03,'4_1':0.0},(144,232):{'3_1':0.03,'4_1':0.0},(144,231):{'3_1':0.03,'4_1':0.0},(144,230):{'3_1':0.03,'4_1':0.0},(144,229):{'3_1':0.03},(144,228):{'3_1':0.06,'5_1':0.0},(144,227):{'3_1':0.03,'4_1':0.0},(144,226):{'3_1':0.06},(144,225):{'3_1':0.09},(144,224):{'3_1':0.03},(144,223):{'3_1':0.03},(144,222):{'3_1':0.03,'4_1':0.0},(144,221):{'3_1':0.03},(144,220):{'3_1':0.0},(144,219):{'3_1':0.03,'4_1':0.0},(144,218):{'3_1':0.03},(144,217):{'3_1':0.03},(144,216):{'3_1':0.0},(144,215):{'3_1':0.0},(144,214):{'3_1':0.0},(144,213):{'3_1':0.0},(144,212):{'3_1':0.0},(144,211):{'3_1':0.0,'5_1':0.0},(144,210):{'3_1':0.0},(144,209):{'3_1':0.0},(144,208):{'3_1':0.0},(144,207):{'3_1':0.03},(144,206):{'3_1':0.06},(144,205):{'3_1':0.0},(144,204):{'3_1':0.0,'5_1':0.0},(144,203):{'3_1':0.0},(144,202):{'3_1':0.0},(144,201):{'3_1':0.0},(144,200):{'3_1':0.0},(144,199):{'3_1':0.03},(144,198):{'3_1':0.0},(144,197):{'3_1':0.0},(144,196):{'3_1':0.0},(144,195):{'3_1':0.0},(144,194):{'3_1':0.0},(144,193):{'3_1':0.0},(144,188):{'3_1':0.0},(144,187):{'3_1':0.0},(144,186):{'3_1':0.0},(144,185):{'3_1':0.0},(144,184):{'3_1':0.0},(144,183):{'3_1':0.0},(144,181):{'3_1':0.0},(144,179):{'3_1':0.0},(144,167):{'3_1':0.0},(144,166):{'3_1':0.0},(144,165):{'3_1':0.0},(144,164):{'3_1':0.03},(144,163):{'3_1':0.0},(144,162):{'3_1':0.0},(144,161):{'3_1':0.03},(144,159):{'3_1':0.0},(144,156):{'3_1':0.0},(144,154):{'3_1':0.0},(145,290):{'3_1':0.12,'5_2':0.0},(145,289):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(145,288):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(145,287):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(145,286):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(145,285):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(145,284):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(145,283):{'3_1':0.09,'4_1':0.0},(145,282):{'3_1':0.09,'4_1':0.03},(145,281):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(145,280):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(145,279):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(145,278):{'3_1':0.09,'4_1':0.0},(145,277):{'3_1':0.09,'6_3':0.0},(145,276):{'3_1':0.12},(145,275):{'3_1':0.09,'5_2':0.0},(145,274):{'3_1':0.09,'4_1':0.0},(145,273):{'3_1':0.09},(145,272):{'3_1':0.09,'5_2':0.0},(145,271):{'3_1':0.09},(145,270):{'3_1':0.06,'4_1':0.0},(145,269):{'3_1':0.12,'5_2':0.0},(145,268):{'3_1':0.06},(145,267):{'3_1':0.06},(145,266):{'3_1':0.12},(145,265):{'3_1':0.09},(145,264):{'3_1':0.06},(145,263):{'3_1':0.06,'4_1':0.0},(145,262):{'3_1':0.06},(145,261):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(145,260):{'3_1':0.12,'4_1':0.0},(145,259):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(145,258):{'3_1':0.21,'5_1':0.0,'8_20|3_1#3_1':0.0},(145,257):{'3_1':0.21},(145,256):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(145,255):{'3_1':0.12,'5_2':0.0},(145,254):{'3_1':0.09},(145,253):{'3_1':0.09,'5_2':0.0},(145,252):{'3_1':0.06,'4_1':0.0},(145,251):{'3_1':0.12},(145,250):{'3_1':0.12},(145,249):{'3_1':0.06},(145,248):{'3_1':0.09},(145,247):{'3_1':0.09},(145,246):{'3_1':0.09,'4_1':0.0},(145,245):{'3_1':0.03},(145,244):{'3_1':0.06,'5_2':0.0},(145,243):{'3_1':0.09},(145,242):{'3_1':0.09},(145,241):{'3_1':0.06,'5_2':0.0},(145,240):{'3_1':0.03},(145,239):{'3_1':0.06},(145,238):{'3_1':0.03,'6_2':0.0},(145,237):{'3_1':0.03},(145,236):{'3_1':0.03},(145,235):{'3_1':0.03},(145,234):{'3_1':0.06},(145,233):{'3_1':0.03,'4_1':0.0},(145,232):{'3_1':0.03},(145,231):{'3_1':0.12},(145,230):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(145,229):{'3_1':0.0},(145,228):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(145,227):{'3_1':0.03},(145,226):{'3_1':0.09,'4_1':0.0},(145,225):{'3_1':0.15,'4_1':0.0},(145,224):{'3_1':0.06,'4_1':0.0},(145,223):{'3_1':0.06,'4_1':0.0},(145,222):{'3_1':0.06},(145,221):{'3_1':0.06,'4_1':0.0},(145,220):{'3_1':0.03},(145,219):{'3_1':0.03},(145,218):{'3_1':0.06},(145,217):{'3_1':0.0},(145,216):{'3_1':0.0,'4_1':0.0},(145,215):{'3_1':0.0},(145,214):{'3_1':0.0},(145,213):{'3_1':0.0},(145,212):{'3_1':0.0},(145,211):{'3_1':0.0},(145,210):{'3_1':0.0},(145,209):{'3_1':0.03,'4_1':0.0},(145,208):{'3_1':0.0},(145,207):{'3_1':0.0},(145,206):{'3_1':0.0},(145,205):{'3_1':0.0},(145,204):{'3_1':0.03},(145,203):{'3_1':0.0},(145,202):{'3_1':0.0},(145,201):{'3_1':0.0},(145,200):{'3_1':0.0},(145,198):{'3_1':0.0},(145,196):{'3_1':0.0},(145,194):{'3_1':0.0},(145,192):{'3_1':0.0},(145,190):{'3_1':0.0},(145,189):{'3_1':0.0},(145,188):{'3_1':0.0},(145,187):{'3_1':0.0},(145,184):{'3_1':0.0},(145,182):{'3_1':0.0},(145,181):{'3_1':0.0},(145,180):{'3_1':0.0},(145,178):{'3_1':0.0},(145,167):{'3_1':0.0},(145,166):{'3_1':0.0},(145,165):{'3_1':0.0},(145,164):{'3_1':0.0},(145,163):{'3_1':0.0},(145,162):{'3_1':0.0},(145,161):{'3_1':0.0},(145,157):{'3_1':0.0},(145,155):{'3_1':0.0},(145,151):{'3_1':0.0},(146,290):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(146,289):{'3_1':0.15,'4_1':0.0},(146,288):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(146,287):{'3_1':0.09,'8_20|3_1#3_1':0.0},(146,286):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(146,285):{'3_1':0.09,'4_1':0.0},(146,284):{'3_1':0.06,'4_1':0.0},(146,283):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(146,282):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(146,281):{'3_1':0.15,'4_1':0.03},(146,280):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(146,279):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(146,278):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(146,277):{'3_1':0.06,'5_2':0.0},(146,276):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(146,275):{'3_1':0.18,'4_1':0.0},(146,274):{'3_1':0.06},(146,273):{'3_1':0.03},(146,272):{'3_1':0.06},(146,271):{'3_1':0.06},(146,270):{'3_1':0.12},(146,269):{'3_1':0.06,'5_2':0.0},(146,268):{'3_1':0.06},(146,267):{'3_1':0.06,'5_2':0.0},(146,266):{'3_1':0.06},(146,265):{'3_1':0.06,'4_1':0.0},(146,264):{'3_1':0.06},(146,263):{'3_1':0.12,'5_2':0.0},(146,262):{'3_1':0.06},(146,261):{'3_1':0.15},(146,260):{'3_1':0.09,'5_2':0.0},(146,259):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(146,258):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(146,257):{'3_1':0.15,'4_1':0.0},(146,256):{'3_1':0.03},(146,255):{'3_1':0.06,'4_1':0.0},(146,254):{'3_1':0.12},(146,253):{'3_1':0.09,'4_1':0.0},(146,252):{'3_1':0.09},(146,251):{'3_1':0.06},(146,250):{'3_1':0.09},(146,249):{'3_1':0.12},(146,248):{'3_1':0.06},(146,247):{'3_1':0.06},(146,246):{'3_1':0.09},(146,245):{'3_1':0.09},(146,244):{'3_1':0.12},(146,243):{'3_1':0.09,'5_2':0.0},(146,242):{'3_1':0.06},(146,241):{'3_1':0.03},(146,240):{'3_1':0.06},(146,239):{'3_1':0.03},(146,238):{'3_1':0.0},(146,237):{'3_1':0.0},(146,236):{'3_1':0.0},(146,235):{'3_1':0.0},(146,234):{'3_1':0.06},(146,233):{'3_1':0.06},(146,232):{'3_1':0.0,'4_1':0.0},(146,231):{'3_1':0.0,'4_1':0.0},(146,230):{'3_1':0.06},(146,229):{'3_1':0.03},(146,228):{'3_1':0.06,'4_1':0.03},(146,227):{'3_1':0.0,'4_1':0.0},(146,226):{'3_1':0.12},(146,225):{'3_1':0.06},(146,224):{'3_1':0.06},(146,223):{'3_1':0.06},(146,222):{'3_1':0.06,'4_1':0.0},(146,221):{'3_1':0.03,'4_1':0.03},(146,220):{'3_1':0.0},(146,219):{'3_1':0.03},(146,218):{'3_1':0.0},(146,217):{'3_1':0.0,'4_1':0.0},(146,216):{'3_1':0.0},(146,215):{'3_1':0.0},(146,214):{'3_1':0.0},(146,213):{'3_1':0.0},(146,212):{'3_1':0.0},(146,211):{'3_1':0.0},(146,210):{'3_1':0.0},(146,209):{'3_1':0.0},(146,208):{'3_1':0.0},(146,207):{'3_1':0.0},(146,205):{'3_1':0.0},(146,204):{'3_1':0.03},(146,203):{'3_1':0.03},(146,202):{'3_1':0.03},(146,201):{'3_1':0.0},(146,200):{'3_1':0.0},(146,198):{'3_1':0.0},(146,195):{'3_1':0.0},(146,194):{'3_1':0.0},(146,192):{'3_1':0.0},(146,191):{'3_1':0.0},(146,188):{'3_1':0.0},(146,187):{'3_1':0.0},(146,186):{'3_1':0.0},(146,185):{'3_1':0.0},(146,158):{'3_1':0.0},(146,157):{'3_1':0.0},(146,155):{'3_1':0.0},(146,153):{'3_1':0.0},(146,151):{'3_1':0.0},(147,290):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(147,289):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(147,288):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(147,287):{'3_1':0.06,'4_1':0.03},(147,286):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(147,285):{'3_1':0.09,'4_1':0.0},(147,284):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(147,283):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(147,282):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(147,281):{'3_1':0.12,'4_1':0.0},(147,280):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'-3':0.0},(147,279):{'3_1':0.06},(147,278):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(147,277):{'3_1':0.09,'4_1':0.0},(147,276):{'3_1':0.03,'4_1':0.0},(147,275):{'3_1':0.09,'4_1':0.0},(147,274):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(147,273):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(147,272):{'3_1':0.09},(147,271):{'3_1':0.06},(147,270):{'3_1':0.06},(147,269):{'3_1':0.06},(147,268):{'3_1':0.09},(147,267):{'3_1':0.06},(147,266):{'3_1':0.06},(147,265):{'3_1':0.03},(147,264):{'3_1':0.12},(147,263):{'3_1':0.06,'5_2':0.0},(147,262):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(147,261):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(147,260):{'3_1':0.06,'4_1':0.0},(147,259):{'3_1':0.18},(147,258):{'3_1':0.12,'5_2':0.0},(147,257):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(147,256):{'3_1':0.06},(147,255):{'3_1':0.12},(147,254):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(147,253):{'3_1':0.06},(147,252):{'3_1':0.0,'5_2':0.0},(147,251):{'3_1':0.12},(147,250):{'3_1':0.06,'4_1':0.0},(147,249):{'3_1':0.03},(147,248):{'3_1':0.15},(147,247):{'3_1':0.06,'5_2':0.0},(147,246):{'3_1':0.03},(147,245):{'3_1':0.09},(147,244):{'3_1':0.06},(147,243):{'3_1':0.06},(147,242):{'3_1':0.09,'5_2':0.0},(147,241):{'3_1':0.06},(147,240):{'3_1':0.0},(147,239):{'3_1':0.03},(147,238):{'3_1':0.03},(147,237):{'3_1':0.0},(147,236):{'3_1':0.03},(147,235):{'3_1':0.06},(147,234):{'3_1':0.03},(147,233):{'3_1':0.03,'5_1':0.0},(147,232):{'3_1':0.03},(147,231):{'3_1':0.09,'4_1':0.0},(147,230):{'3_1':0.03,'4_1':0.0},(147,229):{'3_1':0.03,'4_1':0.0},(147,228):{'3_1':0.03},(147,227):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(147,226):{'3_1':0.06},(147,225):{'3_1':0.12,'4_1':0.0},(147,224):{'3_1':0.03,'4_1':0.0},(147,223):{'3_1':0.06},(147,222):{'3_1':0.03,'4_1':0.0},(147,221):{'3_1':0.06,'4_1':0.0},(147,220):{'3_1':0.0},(147,219):{'3_1':0.03},(147,218):{'3_1':0.03,'4_1':0.0},(147,213):{'3_1':0.0},(147,212):{'3_1':0.0},(147,211):{'3_1':0.0},(147,210):{'3_1':0.0},(147,209):{'3_1':0.0},(147,208):{'3_1':0.0},(147,207):{'3_1':0.03},(147,206):{'3_1':0.0},(147,204):{'3_1':0.0},(147,203):{'3_1':0.0},(147,202):{'3_1':0.03},(147,201):{'3_1':0.0},(147,198):{'3_1':0.0},(147,197):{'3_1':0.0},(147,195):{'3_1':0.0},(147,193):{'3_1':0.0},(147,192):{'3_1':0.0},(147,190):{'3_1':0.0},(147,188):{'3_1':0.0},(147,182):{'3_1':0.0},(147,181):{'3_1':0.0},(147,174):{'3_1':0.0},(147,172):{'3_1':0.0},(147,158):{'3_1':0.0},(147,156):{'3_1':0.0},(147,155):{'3_1':0.0},(147,154):{'3_1':0.0},(147,153):{'3_1':0.0},(148,290):{'3_1':0.12,'5_2':0.0},(148,289):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(148,288):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(148,287):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(148,286):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(148,285):{'3_1':0.09,'4_1':0.0},(148,284):{'3_1':0.12,'4_1':0.0},(148,283):{'3_1':0.12,'4_1':0.0},(148,282):{'3_1':0.09,'4_1':0.0},(148,281):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(148,280):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(148,279):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(148,278):{'3_1':0.12,'-3':0.0},(148,277):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(148,276):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'7_6':0.0},(148,275):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(148,274):{'3_1':0.06},(148,273):{'3_1':0.03},(148,272):{'3_1':0.03},(148,271):{'3_1':0.06},(148,270):{'3_1':0.06,'5_2':0.0},(148,269):{'3_1':0.06,'4_1':0.0},(148,268):{'3_1':0.06,'4_1':0.0},(148,267):{'3_1':0.03},(148,266):{'3_1':0.06},(148,265):{'3_1':0.06,'4_1':0.0},(148,264):{'3_1':0.12,'6_3':0.0},(148,263):{'3_1':0.06,'5_1':0.0},(148,262):{'3_1':0.06,'4_1':0.0},(148,261):{'3_1':0.12,'6_1':0.0},(148,260):{'3_1':0.09,'5_1':0.0},(148,259):{'3_1':0.12},(148,258):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0},(148,257):{'3_1':0.12,'5_2':0.0},(148,256):{'3_1':0.06,'5_1':0.0},(148,255):{'3_1':0.06},(148,254):{'3_1':0.12,'5_2':0.0},(148,253):{'3_1':0.06,'4_1':0.0},(148,252):{'3_1':0.12},(148,251):{'3_1':0.03},(148,250):{'3_1':0.12,'5_2':0.0},(148,249):{'3_1':0.03,'4_1':0.0},(148,248):{'3_1':0.09,'4_1':0.0},(148,247):{'3_1':0.03},(148,246):{'3_1':0.09},(148,245):{'3_1':0.06},(148,244):{'3_1':0.09},(148,243):{'3_1':0.06},(148,242):{'3_1':0.03,'5_2':0.0},(148,241):{'3_1':0.0},(148,240):{'3_1':0.0,'5_2':0.0},(148,239):{'3_1':0.03},(148,238):{'3_1':0.0},(148,237):{'3_1':0.0,'4_1':0.0},(148,236):{'3_1':0.0},(148,235):{'3_1':0.03,'4_1':0.0},(148,234):{'3_1':0.0},(148,233):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(148,232):{'3_1':0.03,'4_1':0.0},(148,231):{'3_1':0.06},(148,230):{'3_1':0.03,'4_1':0.0},(148,229):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(148,228):{'3_1':0.06},(148,227):{'3_1':0.06,'4_1':0.03},(148,226):{'3_1':0.03,'4_1':0.0},(148,225):{'3_1':0.12,'4_1':0.0},(148,224):{'3_1':0.09},(148,223):{'3_1':0.12,'4_1':0.0},(148,222):{'3_1':0.09},(148,221):{'3_1':0.06},(148,220):{'3_1':0.0,'4_1':0.0},(148,219):{'3_1':0.03},(148,218):{'3_1':0.0},(148,217):{'3_1':0.03},(148,215):{'3_1':0.0},(148,214):{'3_1':0.0,'4_1':0.0},(148,212):{'3_1':0.0},(148,211):{'3_1':0.0},(148,210):{'3_1':0.0},(148,209):{'3_1':0.0},(148,208):{'3_1':0.0},(148,207):{'3_1':0.0},(148,206):{'3_1':0.0},(148,205):{'3_1':0.0},(148,204):{'3_1':0.0},(148,203):{'3_1':0.0},(148,202):{'3_1':0.0},(148,201):{'3_1':0.0},(148,197):{'4_1':0.0},(148,195):{'3_1':0.0},(148,192):{'3_1':0.0},(148,191):{'3_1':0.0},(148,189):{'3_1':0.0},(148,185):{'3_1':0.0},(148,184):{'3_1':0.0},(148,180):{'3_1':0.0},(148,177):{'3_1':0.0},(148,166):{'3_1':0.0},(148,154):{'3_1':0.0},(148,153):{'3_1':0.0},(149,290):{'3_1':0.06,'6_3':0.0},(149,289):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(149,288):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_3':0.0,'5_2':0.0},(149,287):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(149,286):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(149,285):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(149,284):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(149,283):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(149,282):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(149,281):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(149,280):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(149,279):{'3_1':0.06,'4_1':0.0},(149,278):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(149,277):{'3_1':0.09,'4_1':0.0},(149,276):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(149,275):{'3_1':0.09},(149,274):{'3_1':0.12},(149,273):{'3_1':0.09,'4_1':0.0},(149,272):{'3_1':0.06},(149,271):{'3_1':0.06},(149,270):{'3_1':0.12},(149,269):{'3_1':0.12,'4_1':0.0},(149,268):{'3_1':0.09,'5_1':0.0},(149,267):{'3_1':0.03,'4_1':0.0},(149,266):{'3_1':0.09},(149,265):{'3_1':0.09},(149,264):{'3_1':0.15},(149,263):{'3_1':0.09},(149,262):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(149,261):{'3_1':0.12},(149,260):{'3_1':0.09,'5_1':0.0},(149,259):{'3_1':0.09},(149,258):{'3_1':0.18,'5_2':0.0},(149,257):{'3_1':0.12,'5_1':0.0},(149,256):{'3_1':0.03,'4_1':0.0},(149,255):{'3_1':0.06,'4_1':0.0},(149,254):{'3_1':0.12,'4_1':0.0},(149,253):{'3_1':0.09},(149,252):{'3_1':0.06},(149,251):{'3_1':0.09,'5_2':0.0},(149,250):{'3_1':0.06},(149,249):{'3_1':0.03},(149,248):{'3_1':0.12,'5_2':0.0},(149,247):{'3_1':0.09},(149,246):{'3_1':0.06},(149,245):{'3_1':0.12},(149,244):{'3_1':0.03,'4_1':0.0},(149,243):{'3_1':0.09},(149,242):{'3_1':0.06},(149,241):{'3_1':0.06},(149,240):{'3_1':0.03},(149,239):{'3_1':0.06},(149,238):{'3_1':0.0},(149,237):{'3_1':0.03},(149,236):{'3_1':0.06,'4_1':0.0},(149,235):{'3_1':0.03},(149,234):{'3_1':0.0},(149,233):{'3_1':0.06,'4_1':0.0},(149,232):{'3_1':0.03},(149,231):{'3_1':0.03,'4_1':0.0},(149,230):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(149,229):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(149,228):{'3_1':0.06,'4_1':0.0},(149,227):{'3_1':0.09},(149,226):{'3_1':0.03,'4_1':0.0},(149,225):{'3_1':0.12,'4_1':0.0},(149,224):{'3_1':0.06},(149,223):{'3_1':0.06,'4_1':0.0},(149,222):{'3_1':0.06},(149,221):{'3_1':0.06,'4_1':0.0},(149,220):{'3_1':0.03},(149,219):{'3_1':0.06},(149,218):{'3_1':0.03},(149,217):{'3_1':0.03,'4_1':0.0},(149,216):{'3_1':0.0},(149,215):{'3_1':0.0},(149,214):{'3_1':0.0},(149,213):{'3_1':0.0},(149,211):{'3_1':0.0},(149,210):{'4_1':0.0},(149,208):{'3_1':0.03},(149,207):{'3_1':0.0},(149,206):{'3_1':0.03},(149,205):{'3_1':0.0},(149,204):{'3_1':0.0},(149,203):{'3_1':0.0},(149,202):{'3_1':0.0},(149,201):{'3_1':0.0},(149,200):{'3_1':0.0},(149,199):{'3_1':0.0},(149,197):{'3_1':0.0},(149,193):{'3_1':0.0},(149,183):{'3_1':0.0},(149,179):{'3_1':0.0},(149,171):{'3_1':0.0},(149,169):{'3_1':0.0},(149,168):{'3_1':0.0},(150,290):{'3_1':0.09,'4_1':0.0},(150,289):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(150,288):{'3_1':0.09,'4_1':0.0},(150,287):{'3_1':0.06,'4_1':0.0},(150,286):{'3_1':0.06},(150,285):{'3_1':0.09,'6_3':0.0,'4_1':0.0,'6_2':0.0},(150,284):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(150,283):{'3_1':0.09,'4_1':0.03},(150,282):{'3_1':0.06,'6_3':0.0},(150,281):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(150,280):{'3_1':0.12,'6_3':0.0,'-3':0.0},(150,279):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(150,278):{'3_1':0.09,'5_2':0.0},(150,277):{'3_1':0.12,'4_1':0.0},(150,276):{'3_1':0.03,'4_1':0.0,'-3':0.0},(150,275):{'3_1':0.12,'4_1':0.0},(150,274):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(150,273):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(150,272):{'3_1':0.09,'4_1':0.0},(150,271):{'3_1':0.09,'4_1':0.0},(150,270):{'3_1':0.09},(150,269):{'3_1':0.09,'4_1':0.0},(150,268):{'3_1':0.03},(150,267):{'3_1':0.06},(150,266):{'3_1':0.06,'4_1':0.0},(150,265):{'3_1':0.03},(150,264):{'3_1':0.03,'4_1':0.0},(150,263):{'3_1':0.12},(150,262):{'3_1':0.03,'5_2':0.0},(150,261):{'3_1':0.06,'4_1':0.0},(150,260):{'3_1':0.12},(150,259):{'3_1':0.15,'5_2':0.0},(150,258):{'3_1':0.18},(150,257):{'3_1':0.15},(150,256):{'3_1':0.09},(150,255):{'3_1':0.03},(150,254):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(150,253):{'3_1':0.15},(150,252):{'3_1':0.06,'5_2':0.0},(150,251):{'3_1':0.12,'5_2':0.0},(150,250):{'3_1':0.09},(150,249):{'3_1':0.06},(150,248):{'3_1':0.12},(150,247):{'3_1':0.06},(150,246):{'3_1':0.09,'4_1':0.0},(150,245):{'3_1':0.06},(150,244):{'3_1':0.06,'5_2':0.0},(150,243):{'3_1':0.12,'4_1':0.0},(150,242):{'3_1':0.06,'5_2':0.0},(150,241):{'3_1':0.06},(150,240):{'3_1':0.0},(150,239):{'3_1':0.0,'4_1':0.0},(150,238):{'3_1':0.03},(150,237):{'3_1':0.0},(150,236):{'3_1':0.06,'5_2':0.0},(150,235):{'3_1':0.03},(150,234):{'3_1':0.03},(150,233):{'3_1':0.03,'5_1':0.0},(150,232):{'3_1':0.0,'5_1':0.0},(150,231):{'3_1':0.06,'4_1':0.0},(150,230):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(150,229):{'3_1':0.03},(150,228):{'3_1':0.06,'4_1':0.0},(150,227):{'3_1':0.06,'4_1':0.0},(150,226):{'3_1':0.06,'4_1':0.0},(150,225):{'3_1':0.03},(150,224):{'3_1':0.03,'4_1':0.0},(150,223):{'3_1':0.12,'4_1':0.0},(150,222):{'3_1':0.09,'4_1':0.0},(150,221):{'3_1':0.03,'4_1':0.0},(150,220):{'3_1':0.03,'4_1':0.0},(150,219):{'3_1':0.06,'4_1':0.0},(150,218):{'3_1':0.03},(150,217):{'3_1':0.0},(150,215):{'3_1':0.0},(150,214):{'3_1':0.0},(150,213):{'3_1':0.0},(150,212):{'3_1':0.0},(150,209):{'3_1':0.0},(150,207):{'3_1':0.03},(150,206):{'3_1':0.03},(150,205):{'3_1':0.0},(150,204):{'3_1':0.0},(150,203):{'3_1':0.0},(150,202):{'3_1':0.0},(150,201):{'3_1':0.0},(150,200):{'3_1':0.0},(150,193):{'3_1':0.0},(150,191):{'3_1':0.0},(150,190):{'3_1':0.0},(150,188):{'3_1':0.0},(150,184):{'3_1':0.0},(150,177):{'3_1':0.0},(150,168):{'3_1':0.0},(150,167):{'3_1':0.0},(151,290):{'3_1':0.12},(151,289):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(151,288):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(151,287):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(151,286):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0},(151,285):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(151,284):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(151,283):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(151,282):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(151,281):{'3_1':0.03,'4_1':0.0},(151,280):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(151,279):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(151,278):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(151,277):{'3_1':0.09,'5_1':0.0},(151,276):{'3_1':0.09,'5_2':0.0},(151,275):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(151,274):{'3_1':0.03,'4_1':0.0},(151,273):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(151,272):{'3_1':0.09},(151,271):{'3_1':0.06},(151,270):{'3_1':0.03},(151,269):{'3_1':0.03},(151,268):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(151,267):{'3_1':0.18,'4_1':0.0},(151,266):{'3_1':0.06},(151,265):{'3_1':0.06,'4_1':0.0},(151,264):{'3_1':0.06},(151,263):{'3_1':0.12,'5_2':0.0},(151,262):{'3_1':0.06},(151,261):{'3_1':0.09,'4_1':0.0},(151,260):{'3_1':0.06},(151,259):{'3_1':0.09,'5_2':0.0},(151,258):{'3_1':0.12,'8_20|3_1#3_1':0.0},(151,257):{'3_1':0.06,'5_2':0.0},(151,256):{'3_1':0.06},(151,255):{'3_1':0.06,'4_1':0.0},(151,254):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(151,253):{'3_1':0.09},(151,252):{'3_1':0.06,'4_1':0.0},(151,251):{'3_1':0.06},(151,250):{'3_1':0.09,'4_1':0.0},(151,249):{'3_1':0.06},(151,248):{'3_1':0.06},(151,247):{'3_1':0.06},(151,246):{'3_1':0.06,'4_1':0.0},(151,245):{'3_1':0.12,'5_2':0.0},(151,244):{'3_1':0.06},(151,243):{'3_1':0.06,'5_2':0.0},(151,242):{'3_1':0.06},(151,241):{'3_1':0.03},(151,240):{'3_1':0.0},(151,239):{'3_1':0.0},(151,238):{'3_1':0.03},(151,237):{'3_1':0.0},(151,236):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(151,235):{'3_1':0.0},(151,234):{'3_1':0.0},(151,233):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(151,232):{'3_1':0.03},(151,231):{'3_1':0.06,'4_1':0.0},(151,230):{'3_1':0.06},(151,229):{'3_1':0.06,'4_1':0.0},(151,228):{'3_1':0.06,'4_1':0.0},(151,227):{'3_1':0.09,'4_1':0.0},(151,226):{'3_1':0.03,'4_1':0.0},(151,225):{'3_1':0.06},(151,224):{'3_1':0.06,'4_1':0.0},(151,223):{'3_1':0.15,'4_1':0.03},(151,222):{'3_1':0.06,'4_1':0.0},(151,221):{'3_1':0.09},(151,220):{'3_1':0.0,'4_1':0.0},(151,219):{'3_1':0.03},(151,218):{'3_1':0.0},(151,217):{'3_1':0.0},(151,216):{'3_1':0.0},(151,215):{'3_1':0.0,'4_1':0.0},(151,214):{'3_1':0.0},(151,212):{'3_1':0.0},(151,210):{'3_1':0.0},(151,209):{'3_1':0.0,'5_1':0.0},(151,208):{'3_1':0.0},(151,207):{'3_1':0.0,'5_1':0.0},(151,206):{'3_1':0.0},(151,205):{'3_1':0.0},(151,204):{'3_1':0.0},(151,203):{'3_1':0.0},(151,201):{'3_1':0.0},(151,200):{'3_1':0.0},(151,198):{'3_1':0.0},(151,197):{'3_1':0.0},(151,195):{'3_1':0.0},(151,194):{'3_1':0.0},(151,192):{'3_1':0.0},(151,190):{'3_1':0.0},(151,187):{'3_1':0.0},(151,186):{'3_1':0.0},(151,180):{'3_1':0.0},(151,179):{'3_1':0.0},(151,173):{'3_1':0.0},(151,172):{'3_1':0.0},(151,170):{'3_1':0.0},(151,167):{'3_1':0.0},(152,290):{'3_1':0.09,'5_1':0.0},(152,289):{'3_1':0.06},(152,288):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(152,287):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(152,286):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(152,285):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0},(152,284):{'3_1':0.06,'4_1':0.0},(152,283):{'3_1':0.12,'4_1':0.0},(152,282):{'3_1':0.09},(152,281):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(152,280):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(152,279):{'3_1':0.09},(152,278):{'3_1':0.12,'4_1':0.0},(152,277):{'3_1':0.12},(152,276):{'3_1':0.09,'4_1':0.0},(152,275):{'3_1':0.09,'4_1':0.0},(152,274):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(152,273):{'3_1':0.09,'4_1':0.0},(152,272):{'3_1':0.09,'4_1':0.0},(152,271):{'3_1':0.06},(152,270):{'3_1':0.09},(152,269):{'3_1':0.09,'4_1':0.0},(152,268):{'3_1':0.03,'6_2':0.0},(152,267):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(152,266):{'3_1':0.06,'4_1':0.0},(152,265):{'3_1':0.06},(152,264):{'3_1':0.06,'4_1':0.0},(152,263):{'3_1':0.12,'5_2':0.0},(152,262):{'3_1':0.09},(152,261):{'3_1':0.06,'5_2':0.0},(152,260):{'3_1':0.06,'5_1':0.0},(152,259):{'3_1':0.09,'5_2':0.0},(152,258):{'3_1':0.15,'5_1':0.0,'6_2':0.0},(152,257):{'3_1':0.09,'5_2':0.0},(152,256):{'3_1':0.06},(152,255):{'3_1':0.06,'4_1':0.0},(152,254):{'3_1':0.03},(152,253):{'3_1':0.06},(152,252):{'3_1':0.09},(152,251):{'3_1':0.06,'5_2':0.0},(152,250):{'3_1':0.03},(152,249):{'3_1':0.09},(152,248):{'3_1':0.09,'5_2':0.0},(152,247):{'3_1':0.09,'4_1':0.0},(152,246):{'3_1':0.0},(152,245):{'3_1':0.06},(152,244):{'3_1':0.06},(152,243):{'3_1':0.06},(152,242):{'3_1':0.03},(152,241):{'3_1':0.06},(152,240):{'3_1':0.03},(152,239):{'3_1':0.06,'4_1':0.0},(152,238):{'3_1':0.0},(152,237):{'3_1':0.06,'4_1':0.0},(152,236):{'3_1':0.0},(152,235):{'3_1':0.0},(152,234):{'4_1':0.0},(152,233):{'3_1':0.03},(152,232):{'3_1':0.03},(152,231):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(152,230):{'3_1':0.06,'4_1':0.0},(152,229):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(152,228):{'3_1':0.03,'4_1':0.0},(152,227):{'3_1':0.06,'4_1':0.0},(152,226):{'3_1':0.09},(152,225):{'3_1':0.06,'4_1':0.0},(152,224):{'3_1':0.09,'4_1':0.0},(152,223):{'3_1':0.03,'4_1':0.0},(152,222):{'3_1':0.06},(152,221):{'3_1':0.06,'4_1':0.0},(152,220):{'3_1':0.0,'4_1':0.0},(152,219):{'3_1':0.03},(152,218):{'3_1':0.03},(152,217):{'3_1':0.0},(152,215):{'3_1':0.0},(152,213):{'3_1':0.0},(152,212):{'3_1':0.0},(152,210):{'3_1':0.0},(152,209):{'3_1':0.0},(152,207):{'3_1':0.03},(152,206):{'3_1':0.03},(152,205):{'3_1':0.0},(152,204):{'3_1':0.03},(152,203):{'3_1':0.03},(152,202):{'3_1':0.0},(152,201):{'3_1':0.0},(152,200):{'4_1':0.0},(152,199):{'3_1':0.0},(152,198):{'3_1':0.0},(152,191):{'3_1':0.0},(152,187):{'3_1':0.0},(152,186):{'3_1':0.0},(152,169):{'3_1':0.0},(152,164):{'3_1':0.0},(152,162):{'3_1':0.0},(153,290):{'3_1':0.09,'4_1':0.0},(153,289):{'3_1':0.06,'6_3':0.0,'4_1':0.0,'5_2':0.0},(153,288):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(153,287):{'3_1':0.09,'4_1':0.0},(153,286):{'3_1':0.06,'4_1':0.03},(153,285):{'3_1':0.09,'4_1':0.0},(153,284):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(153,283):{'3_1':0.09,'4_1':0.0},(153,282):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(153,281):{'3_1':0.12,'4_1':0.0},(153,280):{'3_1':0.12,'5_2':0.0},(153,279):{'3_1':0.15,'5_2':0.0,'-3':0.0},(153,278):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(153,277):{'3_1':0.06},(153,276):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(153,275):{'3_1':0.06,'4_1':0.0},(153,274):{'3_1':0.09,'4_1':0.0},(153,273):{'3_1':0.09,'4_1':0.0},(153,272):{'3_1':0.06},(153,271):{'3_1':0.06,'4_1':0.0},(153,270):{'3_1':0.06},(153,269):{'3_1':0.06},(153,268):{'3_1':0.06},(153,267):{'3_1':0.09,'5_2':0.0},(153,266):{'3_1':0.03,'4_1':0.0},(153,265):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(153,264):{'3_1':0.06,'4_1':0.0},(153,263):{'3_1':0.09},(153,262):{'3_1':0.09},(153,261):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(153,260):{'3_1':0.12,'5_2':0.0},(153,259):{'3_1':0.15,'4_1':0.0},(153,258):{'3_1':0.18,'5_2':0.0},(153,257):{'3_1':0.15,'5_2':0.0},(153,256):{'3_1':0.06,'5_2':0.0},(153,255):{'3_1':0.09,'4_1':0.0},(153,254):{'3_1':0.06,'4_1':0.0},(153,253):{'3_1':0.09,'5_2':0.0},(153,252):{'3_1':0.09,'4_1':0.0},(153,251):{'3_1':0.03},(153,250):{'3_1':0.06},(153,249):{'3_1':0.12,'4_1':0.0},(153,248):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(153,247):{'3_1':0.12,'4_1':0.0},(153,246):{'3_1':0.06},(153,245):{'3_1':0.06},(153,244):{'3_1':0.06,'4_1':0.0},(153,243):{'3_1':0.03,'5_2':0.0},(153,242):{'3_1':0.06},(153,241):{'3_1':0.06},(153,240):{'3_1':0.03},(153,239):{'3_1':0.06},(153,238):{'3_1':0.0},(153,237):{'3_1':0.0,'4_1':0.0},(153,236):{'3_1':0.0},(153,235):{'3_1':0.03},(153,234):{'3_1':0.06},(153,233):{'3_1':0.03},(153,232):{'3_1':0.03},(153,231):{'3_1':0.03,'4_1':0.0},(153,230):{'3_1':0.0,'4_1':0.0},(153,229):{'3_1':0.03,'4_1':0.0},(153,228):{'3_1':0.03,'4_1':0.0},(153,227):{'3_1':0.03,'4_1':0.0},(153,226):{'3_1':0.03,'4_1':0.0},(153,225):{'3_1':0.06,'4_1':0.0},(153,224):{'3_1':0.06,'4_1':0.0},(153,223):{'3_1':0.03,'4_1':0.0},(153,222):{'3_1':0.03,'4_1':0.0},(153,221):{'3_1':0.06,'4_1':0.0},(153,220):{'3_1':0.06,'4_1':0.0},(153,219):{'3_1':0.03},(153,218):{'3_1':0.03,'4_1':0.0},(153,217):{'3_1':0.0},(153,216):{'3_1':0.0},(153,215):{'3_1':0.0},(153,214):{'4_1':0.0},(153,213):{'3_1':0.0},(153,212):{'3_1':0.0},(153,211):{'3_1':0.0},(153,210):{'3_1':0.0},(153,209):{'3_1':0.0},(153,208):{'3_1':0.0},(153,207):{'3_1':0.0},(153,206):{'3_1':0.0},(153,205):{'3_1':0.0},(153,204):{'3_1':0.03},(153,203):{'3_1':0.0},(153,202):{'3_1':0.0},(153,201):{'3_1':0.0},(153,200):{'3_1':0.0},(153,198):{'3_1':0.0},(153,197):{'3_1':0.0},(153,188):{'3_1':0.0},(153,171):{'3_1':0.0},(153,170):{'3_1':0.0},(153,165):{'3_1':0.0},(153,163):{'3_1':0.0},(154,290):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(154,289):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(154,288):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(154,287):{'3_1':0.06,'4_1':0.0},(154,286):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(154,285):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(154,284):{'3_1':0.06,'4_1':0.0},(154,283):{'3_1':0.12,'4_1':0.0},(154,282):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(154,281):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(154,280):{'3_1':0.09,'6_3':0.0},(154,279):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(154,278):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(154,277):{'3_1':0.09,'4_1':0.0},(154,276):{'3_1':0.12,'6_3':0.0},(154,275):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(154,274):{'3_1':0.09},(154,273):{'3_1':0.06,'5_2':0.0},(154,272):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(154,271):{'3_1':0.09},(154,270):{'3_1':0.06,'4_1':0.0},(154,269):{'3_1':0.06},(154,268):{'3_1':0.09,'4_1':0.0},(154,267):{'3_1':0.09,'5_2':0.0},(154,266):{'3_1':0.06,'5_2':0.0},(154,265):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(154,264):{'3_1':0.09},(154,263):{'3_1':0.03},(154,262):{'3_1':0.06},(154,261):{'3_1':0.09,'4_1':0.0},(154,260):{'3_1':0.15,'5_1':0.0},(154,259):{'3_1':0.18,'5_2':0.0},(154,258):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(154,257):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(154,256):{'3_1':0.06,'4_1':0.0},(154,255):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(154,254):{'3_1':0.06,'4_1':0.0},(154,253):{'3_1':0.15},(154,252):{'3_1':0.06},(154,251):{'3_1':0.03},(154,250):{'3_1':0.09,'5_2':0.0},(154,249):{'3_1':0.0},(154,248):{'3_1':0.09,'4_1':0.0},(154,247):{'3_1':0.06,'4_1':0.0},(154,246):{'3_1':0.09},(154,245):{'3_1':0.09},(154,244):{'3_1':0.06},(154,243):{'3_1':0.03},(154,242):{'3_1':0.06,'5_2':0.0},(154,241):{'3_1':0.03},(154,240):{'3_1':0.06,'4_1':0.0},(154,239):{'3_1':0.03,'4_1':0.0},(154,238):{'3_1':0.0},(154,237):{'3_1':0.03},(154,236):{'3_1':0.0},(154,235):{'3_1':0.0},(154,234):{'3_1':0.03,'4_1':0.0},(154,233):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(154,232):{'3_1':0.03},(154,231):{'3_1':0.06,'4_1':0.03},(154,230):{'3_1':0.06,'5_1':0.0},(154,229):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(154,228):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(154,227):{'3_1':0.06},(154,226):{'3_1':0.03,'4_1':0.0},(154,225):{'3_1':0.03,'4_1':0.0},(154,224):{'3_1':0.12},(154,223):{'3_1':0.06,'4_1':0.0},(154,222):{'3_1':0.06,'4_1':0.0},(154,221):{'3_1':0.03},(154,220):{'3_1':0.03,'4_1':0.0},(154,219):{'3_1':0.03,'4_1':0.0},(154,218):{'3_1':0.0},(154,213):{'3_1':0.0},(154,211):{'3_1':0.0},(154,210):{'3_1':0.0},(154,209):{'3_1':0.0},(154,208):{'3_1':0.0},(154,207):{'3_1':0.0},(154,206):{'3_1':0.0},(154,205):{'3_1':0.03},(154,204):{'3_1':0.0},(154,203):{'3_1':0.0},(154,202):{'3_1':0.0},(154,201):{'3_1':0.0},(154,198):{'3_1':0.0},(154,195):{'3_1':0.0},(154,192):{'3_1':0.0},(154,187):{'3_1':0.0},(154,183):{'3_1':0.0},(155,290):{'3_1':0.06,'5_2':0.0},(155,289):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(155,288):{'3_1':0.09,'4_1':0.03,'8_19':0.0},(155,287):{'3_1':0.12,'4_1':0.03},(155,286):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(155,285):{'3_1':0.09,'4_1':0.0},(155,284):{'3_1':0.06,'4_1':0.0},(155,283):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(155,282):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(155,281):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(155,280):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0},(155,279):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(155,278):{'3_1':0.12,'4_1':0.0},(155,277):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_6':0.0},(155,276):{'3_1':0.06,'4_1':0.0},(155,275):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(155,274):{'3_1':0.06},(155,273):{'3_1':0.06},(155,272):{'3_1':0.12},(155,271):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(155,270):{'3_1':0.03},(155,269):{'3_1':0.09},(155,268):{'3_1':0.09},(155,267):{'3_1':0.09,'4_1':0.0},(155,266):{'3_1':0.06,'6_3':0.0},(155,265):{'3_1':0.09},(155,264):{'3_1':0.06},(155,263):{'3_1':0.06},(155,262):{'3_1':0.06,'5_2':0.0},(155,261):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(155,260):{'3_1':0.15,'5_1':0.0},(155,259):{'3_1':0.09},(155,258):{'3_1':0.12,'5_2':0.0},(155,257):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(155,256):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(155,255):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(155,254):{'3_1':0.03},(155,253):{'3_1':0.09},(155,252):{'3_1':0.06},(155,251):{'3_1':0.06,'4_1':0.0},(155,250):{'3_1':0.09},(155,249):{'3_1':0.09},(155,248):{'3_1':0.09},(155,247):{'3_1':0.09},(155,246):{'3_1':0.06},(155,245):{'3_1':0.0},(155,244):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(155,243):{'3_1':0.06},(155,242):{'3_1':0.03},(155,241):{'3_1':0.03},(155,240):{'3_1':0.06},(155,239):{'3_1':0.03},(155,238):{'3_1':0.0},(155,237):{'3_1':0.03},(155,236):{'3_1':0.03},(155,235):{'3_1':0.03,'4_1':0.0},(155,234):{'3_1':0.06,'5_1':0.0},(155,233):{'3_1':0.03},(155,232):{'3_1':0.03,'4_1':0.0},(155,231):{'3_1':0.09,'4_1':0.0},(155,230):{'3_1':0.06,'4_1':0.03},(155,229):{'3_1':0.03,'4_1':0.0},(155,228):{'3_1':0.12,'4_1':0.0},(155,227):{'3_1':0.09,'4_1':0.0},(155,226):{'3_1':0.09,'4_1':0.0},(155,225):{'3_1':0.03},(155,224):{'3_1':0.06,'4_1':0.0},(155,223):{'3_1':0.03,'4_1':0.0},(155,222):{'3_1':0.09,'4_1':0.0},(155,221):{'3_1':0.09,'4_1':0.0},(155,220):{'3_1':0.03,'4_1':0.0},(155,219):{'3_1':0.03},(155,218):{'3_1':0.03},(155,215):{'3_1':0.0},(155,214):{'3_1':0.0},(155,213):{'3_1':0.0},(155,212):{'3_1':0.0},(155,211):{'3_1':0.0},(155,209):{'3_1':0.0},(155,208):{'3_1':0.0},(155,206):{'3_1':0.0},(155,205):{'3_1':0.0},(155,204):{'3_1':0.0},(155,203):{'3_1':0.0},(155,202):{'3_1':0.0},(155,201):{'3_1':0.0},(155,200):{'3_1':0.0},(155,197):{'3_1':0.0},(155,194):{'3_1':0.0},(155,177):{'3_1':0.0},(155,162):{'3_1':0.0},(156,290):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(156,289):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(156,288):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(156,287):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'6_3':0.0},(156,286):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(156,285):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(156,284):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(156,283):{'3_1':0.15},(156,282):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(156,281):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(156,280):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(156,279):{'3_1':0.09,'4_1':0.03,'-3':0.0},(156,278):{'3_1':0.09,'5_2':0.0},(156,277):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0},(156,276):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(156,275):{'3_1':0.09},(156,274):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(156,273):{'3_1':0.09,'4_1':0.0},(156,272):{'3_1':0.15,'4_1':0.0},(156,271):{'3_1':0.09,'4_1':0.0},(156,270):{'3_1':0.03,'4_1':0.0},(156,269):{'3_1':0.09,'4_1':0.0},(156,268):{'3_1':0.06},(156,267):{'3_1':0.12,'4_1':0.0},(156,266):{'3_1':0.09},(156,265):{'3_1':0.03,'5_1':0.0},(156,264):{'3_1':0.09},(156,263):{'3_1':0.06},(156,262):{'3_1':0.09},(156,261):{'3_1':0.09},(156,260):{'3_1':0.12,'5_2':0.0},(156,259):{'3_1':0.06,'5_2':0.0},(156,258):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(156,257):{'3_1':0.09,'5_2':0.0},(156,256):{'3_1':0.12},(156,255):{'3_1':0.06,'4_1':0.0},(156,254):{'3_1':0.06},(156,253):{'3_1':0.03},(156,252):{'3_1':0.09,'4_1':0.0},(156,251):{'3_1':0.06,'5_2':0.0},(156,250):{'3_1':0.09,'4_1':0.0},(156,249):{'3_1':0.03},(156,248):{'3_1':0.15},(156,247):{'3_1':0.09},(156,246):{'3_1':0.06},(156,245):{'3_1':0.12},(156,244):{'3_1':0.06},(156,243):{'3_1':0.06,'5_1':0.0},(156,242):{'3_1':0.06},(156,241):{'3_1':0.09,'5_2':0.0},(156,240):{'3_1':0.06},(156,239):{'3_1':0.0},(156,238):{'3_1':0.03},(156,237):{'3_1':0.06},(156,236):{'3_1':0.03},(156,235):{'3_1':0.0},(156,234):{'3_1':0.06},(156,233):{'3_1':0.06,'4_1':0.0},(156,232):{'3_1':0.06},(156,231):{'3_1':0.06},(156,230):{'3_1':0.06},(156,229):{'3_1':0.06,'4_1':0.0},(156,228):{'3_1':0.0,'4_1':0.0},(156,227):{'3_1':0.06,'5_1':0.0},(156,226):{'3_1':0.06},(156,225):{'3_1':0.12},(156,224):{'3_1':0.06},(156,223):{'3_1':0.06},(156,222):{'3_1':0.06},(156,221):{'3_1':0.06},(156,220):{'3_1':0.06},(156,219):{'3_1':0.06},(156,218):{'3_1':0.03},(156,217):{'3_1':0.03},(156,216):{'3_1':0.0},(156,215):{'3_1':0.0},(156,214):{'3_1':0.0,'4_1':0.0},(156,212):{'3_1':0.0},(156,210):{'3_1':0.0},(156,209):{'3_1':0.0},(156,207):{'3_1':0.0},(156,205):{'3_1':0.0},(156,204):{'3_1':0.0},(156,200):{'3_1':0.0},(156,197):{'3_1':0.0},(156,193):{'3_1':0.0},(156,190):{'3_1':0.0},(156,165):{'3_1':0.0},(156,162):{'3_1':0.0},(157,290):{'3_1':0.12,'4_1':0.0},(157,289):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(157,288):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(157,287):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(157,286):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(157,285):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(157,284):{'3_1':0.12,'6_1':0.0,'6_3':0.0},(157,283):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(157,282):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(157,281):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(157,280):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(157,279):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(157,278):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(157,277):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(157,276):{'3_1':0.09},(157,275):{'3_1':0.12,'4_1':0.0},(157,274):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(157,273):{'3_1':0.0},(157,272):{'3_1':0.09,'4_1':0.0},(157,271):{'3_1':0.09},(157,270):{'3_1':0.06,'5_2':0.0},(157,269):{'3_1':0.12},(157,268):{'3_1':0.03},(157,267):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(157,266):{'3_1':0.06},(157,265):{'3_1':0.09,'5_1':0.0},(157,264):{'3_1':0.09},(157,263):{'3_1':0.03,'4_1':0.0},(157,262):{'3_1':0.03,'4_1':0.0},(157,261):{'3_1':0.06},(157,260):{'3_1':0.06},(157,259):{'3_1':0.12},(157,258):{'3_1':0.12,'5_1':0.0},(157,257):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(157,256):{'3_1':0.12,'5_2':0.0},(157,255):{'3_1':0.06,'5_2':0.0},(157,254):{'3_1':0.09},(157,253):{'3_1':0.06},(157,252):{'3_1':0.06},(157,251):{'3_1':0.09},(157,250):{'3_1':0.12,'4_1':0.0},(157,249):{'3_1':0.12},(157,248):{'3_1':0.06},(157,247):{'3_1':0.03},(157,246):{'3_1':0.06},(157,245):{'3_1':0.06},(157,244):{'3_1':0.03},(157,243):{'3_1':0.12},(157,242):{'3_1':0.09,'4_1':0.0},(157,241):{'3_1':0.03,'4_1':0.0},(157,240):{'3_1':0.06},(157,239):{'3_1':0.03,'4_1':0.0},(157,238):{'3_1':0.03},(157,237):{'3_1':0.06,'4_1':0.0},(157,236):{'3_1':0.0},(157,235):{'3_1':0.03,'4_1':0.0},(157,234):{'3_1':0.0},(157,233):{'3_1':0.06},(157,232):{'3_1':0.03,'5_1':0.0},(157,231):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(157,230):{'3_1':0.03,'4_1':0.0},(157,229):{'3_1':0.03,'4_1':0.0},(157,228):{'3_1':0.09,'4_1':0.0},(157,227):{'3_1':0.06},(157,226):{'3_1':0.03,'4_1':0.0},(157,225):{'3_1':0.03,'4_1':0.0},(157,224):{'3_1':0.06,'4_1':0.0},(157,223):{'3_1':0.06,'4_1':0.0},(157,222):{'3_1':0.06,'4_1':0.0},(157,221):{'3_1':0.06,'4_1':0.0},(157,220):{'3_1':0.03},(157,219):{'3_1':0.0},(157,218):{'3_1':0.03},(157,217):{'3_1':0.0},(157,216):{'3_1':0.0},(157,215):{'3_1':0.0},(157,214):{'3_1':0.0},(157,212):{'3_1':0.0},(157,211):{'4_1':0.0},(157,210):{'3_1':0.0},(157,209):{'3_1':0.0},(157,208):{'3_1':0.03},(157,207):{'3_1':0.0},(157,206):{'3_1':0.0},(157,205):{'3_1':0.0,'5_1':0.0},(157,203):{'3_1':0.03},(157,202):{'3_1':0.0},(157,201):{'3_1':0.0},(157,199):{'3_1':0.0},(157,197):{'3_1':0.0},(157,169):{'3_1':0.0},(157,165):{'3_1':0.0},(158,290):{'3_1':0.12,'4_1':0.0},(158,289):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(158,288):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(158,287):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_16':0.0},(158,286):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0},(158,285):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(158,284):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(158,283):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(158,282):{'3_1':0.12,'5_2':0.0},(158,281):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(158,280):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(158,279):{'3_1':0.12,'5_2':0.0},(158,278):{'3_1':0.09,'4_1':0.0},(158,277):{'3_1':0.12,'4_1':0.0},(158,276):{'3_1':0.12,'4_1':0.0},(158,275):{'3_1':0.15,'4_1':0.0},(158,274):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(158,273):{'3_1':0.09,'5_2':0.0},(158,272):{'3_1':0.09,'5_2':0.0},(158,271):{'3_1':0.12,'4_1':0.0},(158,270):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(158,269):{'3_1':0.06},(158,268):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(158,267):{'3_1':0.09,'4_1':0.0},(158,266):{'3_1':0.09,'4_1':0.0},(158,265):{'3_1':0.12},(158,264):{'3_1':0.03,'4_1':0.0},(158,263):{'3_1':0.09,'4_1':0.0},(158,262):{'3_1':0.06,'4_1':0.0},(158,261):{'3_1':0.06,'5_2':0.0},(158,260):{'3_1':0.12},(158,259):{'3_1':0.15},(158,258):{'3_1':0.15,'5_2':0.0},(158,257):{'3_1':0.06},(158,256):{'3_1':0.06,'4_1':0.0},(158,255):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(158,254):{'3_1':0.09,'4_1':0.0},(158,253):{'3_1':0.06,'5_2':0.0},(158,252):{'3_1':0.06},(158,251):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(158,250):{'3_1':0.12,'4_1':0.0},(158,249):{'3_1':0.06},(158,248):{'3_1':0.12},(158,247):{'3_1':0.03},(158,246):{'3_1':0.06},(158,245):{'3_1':0.09,'4_1':0.0},(158,244):{'3_1':0.0},(158,243):{'3_1':0.03},(158,242):{'3_1':0.06,'5_2':0.0},(158,241):{'3_1':0.03},(158,240):{'3_1':0.03},(158,239):{'3_1':0.0},(158,238):{'3_1':0.03},(158,237):{'3_1':0.06},(158,236):{'3_1':0.03},(158,235):{'3_1':0.09},(158,234):{'3_1':0.09},(158,233):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(158,232):{'3_1':0.03},(158,231):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(158,230):{'3_1':0.06,'4_1':0.0},(158,229):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(158,228):{'3_1':0.06},(158,227):{'3_1':0.03},(158,226):{'3_1':0.06,'4_1':0.0},(158,225):{'3_1':0.06,'4_1':0.0},(158,224):{'3_1':0.03,'4_1':0.0},(158,223):{'3_1':0.03},(158,222):{'3_1':0.06,'4_1':0.0},(158,221):{'3_1':0.03,'4_1':0.0},(158,220):{'3_1':0.09},(158,219):{'3_1':0.0,'4_1':0.0},(158,218):{'3_1':0.03},(158,217):{'3_1':0.0,'4_1':0.0},(158,215):{'3_1':0.0},(158,214):{'3_1':0.0},(158,213):{'3_1':0.0},(158,212):{'3_1':0.0},(158,211):{'3_1':0.0},(158,209):{'3_1':0.0},(158,208):{'3_1':0.0},(158,207):{'3_1':0.0},(158,205):{'3_1':0.0},(158,204):{'3_1':0.0},(158,203):{'3_1':0.0},(158,202):{'3_1':0.0},(158,199):{'3_1':0.0},(158,195):{'3_1':0.0},(158,193):{'3_1':0.0},(158,192):{'3_1':0.0},(158,187):{'3_1':0.0},(159,290):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(159,289):{'3_1':0.09,'6_3':0.0,'5_2':0.0},(159,288):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(159,287):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(159,286):{'3_1':0.03,'4_1':0.0},(159,285):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(159,284):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0},(159,283):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0},(159,282):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(159,281):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(159,280):{'3_1':0.18,'4_1':0.0},(159,279):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(159,278):{'3_1':0.09,'4_1':0.0},(159,277):{'3_1':0.15,'6_3':0.0},(159,276):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(159,275):{'3_1':0.15,'4_1':0.0},(159,274):{'3_1':0.15},(159,273):{'3_1':0.12},(159,272):{'3_1':0.12},(159,271):{'3_1':0.09},(159,270):{'3_1':0.12},(159,269):{'3_1':0.12},(159,268):{'3_1':0.06,'4_1':0.0},(159,267):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(159,266):{'3_1':0.12,'4_1':0.0},(159,265):{'3_1':0.09},(159,264):{'3_1':0.12},(159,263):{'3_1':0.06},(159,262):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(159,261):{'3_1':0.03},(159,260):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(159,259):{'3_1':0.09},(159,258):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(159,257):{'3_1':0.12,'5_2':0.0},(159,256):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(159,255):{'3_1':0.09,'4_1':0.0},(159,254):{'3_1':0.06},(159,253):{'3_1':0.12},(159,252):{'3_1':0.15,'5_2':0.0},(159,251):{'3_1':0.12,'6_2':0.0},(159,250):{'3_1':0.09},(159,249):{'3_1':0.12},(159,248):{'3_1':0.06},(159,247):{'3_1':0.15},(159,246):{'3_1':0.06},(159,245):{'3_1':0.06},(159,244):{'3_1':0.09,'5_2':0.0},(159,243):{'3_1':0.06},(159,242):{'3_1':0.06,'5_2':0.0},(159,241):{'3_1':0.03},(159,240):{'3_1':0.03},(159,239):{'3_1':0.09},(159,238):{'3_1':0.03},(159,237):{'3_1':0.03},(159,236):{'3_1':0.03},(159,235):{'3_1':0.06},(159,234):{'3_1':0.03},(159,233):{'3_1':0.03,'5_1':0.0},(159,232):{'3_1':0.03},(159,231):{'3_1':0.12,'4_1':0.0},(159,230):{'3_1':0.06,'4_1':0.0},(159,229):{'3_1':0.09,'4_1':0.0},(159,228):{'3_1':0.06,'4_1':0.0},(159,227):{'3_1':0.09,'4_1':0.0},(159,226):{'3_1':0.06,'4_1':0.0},(159,225):{'3_1':0.12},(159,224):{'3_1':0.06},(159,223):{'3_1':0.06},(159,222):{'3_1':0.09},(159,221):{'3_1':0.03},(159,220):{'3_1':0.03},(159,219):{'3_1':0.03},(159,218):{'3_1':0.03},(159,217):{'3_1':0.0},(159,216):{'3_1':0.0},(159,215):{'3_1':0.03},(159,214):{'3_1':0.0},(159,213):{'3_1':0.0},(159,211):{'3_1':0.0},(159,209):{'3_1':0.03},(159,208):{'3_1':0.0},(159,207):{'3_1':0.0,'5_1':0.0},(159,206):{'3_1':0.03},(159,205):{'3_1':0.0},(159,204):{'3_1':0.0},(159,203):{'3_1':0.0},(159,202):{'3_1':0.0},(159,200):{'3_1':0.0},(159,198):{'3_1':0.0},(159,195):{'3_1':0.0},(159,190):{'3_1':0.0},(159,163):{'3_1':0.0},(160,290):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_3':0.0,'4_1':0.0},(160,289):{'3_1':0.12},(160,288):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_3':0.0},(160,287):{'3_1':0.15,'4_1':0.0},(160,286):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(160,285):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(160,284):{'3_1':0.09,'4_1':0.0},(160,283):{'3_1':0.12,'6_3':0.0},(160,282):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(160,281):{'3_1':0.12,'4_1':0.0},(160,280):{'3_1':0.12,'6_3':0.0,'4_1':0.0},(160,279):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(160,278):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0},(160,277):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(160,276):{'3_1':0.15,'4_1':0.0},(160,275):{'3_1':0.09},(160,274):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(160,273):{'3_1':0.06,'4_1':0.0},(160,272):{'3_1':0.15,'5_2':0.0},(160,271):{'3_1':0.09},(160,270):{'3_1':0.12},(160,269):{'3_1':0.09},(160,268):{'3_1':0.15},(160,267):{'3_1':0.18,'5_2':0.0},(160,266):{'3_1':0.18},(160,265):{'3_1':0.15,'5_2':0.0},(160,264):{'3_1':0.09},(160,263):{'3_1':0.12},(160,262):{'3_1':0.06},(160,261):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(160,260):{'3_1':0.12,'5_1':0.0},(160,259):{'3_1':0.15,'4_1':0.0},(160,258):{'3_1':0.18,'4_1':0.0},(160,257):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(160,256):{'3_1':0.12,'4_1':0.0},(160,255):{'3_1':0.18,'4_1':0.0},(160,254):{'3_1':0.09,'5_2':0.0},(160,253):{'3_1':0.12,'4_1':0.0},(160,252):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(160,251):{'3_1':0.09},(160,250):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(160,249):{'3_1':0.12,'5_1':0.0},(160,248):{'3_1':0.12,'5_2':0.0},(160,247):{'3_1':0.09,'5_1':0.0},(160,246):{'3_1':0.12},(160,245):{'3_1':0.18,'4_1':0.0},(160,244):{'3_1':0.12},(160,243):{'3_1':0.09},(160,242):{'3_1':0.09},(160,241):{'3_1':0.03,'4_1':0.0},(160,240):{'3_1':0.03},(160,239):{'3_1':0.03,'4_1':0.0},(160,238):{'3_1':0.06},(160,237):{'3_1':0.03},(160,236):{'3_1':0.03},(160,235):{'3_1':0.0},(160,234):{'3_1':0.03},(160,233):{'3_1':0.06},(160,232):{'3_1':0.06},(160,231):{'3_1':0.09},(160,230):{'3_1':0.06},(160,229):{'3_1':0.03,'4_1':0.0},(160,228):{'3_1':0.09,'4_1':0.0},(160,227):{'3_1':0.03,'4_1':0.0},(160,226):{'3_1':0.09,'4_1':0.0},(160,225):{'3_1':0.09},(160,224):{'3_1':0.06},(160,223):{'3_1':0.03},(160,222):{'3_1':0.0,'5_2':0.0},(160,221):{'3_1':0.09,'4_1':0.0},(160,220):{'3_1':0.06},(160,219):{'3_1':0.0,'4_1':0.0},(160,218):{'3_1':0.0},(160,217):{'3_1':0.0},(160,216):{'3_1':0.0},(160,215):{'3_1':0.0},(160,214):{'3_1':0.0},(160,209):{'3_1':0.0},(160,208):{'3_1':0.0},(160,207):{'3_1':0.0},(160,206):{'3_1':0.0},(160,205):{'3_1':0.0},(160,203):{'3_1':0.0},(160,202):{'3_1':0.0},(160,196):{'3_1':0.0},(160,192):{'3_1':0.0},(160,191):{'3_1':0.0},(161,290):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(161,289):{'3_1':0.15,'6_3':0.0,'4_1':0.0},(161,288):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_3':0.0},(161,287):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(161,286):{'3_1':0.09,'4_1':0.0},(161,285):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(161,284):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0},(161,283):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(161,282):{'3_1':0.12,'6_3':0.0},(161,281):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(161,280):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'6_2':0.0},(161,279):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(161,278):{'3_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0},(161,277):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(161,276):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(161,275):{'3_1':0.12},(161,274):{'3_1':0.12,'5_1':0.0},(161,273):{'3_1':0.12,'4_1':0.0},(161,272):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(161,271):{'3_1':0.12},(161,270):{'3_1':0.15,'4_1':0.0},(161,269):{'3_1':0.09,'4_1':0.0},(161,268):{'3_1':0.15},(161,267):{'3_1':0.12,'5_2':0.0},(161,266):{'3_1':0.09},(161,265):{'3_1':0.15},(161,264):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(161,263):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(161,262):{'3_1':0.15},(161,261):{'3_1':0.12,'4_1':0.0},(161,260):{'3_1':0.06,'4_1':0.0},(161,259):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(161,258):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(161,257):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(161,256):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(161,255):{'3_1':0.09},(161,254):{'3_1':0.15,'5_2':0.0},(161,253):{'3_1':0.12,'4_1':0.0},(161,252):{'3_1':0.12,'5_2':0.0},(161,251):{'3_1':0.15},(161,250):{'3_1':0.15},(161,249):{'3_1':0.09,'4_1':0.0},(161,248):{'3_1':0.09},(161,247):{'3_1':0.15},(161,246):{'3_1':0.12},(161,245):{'3_1':0.09},(161,244):{'3_1':0.12,'5_2':0.0},(161,243):{'3_1':0.09},(161,242):{'3_1':0.09},(161,241):{'3_1':0.03},(161,240):{'3_1':0.12},(161,239):{'3_1':0.0},(161,238):{'3_1':0.03},(161,237):{'3_1':0.06,'4_1':0.0},(161,236):{'3_1':0.09,'4_1':0.0},(161,235):{'3_1':0.06},(161,234):{'3_1':0.06},(161,233):{'3_1':0.09},(161,232):{'3_1':0.06},(161,231):{'3_1':0.09},(161,230):{'3_1':0.09},(161,229):{'3_1':0.03},(161,228):{'3_1':0.09},(161,227):{'3_1':0.06,'4_1':0.0},(161,226):{'3_1':0.06},(161,225):{'3_1':0.03,'4_1':0.0},(161,224):{'3_1':0.03},(161,223):{'3_1':0.03},(161,222):{'3_1':0.12,'4_1':0.0},(161,221):{'3_1':0.12},(161,220):{'3_1':0.06},(161,219):{'3_1':0.0},(161,218):{'3_1':0.0},(161,217):{'3_1':0.0},(161,215):{'3_1':0.0},(161,214):{'3_1':0.0},(161,213):{'3_1':0.0},(161,211):{'3_1':0.0},(161,210):{'3_1':0.0,'5_1':0.0},(161,209):{'3_1':0.0},(161,208):{'3_1':0.0},(161,207):{'3_1':0.0},(161,206):{'3_1':0.0},(161,205):{'3_1':0.0},(161,204):{'3_1':0.0},(161,203):{'3_1':0.0},(161,202):{'3_1':0.0},(161,200):{'3_1':0.0},(161,198):{'3_1':0.0},(161,195):{'3_1':0.0},(161,194):{'3_1':0.0},(162,290):{'3_1':0.15,'5_2':0.0},(162,289):{'3_1':0.12,'6_3':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(162,288):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(162,287):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(162,286):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(162,285):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(162,284):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(162,283):{'3_1':0.12,'4_1':0.0},(162,282):{'3_1':0.12},(162,281):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(162,280):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(162,279):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(162,278):{'3_1':0.18,'4_1':0.0},(162,277):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(162,276):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(162,275):{'3_1':0.09,'4_1':0.0},(162,274):{'3_1':0.09,'5_2':0.0},(162,273):{'3_1':0.09},(162,272):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(162,271):{'3_1':0.09,'4_1':0.0},(162,270):{'3_1':0.12,'4_1':0.0},(162,269):{'3_1':0.06,'4_1':0.0},(162,268):{'3_1':0.12},(162,267):{'3_1':0.09,'4_1':0.0},(162,266):{'3_1':0.15},(162,265):{'3_1':0.06},(162,264):{'3_1':0.12},(162,263):{'3_1':0.15},(162,262):{'3_1':0.15,'4_1':0.0},(162,261):{'3_1':0.09},(162,260):{'3_1':0.03,'5_1':0.0},(162,259):{'3_1':0.15,'5_2':0.0},(162,258):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(162,257):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(162,256):{'3_1':0.12,'4_1':0.0},(162,255):{'3_1':0.09,'4_1':0.0},(162,254):{'3_1':0.12},(162,253):{'3_1':0.06,'5_2':0.0},(162,252):{'3_1':0.06,'5_2':0.0},(162,251):{'3_1':0.18},(162,250):{'3_1':0.06},(162,249):{'3_1':0.12,'5_2':0.0},(162,248):{'3_1':0.09,'4_1':0.0},(162,247):{'3_1':0.06,'4_1':0.0},(162,246):{'3_1':0.12},(162,245):{'3_1':0.09},(162,244):{'3_1':0.12,'5_2':0.0},(162,243):{'3_1':0.15,'5_2':0.0},(162,242):{'3_1':0.09,'4_1':0.0},(162,241):{'3_1':0.09},(162,240):{'3_1':0.06},(162,239):{'3_1':0.03},(162,238):{'3_1':0.03},(162,237):{'3_1':0.06},(162,236):{'3_1':0.03},(162,235):{'3_1':0.06},(162,234):{'3_1':0.06},(162,233):{'3_1':0.06},(162,232):{'3_1':0.03,'5_1':0.0},(162,231):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(162,230):{'3_1':0.06},(162,229):{'3_1':0.09},(162,228):{'3_1':0.03,'4_1':0.0},(162,227):{'3_1':0.03,'4_1':0.0},(162,226):{'3_1':0.06},(162,225):{'3_1':0.03},(162,224):{'3_1':0.03,'4_1':0.0},(162,223):{'3_1':0.09},(162,222):{'3_1':0.12,'4_1':0.0},(162,221):{'3_1':0.06},(162,220):{'3_1':0.0},(162,219):{'3_1':0.03},(162,218):{'3_1':0.0},(162,217):{'3_1':0.0},(162,216):{'3_1':0.0},(162,215):{'3_1':0.0},(162,214):{'3_1':0.0},(162,213):{'3_1':0.0},(162,212):{'3_1':0.0},(162,210):{'3_1':0.0},(162,209):{'3_1':0.0},(162,208):{'3_1':0.0},(162,207):{'3_1':0.0},(162,204):{'3_1':0.0},(162,203):{'3_1':0.0},(162,202):{'3_1':0.0},(162,201):{'3_1':0.0},(162,199):{'3_1':0.0},(162,198):{'3_1':0.0},(162,196):{'3_1':0.0},(162,192):{'3_1':0.0},(162,183):{'3_1':0.0},(163,290):{'3_1':0.12,'5_1':0.0},(163,289):{'3_1':0.12,'5_1':0.0,'8_20|3_1#3_1':0.0},(163,288):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(163,287):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(163,286):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(163,285):{'3_1':0.12,'4_1':0.0},(163,284):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(163,283):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(163,282):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(163,281):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(163,280):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(163,279):{'3_1':0.18,'5_2':0.0},(163,278):{'3_1':0.18,'6_3':0.0,'5_2':0.0},(163,277):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(163,276):{'3_1':0.09,'4_1':0.0},(163,275):{'3_1':0.12,'5_2':0.0},(163,274):{'3_1':0.09,'4_1':0.0},(163,273):{'3_1':0.09,'4_1':0.0},(163,272):{'3_1':0.12},(163,271):{'3_1':0.09,'4_1':0.0},(163,270):{'3_1':0.06,'8_20|3_1#3_1':0.0},(163,269):{'3_1':0.09,'5_2':0.0},(163,268):{'3_1':0.09},(163,267):{'3_1':0.06,'4_1':0.0},(163,266):{'3_1':0.06,'4_1':0.0},(163,265):{'3_1':0.06},(163,264):{'3_1':0.06,'4_1':0.0},(163,263):{'3_1':0.12},(163,262):{'3_1':0.06},(163,261):{'3_1':0.09,'5_1':0.0},(163,260):{'3_1':0.12,'5_1':0.0},(163,259):{'3_1':0.09,'5_1':0.0},(163,258):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(163,257):{'3_1':0.18,'5_2':0.0},(163,256):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(163,255):{'3_1':0.09,'5_2':0.0},(163,254):{'3_1':0.15,'4_1':0.0},(163,253):{'3_1':0.18,'5_2':0.0},(163,252):{'3_1':0.09},(163,251):{'3_1':0.09,'5_2':0.0},(163,250):{'3_1':0.06},(163,249):{'3_1':0.12},(163,248):{'3_1':0.15,'5_2':0.0},(163,247):{'3_1':0.18,'4_1':0.0},(163,246):{'3_1':0.09},(163,245):{'3_1':0.12},(163,244):{'3_1':0.09},(163,243):{'3_1':0.09},(163,242):{'3_1':0.06},(163,241):{'3_1':0.09},(163,240):{'3_1':0.06},(163,239):{'3_1':0.06},(163,238):{'3_1':0.03},(163,237):{'3_1':0.0},(163,236):{'3_1':0.03},(163,235):{'3_1':0.0},(163,234):{'3_1':0.0},(163,233):{'3_1':0.03},(163,232):{'3_1':0.03},(163,231):{'3_1':0.03},(163,230):{'3_1':0.03},(163,229):{'3_1':0.09},(163,228):{'3_1':0.0},(163,227):{'3_1':0.03,'4_1':0.0},(163,226):{'3_1':0.06},(163,225):{'3_1':0.03},(163,224):{'3_1':0.06},(163,223):{'3_1':0.06,'4_1':0.0},(163,222):{'3_1':0.06},(163,221):{'3_1':0.06,'4_1':0.0},(163,220):{'3_1':0.0,'4_1':0.0},(163,219):{'3_1':0.03,'4_1':0.0},(163,218):{'3_1':0.0},(163,217):{'3_1':0.0,'5_1':0.0},(163,216):{'3_1':0.0},(163,215):{'3_1':0.0},(163,212):{'3_1':0.03},(163,211):{'3_1':0.0},(163,210):{'3_1':0.0,'5_1':0.0},(163,209):{'3_1':0.0},(163,208):{'3_1':0.03},(163,207):{'3_1':0.0},(163,206):{'3_1':0.03},(163,205):{'3_1':0.0},(163,204):{'3_1':0.0},(163,203):{'3_1':0.03},(163,202):{'3_1':0.0},(163,201):{'3_1':0.0},(163,200):{'3_1':0.0},(163,196):{'3_1':0.0},(163,195):{'3_1':0.0},(163,192):{'3_1':0.0},(163,186):{'3_1':0.0},(164,290):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(164,289):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(164,288):{'3_1':0.12,'4_1':0.03},(164,287):{'3_1':0.15},(164,286):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(164,285):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(164,284):{'3_1':0.12,'6_3':0.0},(164,283):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(164,282):{'3_1':0.15,'4_1':0.0},(164,281):{'3_1':0.15,'4_1':0.0},(164,280):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(164,279):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(164,278):{'3_1':0.12,'5_2':0.0},(164,277):{'3_1':0.15},(164,276):{'3_1':0.09,'4_1':0.03},(164,275):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(164,274):{'3_1':0.15,'5_2':0.0},(164,273):{'3_1':0.12,'5_2':0.0},(164,272):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(164,271):{'3_1':0.09},(164,270):{'3_1':0.15},(164,269):{'3_1':0.18},(164,268):{'3_1':0.12},(164,267):{'3_1':0.15},(164,266):{'3_1':0.06},(164,265):{'3_1':0.06,'4_1':0.0},(164,264):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(164,263):{'3_1':0.12},(164,262):{'3_1':0.06,'6_1':0.0},(164,261):{'3_1':0.06},(164,260):{'3_1':0.03},(164,259):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(164,258):{'3_1':0.18,'5_2':0.0},(164,257):{'3_1':0.21,'5_2':0.0},(164,256):{'3_1':0.12,'4_1':0.0},(164,255):{'3_1':0.15,'4_1':0.0},(164,254):{'3_1':0.09,'4_1':0.0},(164,253):{'3_1':0.15},(164,252):{'3_1':0.15},(164,251):{'3_1':0.09},(164,250):{'3_1':0.06},(164,249):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(164,248):{'3_1':0.09},(164,247):{'3_1':0.06},(164,246):{'3_1':0.06},(164,245):{'3_1':0.09,'5_1':0.0},(164,244):{'3_1':0.09},(164,243):{'3_1':0.06,'5_2':0.0},(164,242):{'3_1':0.09},(164,241):{'3_1':0.09},(164,240):{'3_1':0.09},(164,239):{'3_1':0.03},(164,238):{'3_1':0.03},(164,237):{'3_1':0.0},(164,236):{'3_1':0.0},(164,235):{'3_1':0.0},(164,234):{'3_1':0.0},(164,233):{'3_1':0.06,'4_1':0.0},(164,231):{'3_1':0.03,'5_1':0.0},(164,230):{'3_1':0.03},(164,229):{'3_1':0.03},(164,228):{'3_1':0.06},(164,227):{'3_1':0.0},(164,226):{'3_1':0.03,'4_1':0.0},(164,225):{'3_1':0.03,'4_1':0.0},(164,224):{'3_1':0.12},(164,223):{'3_1':0.09},(164,222):{'3_1':0.06},(164,221):{'3_1':0.03},(164,220):{'3_1':0.06},(164,219):{'3_1':0.0},(164,218):{'3_1':0.0},(164,217):{'3_1':0.0},(164,216):{'3_1':0.0},(164,215):{'3_1':0.0,'5_1':0.0},(164,214):{'3_1':0.0},(164,213):{'3_1':0.0},(164,212):{'3_1':0.0,'5_1':0.0},(164,211):{'3_1':0.0},(164,210):{'3_1':0.0},(164,209):{'3_1':0.0},(164,208):{'3_1':0.03},(164,207):{'3_1':0.03},(164,206):{'3_1':0.0},(164,205):{'3_1':0.03},(164,204):{'3_1':0.06},(164,203):{'3_1':0.0},(164,202):{'3_1':0.0},(164,201):{'3_1':0.03},(164,200):{'3_1':0.0},(164,199):{'3_1':0.0},(164,198):{'3_1':0.0},(164,197):{'3_1':0.0},(164,196):{'3_1':0.0},(164,191):{'3_1':0.0},(164,188):{'3_1':0.0},(164,185):{'3_1':0.0},(164,182):{'3_1':0.0},(165,290):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(165,289):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(165,288):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(165,287):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(165,286):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(165,285):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(165,284):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(165,283):{'3_1':0.18,'6_3':0.0},(165,282):{'3_1':0.09,'4_1':0.0},(165,281):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(165,280):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(165,279):{'3_1':0.12,'6_3':0.0,'4_1':0.0,'6_2':0.0},(165,278):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(165,277):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(165,276):{'3_1':0.15,'4_1':0.0},(165,275):{'3_1':0.06},(165,274):{'3_1':0.09,'4_1':0.0},(165,273):{'3_1':0.12,'4_1':0.0},(165,272):{'3_1':0.15},(165,271):{'3_1':0.03,'5_2':0.0},(165,270):{'3_1':0.12},(165,269):{'3_1':0.09},(165,268):{'3_1':0.06},(165,267):{'3_1':0.09},(165,266):{'3_1':0.09,'4_1':0.0},(165,265):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(165,264):{'3_1':0.09,'5_2':0.0},(165,263):{'3_1':0.12,'4_1':0.0},(165,262):{'3_1':0.12},(165,261):{'3_1':0.18,'4_1':0.0},(165,260):{'3_1':0.15,'4_1':0.0},(165,259):{'3_1':0.15},(165,258):{'3_1':0.18,'5_1':0.0},(165,257):{'3_1':0.18,'5_2':0.0},(165,256):{'3_1':0.15,'4_1':0.0},(165,255):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(165,254):{'3_1':0.15,'4_1':0.0},(165,253):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(165,252):{'3_1':0.06,'5_2':0.0},(165,251):{'3_1':0.09,'4_1':0.0},(165,250):{'3_1':0.15,'4_1':0.0},(165,249):{'3_1':0.12,'4_1':0.0},(165,248):{'3_1':0.12},(165,247):{'3_1':0.09,'5_2':0.0},(165,246):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(165,245):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(165,244):{'3_1':0.06},(165,243):{'3_1':0.09},(165,242):{'3_1':0.12},(165,241):{'3_1':0.06},(165,240):{'3_1':0.06,'5_2':0.0},(165,239):{'3_1':0.03},(165,238):{'3_1':0.03},(165,237):{'3_1':0.03},(165,236):{'3_1':0.03},(165,235):{'3_1':0.0},(165,234):{'3_1':0.03},(165,233):{'3_1':0.06},(165,231):{'3_1':0.06},(165,230):{'3_1':0.03},(165,229):{'3_1':0.0},(165,228):{'3_1':0.0,'4_1':0.0},(165,227):{'3_1':0.03},(165,226):{'3_1':0.03},(165,225):{'3_1':0.03},(165,224):{'3_1':0.06,'4_1':0.0},(165,223):{'3_1':0.06,'4_1':0.0},(165,222):{'3_1':0.0},(165,221):{'3_1':0.06},(165,220):{'3_1':0.0},(165,219):{'3_1':0.0},(165,218):{'3_1':0.03},(165,217):{'3_1':0.0},(165,216):{'3_1':0.03},(165,215):{'3_1':0.0},(165,214):{'3_1':0.0},(165,213):{'3_1':0.0},(165,212):{'3_1':0.0},(165,211):{'3_1':0.03},(165,210):{'3_1':0.0,'5_1':0.0},(165,209):{'3_1':0.03},(165,208):{'3_1':0.03},(165,207):{'3_1':0.0},(165,206):{'3_1':0.06},(165,205):{'3_1':0.03},(165,204):{'3_1':0.0},(165,203):{'3_1':0.0},(165,202):{'3_1':0.03},(165,201):{'3_1':0.03},(165,200):{'3_1':0.0},(165,199):{'3_1':0.0},(165,198):{'3_1':0.0},(165,196):{'3_1':0.0},(165,194):{'3_1':0.0},(165,188):{'3_1':0.0},(165,185):{'3_1':0.0},(165,182):{'3_1':0.0},(166,290):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(166,289):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(166,288):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(166,287):{'3_1':0.09,'6_3':0.0},(166,286):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(166,285):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(166,284):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(166,283):{'3_1':0.12,'4_1':0.0},(166,282):{'3_1':0.18,'6_3':0.0},(166,281):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(166,280):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(166,279):{'3_1':0.12,'6_2':0.0,'6_3':0.0},(166,278):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(166,277):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(166,276):{'3_1':0.12,'6_3':0.0},(166,275):{'3_1':0.09,'4_1':0.0},(166,274):{'3_1':0.21,'4_1':0.0},(166,273):{'3_1':0.15,'4_1':0.0},(166,272):{'3_1':0.12},(166,271):{'3_1':0.15},(166,270):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(166,269):{'3_1':0.15},(166,268):{'3_1':0.21},(166,267):{'3_1':0.18,'4_1':0.0},(166,266):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(166,265):{'3_1':0.18},(166,264):{'3_1':0.12,'4_1':0.0},(166,263):{'3_1':0.12,'5_2':0.0},(166,262):{'3_1':0.15},(166,261):{'3_1':0.15,'4_1':0.0},(166,260):{'3_1':0.15},(166,259):{'3_1':0.18,'6_1':0.0},(166,258):{'3_1':0.24,'5_2':0.0,'5_1':0.0},(166,257):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(166,256):{'3_1':0.12,'5_1':0.0},(166,255):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(166,254):{'3_1':0.12,'4_1':0.0},(166,253):{'3_1':0.09},(166,252):{'3_1':0.15,'5_2':0.0},(166,251):{'3_1':0.15,'4_1':0.0},(166,250):{'3_1':0.12,'4_1':0.0},(166,249):{'3_1':0.06},(166,248):{'3_1':0.09,'5_2':0.0},(166,247):{'3_1':0.12,'5_2':0.0},(166,246):{'3_1':0.15},(166,245):{'3_1':0.12,'5_1':0.0},(166,244):{'3_1':0.12},(166,243):{'3_1':0.06},(166,242):{'3_1':0.15},(166,241):{'3_1':0.09},(166,240):{'3_1':0.06},(166,239):{'3_1':0.03},(166,238):{'3_1':0.03},(166,237):{'3_1':0.0},(166,236):{'3_1':0.03},(166,235):{'3_1':0.0},(166,234):{'3_1':0.03},(166,233):{'3_1':0.0},(166,232):{'3_1':0.0},(166,231):{'3_1':0.03},(166,230):{'3_1':0.0},(166,229):{'3_1':0.0},(166,228):{'3_1':0.0},(166,227):{'3_1':0.0},(166,226):{'3_1':0.03},(166,225):{'3_1':0.03,'4_1':0.0},(166,224):{'3_1':0.03},(166,223):{'3_1':0.09,'4_1':0.0},(166,222):{'3_1':0.03},(166,221):{'3_1':0.0},(166,220):{'3_1':0.0},(166,219):{'3_1':0.0},(166,218):{'3_1':0.0},(166,216):{'3_1':0.0},(166,215):{'3_1':0.0},(166,214):{'3_1':0.03},(166,213):{'3_1':0.0},(166,212):{'3_1':0.03},(166,211):{'3_1':0.03},(166,209):{'3_1':0.0},(166,208):{'3_1':0.03},(166,207):{'3_1':0.03},(166,206):{'3_1':0.03},(166,205):{'3_1':0.03},(166,204):{'3_1':0.03},(166,203):{'3_1':0.0},(166,202):{'3_1':0.0},(166,201):{'3_1':0.0},(166,200):{'3_1':0.0},(166,199):{'3_1':0.0},(166,197):{'3_1':0.0},(166,196):{'3_1':0.0},(166,189):{'3_1':0.0},(166,188):{'3_1':0.0},(166,186):{'3_1':0.0},(166,185):{'3_1':0.0},(166,183):{'3_1':0.0},(166,182):{'3_1':0.0},(167,290):{'3_1':0.15,'4_1':0.0,'7_1':0.0},(167,289):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(167,288):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(167,287):{'3_1':0.18,'4_1':0.0},(167,286):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_3':0.0},(167,285):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(167,284):{'3_1':0.15,'6_3':0.0},(167,283):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(167,282):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(167,281):{'3_1':0.12,'6_3':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(167,280):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(167,279):{'3_1':0.24,'4_1':0.0},(167,278):{'3_1':0.09,'4_1':0.0},(167,277):{'3_1':0.09,'5_2':0.0},(167,276):{'3_1':0.12},(167,275):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(167,274):{'3_1':0.12,'4_1':0.0},(167,273):{'3_1':0.09,'4_1':0.0},(167,272):{'3_1':0.15,'4_1':0.0},(167,271):{'3_1':0.12},(167,270):{'3_1':0.15,'4_1':0.0},(167,269):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(167,268):{'3_1':0.12},(167,267):{'3_1':0.03,'4_1':0.0},(167,266):{'3_1':0.12,'4_1':0.0},(167,265):{'3_1':0.12,'4_1':0.0},(167,264):{'3_1':0.09,'4_1':0.0},(167,263):{'3_1':0.21,'5_2':0.0},(167,262):{'3_1':0.09},(167,261):{'3_1':0.12,'4_1':0.0},(167,260):{'3_1':0.15},(167,259):{'3_1':0.15,'5_1':0.0},(167,258):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(167,257):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(167,256):{'3_1':0.12,'5_1':0.0},(167,255):{'3_1':0.15,'5_2':0.0},(167,254):{'3_1':0.12},(167,253):{'3_1':0.06,'4_1':0.0},(167,252):{'3_1':0.15,'5_2':0.0},(167,251):{'3_1':0.09},(167,250):{'3_1':0.15,'5_2':0.0},(167,249):{'3_1':0.15,'4_1':0.0},(167,248):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(167,247):{'3_1':0.09},(167,246):{'3_1':0.12,'5_2':0.0},(167,245):{'3_1':0.09,'5_2':0.0},(167,244):{'3_1':0.18},(167,243):{'3_1':0.09},(167,242):{'3_1':0.15},(167,241):{'3_1':0.03},(167,240):{'3_1':0.06},(167,239):{'3_1':0.0},(167,238):{'3_1':0.03},(167,237):{'3_1':0.03},(167,236):{'3_1':0.03},(167,235):{'3_1':0.03,'5_1':0.0},(167,234):{'3_1':0.03},(167,233):{'3_1':0.0},(167,232):{'3_1':0.0},(167,231):{'3_1':0.0},(167,230):{'3_1':0.03},(167,229):{'3_1':0.0},(167,228):{'3_1':0.03},(167,227):{'3_1':0.03,'4_1':0.0},(167,226):{'3_1':0.0},(167,225):{'3_1':0.0},(167,224):{'3_1':0.03},(167,223):{'3_1':0.0},(167,222):{'3_1':0.06},(167,221):{'3_1':0.0},(167,220):{'3_1':0.0},(167,219):{'3_1':0.03},(167,218):{'3_1':0.03},(167,217):{'3_1':0.0},(167,216):{'3_1':0.0},(167,215):{'3_1':0.03},(167,213):{'3_1':0.0},(167,212):{'3_1':0.03},(167,211):{'5_1':0.0},(167,210):{'3_1':0.0},(167,209):{'3_1':0.03},(167,208):{'3_1':0.0},(167,207):{'3_1':0.03},(167,206):{'3_1':0.0},(167,205):{'3_1':0.03},(167,204):{'3_1':0.0},(167,203):{'3_1':0.0},(167,202):{'3_1':0.0},(167,201):{'3_1':0.0},(167,200):{'3_1':0.0},(167,199):{'3_1':0.0},(167,197):{'3_1':0.0},(167,196):{'3_1':0.0},(167,186):{'3_1':0.0},(167,182):{'3_1':0.0},(167,179):{'3_1':0.0},(168,290):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(168,289):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(168,288):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(168,287):{'3_1':0.15,'4_1':0.0},(168,286):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(168,285):{'3_1':0.15},(168,284):{'3_1':0.09,'4_1':0.0},(168,283):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'6_3':0.0},(168,282):{'3_1':0.15,'4_1':0.03,'6_3':0.0},(168,281):{'3_1':0.15,'4_1':0.0},(168,280):{'3_1':0.21,'4_1':0.03},(168,279):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(168,278):{'3_1':0.12,'4_1':0.0},(168,277):{'3_1':0.21,'4_1':0.03},(168,276):{'3_1':0.18,'5_2':0.0},(168,275):{'3_1':0.15,'4_1':0.0},(168,274):{'3_1':0.24},(168,273):{'3_1':0.18,'4_1':0.0},(168,272):{'3_1':0.21,'4_1':0.0},(168,271):{'3_1':0.15},(168,270):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(168,269):{'3_1':0.15},(168,268):{'3_1':0.12,'4_1':0.0},(168,267):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(168,266):{'3_1':0.18,'4_1':0.0},(168,265):{'3_1':0.12},(168,264):{'3_1':0.18,'4_1':0.0},(168,263):{'3_1':0.18,'5_2':0.0},(168,262):{'3_1':0.15,'4_1':0.0},(168,261):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(168,260):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(168,259):{'3_1':0.21,'5_2':0.03,'5_1':0.0},(168,258):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(168,257):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(168,256):{'3_1':0.18},(168,255):{'3_1':0.18,'4_1':0.0},(168,254):{'3_1':0.18},(168,253):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(168,252):{'3_1':0.18},(168,251):{'3_1':0.24,'5_2':0.0},(168,250):{'3_1':0.15},(168,249):{'3_1':0.09},(168,248):{'3_1':0.18,'5_2':0.0},(168,247):{'3_1':0.12},(168,246):{'3_1':0.12,'5_1':0.0},(168,245):{'3_1':0.12},(168,244):{'3_1':0.18},(168,243):{'3_1':0.09},(168,242):{'3_1':0.06},(168,241):{'3_1':0.03},(168,240):{'3_1':0.06},(168,239):{'3_1':0.06},(168,238):{'3_1':0.0},(168,237):{'3_1':0.0},(168,236):{'3_1':0.0},(168,235):{'3_1':0.0},(168,234):{'3_1':0.0},(168,233):{'3_1':0.0},(168,232):{'3_1':0.0},(168,231):{'3_1':0.0},(168,230):{'3_1':0.0},(168,229):{'3_1':0.03},(168,228):{'3_1':0.0},(168,227):{'3_1':0.03},(168,226):{'3_1':0.0},(168,225):{'3_1':0.03},(168,224):{'3_1':0.0},(168,223):{'3_1':0.0},(168,222):{'3_1':0.0},(168,221):{'3_1':0.0},(168,220):{'3_1':0.0},(168,219):{'3_1':0.0},(168,218):{'3_1':0.0},(168,217):{'3_1':0.0},(168,216):{'3_1':0.0},(168,215):{'3_1':0.0},(168,213):{'3_1':0.0},(168,211):{'3_1':0.0},(168,210):{'5_1':0.0},(168,209):{'3_1':0.0},(168,208):{'3_1':0.03},(168,207):{'3_1':0.0},(168,206):{'3_1':0.0},(168,205):{'3_1':0.0},(168,204):{'3_1':0.0},(168,202):{'3_1':0.0},(168,201):{'3_1':0.0},(168,200):{'3_1':0.0},(168,199):{'3_1':0.0},(168,198):{'3_1':0.0},(168,196):{'3_1':0.0},(168,189):{'3_1':0.0},(168,187):{'3_1':0.0},(168,185):{'3_1':0.0},(168,183):{'3_1':0.0},(168,181):{'3_1':0.0},(169,290):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(169,289):{'3_1':0.12},(169,288):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(169,287):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(169,286):{'3_1':0.06,'4_1':0.0},(169,285):{'3_1':0.12,'4_1':0.0},(169,284):{'3_1':0.15,'4_1':0.0},(169,283):{'3_1':0.15,'6_3':0.0},(169,282):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(169,281):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(169,280):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(169,279):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(169,278):{'3_1':0.15,'4_1':0.0},(169,277):{'3_1':0.09,'4_1':0.0},(169,276):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'5_2':0.0},(169,275):{'3_1':0.09,'4_1':0.0},(169,274):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(169,273):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(169,272):{'3_1':0.09,'5_2':0.0},(169,271):{'3_1':0.09,'4_1':0.0},(169,270):{'3_1':0.15,'5_1':0.0},(169,269):{'3_1':0.09,'5_1':0.0},(169,268):{'3_1':0.12,'4_1':0.0},(169,267):{'3_1':0.12},(169,266):{'3_1':0.18,'4_1':0.0},(169,265):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(169,264):{'3_1':0.12},(169,263):{'3_1':0.12},(169,262):{'3_1':0.09,'4_1':0.0},(169,261):{'3_1':0.12},(169,260):{'3_1':0.12,'5_1':0.0},(169,259):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(169,258):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(169,257):{'3_1':0.15,'5_1':0.0},(169,256):{'3_1':0.12},(169,255):{'3_1':0.06,'4_1':0.0},(169,254):{'3_1':0.15,'5_2':0.0},(169,253):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(169,252):{'3_1':0.09,'4_1':0.0},(169,251):{'3_1':0.15,'4_1':0.0},(169,250):{'3_1':0.12,'4_1':0.0},(169,249):{'3_1':0.15},(169,248):{'3_1':0.12},(169,247):{'3_1':0.12},(169,246):{'3_1':0.21},(169,245):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(169,244):{'3_1':0.12},(169,243):{'3_1':0.12},(169,242):{'3_1':0.15},(169,241):{'3_1':0.06},(169,240):{'3_1':0.0,'4_1':0.0},(169,239):{'3_1':0.03},(169,238):{'3_1':0.0},(169,237):{'3_1':0.0},(169,236):{'3_1':0.0},(169,235):{'3_1':0.0},(169,234):{'3_1':0.0},(169,233):{'3_1':0.0},(169,232):{'3_1':0.03},(169,231):{'3_1':0.0},(169,230):{'3_1':0.0},(169,229):{'3_1':0.06},(169,228):{'3_1':0.0},(169,227):{'3_1':0.0},(169,226):{'3_1':0.0},(169,225):{'3_1':0.0},(169,224):{'3_1':0.03},(169,223):{'3_1':0.0},(169,222):{'3_1':0.0},(169,221):{'3_1':0.0},(169,220):{'3_1':0.03},(169,218):{'3_1':0.0},(169,217):{'3_1':0.0},(169,216):{'3_1':0.0},(169,215):{'3_1':0.0},(169,214):{'3_1':0.0},(169,213):{'3_1':0.0},(169,212):{'3_1':0.0},(169,211):{'3_1':0.0},(169,210):{'3_1':0.03},(169,208):{'3_1':0.0},(169,207):{'3_1':0.03},(169,205):{'3_1':0.0,'5_1':0.0},(169,204):{'3_1':0.0,'5_1':0.0},(169,203):{'3_1':0.0},(169,201):{'3_1':0.0},(169,200):{'3_1':0.0},(169,199):{'3_1':0.0},(169,198):{'3_1':0.0},(169,196):{'3_1':0.0},(169,189):{'3_1':0.0},(169,187):{'3_1':0.0},(169,186):{'3_1':0.0},(169,184):{'3_1':0.0},(169,183):{'3_1':0.0},(169,179):{'3_1':0.0},(170,290):{'3_1':0.09},(170,289):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(170,288):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(170,287):{'3_1':0.03,'4_1':0.0},(170,286):{'3_1':0.15,'4_1':0.0},(170,285):{'3_1':0.09,'4_1':0.0},(170,284):{'3_1':0.12,'4_1':0.0},(170,283):{'3_1':0.09,'5_2':0.0},(170,282):{'3_1':0.06,'6_2':0.0},(170,281):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(170,280):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(170,279):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(170,278):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(170,277):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'5_2':0.0},(170,276):{'3_1':0.09},(170,275):{'3_1':0.12,'4_1':0.0},(170,274):{'3_1':0.09,'4_1':0.0},(170,273):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(170,272):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(170,271):{'3_1':0.15},(170,270):{'3_1':0.06,'4_1':0.0},(170,269):{'3_1':0.15,'4_1':0.0},(170,268):{'3_1':0.09,'5_2':0.0},(170,267):{'3_1':0.15},(170,266):{'3_1':0.09},(170,265):{'3_1':0.09,'4_1':0.0},(170,264):{'3_1':0.15},(170,263):{'3_1':0.12,'4_1':0.0},(170,262):{'3_1':0.09,'4_1':0.0},(170,261):{'3_1':0.15,'5_1':0.0},(170,260):{'3_1':0.15},(170,259):{'3_1':0.15,'5_2':0.0},(170,258):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(170,257):{'3_1':0.09,'4_1':0.0},(170,256):{'3_1':0.09,'4_1':0.0},(170,255):{'3_1':0.15,'4_1':0.0},(170,254):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(170,253):{'3_1':0.12},(170,252):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(170,251):{'3_1':0.06,'4_1':0.0},(170,250):{'3_1':0.12,'4_1':0.0},(170,249):{'3_1':0.15,'4_1':0.0},(170,248):{'3_1':0.12,'4_1':0.0},(170,247):{'3_1':0.12,'4_1':0.0},(170,246):{'3_1':0.15},(170,245):{'3_1':0.09,'4_1':0.0},(170,244):{'3_1':0.06},(170,243):{'3_1':0.09},(170,242):{'3_1':0.12},(170,241):{'3_1':0.06},(170,240):{'3_1':0.06},(170,239):{'3_1':0.03},(170,238):{'3_1':0.03},(170,237):{'3_1':0.0},(170,236):{'3_1':0.0},(170,235):{'3_1':0.0},(170,234):{'3_1':0.0},(170,233):{'3_1':0.0},(170,232):{'3_1':0.0},(170,231):{'3_1':0.0},(170,230):{'3_1':0.0},(170,229):{'3_1':0.03},(170,228):{'3_1':0.0},(170,227):{'3_1':0.03},(170,225):{'3_1':0.0},(170,223):{'3_1':0.0},(170,222):{'3_1':0.0},(170,221):{'3_1':0.03},(170,220):{'3_1':0.0},(170,219):{'3_1':0.0},(170,218):{'3_1':0.0},(170,217):{'3_1':0.0},(170,215):{'3_1':0.0},(170,214):{'3_1':0.0},(170,213):{'3_1':0.0},(170,211):{'3_1':0.0},(170,210):{'3_1':0.0},(170,209):{'3_1':0.0},(170,208):{'3_1':0.0},(170,207):{'3_1':0.0},(170,206):{'3_1':0.0},(170,205):{'3_1':0.0},(170,203):{'3_1':0.0},(170,202):{'3_1':0.0},(170,200):{'3_1':0.0},(170,196):{'3_1':0.0},(170,186):{'3_1':0.0},(170,185):{'3_1':0.0},(170,184):{'3_1':0.0},(170,183):{'3_1':0.0},(171,290):{'3_1':0.03},(171,289):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(171,288):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(171,287):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(171,286):{'3_1':0.03,'6_1':0.0},(171,285):{'3_1':0.06,'4_1':0.03},(171,284):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(171,283):{'3_1':0.06,'4_1':0.0},(171,282):{'3_1':0.06,'4_1':0.0},(171,281):{'3_1':0.03,'4_1':0.0},(171,280):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(171,279):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(171,278):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(171,277):{'3_1':0.12,'4_1':0.0,'7_7':0.0},(171,276):{'3_1':0.06},(171,275):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(171,274):{'3_1':0.03,'4_1':0.0},(171,273):{'3_1':0.03,'4_1':0.0},(171,272):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(171,271):{'3_1':0.09},(171,270):{'3_1':0.09},(171,269):{'3_1':0.09},(171,268):{'3_1':0.06,'4_1':0.0},(171,267):{'3_1':0.09},(171,266):{'3_1':0.06,'4_1':0.0},(171,265):{'3_1':0.09},(171,264):{'3_1':0.06,'6_1':0.0},(171,263):{'3_1':0.03},(171,262):{'3_1':0.03,'5_1':0.0},(171,261):{'3_1':0.09},(171,260):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(171,259):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(171,258):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(171,257):{'3_1':0.06},(171,256):{'3_1':0.09,'5_2':0.0},(171,255):{'3_1':0.03},(171,254):{'3_1':0.03,'4_1':0.0},(171,253):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(171,252):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(171,251):{'3_1':0.06,'5_1':0.0},(171,250):{'3_1':0.09,'4_1':0.0},(171,249):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(171,248):{'3_1':0.09},(171,247):{'3_1':0.03},(171,246):{'3_1':0.06,'4_1':0.0},(171,245):{'3_1':0.06,'4_1':0.0},(171,244):{'3_1':0.09},(171,243):{'3_1':0.09},(171,242):{'3_1':0.09},(171,241):{'3_1':0.03},(171,240):{'3_1':0.0},(171,239):{'3_1':0.0},(171,237):{'3_1':0.0},(171,235):{'3_1':0.0},(171,234):{'3_1':0.0},(171,233):{'3_1':0.0},(171,232):{'3_1':0.03},(171,231):{'3_1':0.0},(171,230):{'3_1':0.0},(171,229):{'3_1':0.0},(171,228):{'3_1':0.0},(171,227):{'3_1':0.0},(171,226):{'3_1':0.0},(171,225):{'3_1':0.0},(171,224):{'3_1':0.0},(171,223):{'3_1':0.0},(171,222):{'3_1':0.0},(171,221):{'3_1':0.0},(171,220):{'3_1':0.0},(171,219):{'3_1':0.0},(171,218):{'3_1':0.0},(171,214):{'3_1':0.0},(171,211):{'3_1':0.0},(171,210):{'3_1':0.03},(171,209):{'3_1':0.0},(171,208):{'3_1':0.0},(171,207):{'3_1':0.0},(171,206):{'3_1':0.0},(171,205):{'3_1':0.0},(171,204):{'3_1':0.0},(171,202):{'3_1':0.0},(171,179):{'3_1':0.0},(171,175):{'3_1':0.0},(172,290):{'3_1':0.03},(172,289):{'3_1':0.03,'4_1':0.0},(172,288):{'3_1':0.06,'4_1':0.03},(172,287):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(172,286):{'3_1':0.06,'6_3':0.0},(172,285):{'3_1':0.03,'4_1':0.0},(172,284):{'3_1':0.03,'4_1':0.0},(172,283):{'3_1':0.09},(172,282):{'3_1':0.06,'4_1':0.0},(172,281):{'3_1':0.03,'4_1':0.0},(172,280):{'3_1':0.03,'5_2':0.0},(172,279):{'3_1':0.09,'4_1':0.0},(172,278):{'3_1':0.06,'4_1':0.0},(172,277):{'3_1':0.09,'4_1':0.0},(172,276):{'3_1':0.06,'4_1':0.0},(172,275):{'3_1':0.03,'5_2':0.0},(172,274):{'3_1':0.03},(172,273):{'3_1':0.0,'4_1':0.0},(172,272):{'3_1':0.03},(172,271):{'3_1':0.0,'4_1':0.0},(172,270):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(172,269):{'3_1':0.0,'4_1':0.0},(172,268):{'3_1':0.03},(172,267):{'3_1':0.03},(172,266):{'3_1':0.03},(172,265):{'3_1':0.0,'4_1':0.0},(172,264):{'3_1':0.03,'5_2':0.0},(172,263):{'3_1':0.03},(172,262):{'3_1':0.03},(172,261):{'3_1':0.0},(172,260):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(172,259):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(172,258):{'3_1':0.03,'5_1':0.0},(172,257):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(172,256):{'3_1':0.03,'4_1':0.0},(172,255):{'3_1':0.06,'4_1':0.0},(172,254):{'3_1':0.0,'4_1':0.0},(172,253):{'3_1':0.0,'4_1':0.0},(172,252):{'3_1':0.06},(172,251):{'3_1':0.03,'4_1':0.0},(172,250):{'3_1':0.06,'4_1':0.0},(172,249):{'3_1':0.0},(172,248):{'3_1':0.03},(172,247):{'3_1':0.0},(172,246):{'3_1':0.06,'4_1':0.0},(172,245):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(172,244):{'3_1':0.03,'4_1':0.0},(172,243):{'3_1':0.0},(172,242):{'3_1':0.0},(172,241):{'3_1':0.0,'4_1':0.0},(172,240):{'3_1':0.0},(172,239):{'3_1':0.0},(172,238):{'3_1':0.0},(172,236):{'3_1':0.0},(172,235):{'3_1':0.0},(172,234):{'3_1':0.0},(172,233):{'3_1':0.0},(172,229):{'3_1':0.0},(172,228):{'3_1':0.0},(172,227):{'3_1':0.0},(172,226):{'3_1':0.03},(172,225):{'3_1':0.0},(172,224):{'3_1':0.0},(172,223):{'3_1':0.0},(172,222):{'3_1':0.0},(172,219):{'3_1':0.0},(172,218):{'3_1':0.0},(172,212):{'3_1':0.0},(172,211):{'3_1':0.0},(172,210):{'3_1':0.0},(172,209):{'3_1':0.0},(172,204):{'3_1':0.0},(172,202):{'3_1':0.0},(173,290):{'5_1':0.0},(173,289):{'3_1':0.0},(173,288):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(173,287):{'3_1':0.03},(173,286):{'3_1':0.03,'6_3':0.0},(173,285):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(173,284):{'3_1':0.0,'4_1':0.0},(173,283):{'3_1':0.06,'4_1':0.0},(173,282):{'3_1':0.0},(173,281):{'3_1':0.03,'4_1':0.0},(173,280):{'3_1':0.03},(173,279):{'3_1':0.06,'4_1':0.03},(173,278):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(173,277):{'3_1':0.03,'4_1':0.0},(173,276):{'3_1':0.0,'4_1':0.0},(173,275):{'3_1':0.03},(173,274):{'3_1':0.0,'4_1':0.0},(173,273):{'3_1':0.03},(173,272):{'3_1':0.06,'4_1':0.0},(173,271):{'3_1':0.0,'4_1':0.0},(173,270):{'3_1':0.03,'4_1':0.0},(173,269):{'3_1':0.0,'4_1':0.0},(173,268):{'3_1':0.0},(173,267):{'3_1':0.0},(173,266):{'3_1':0.0},(173,265):{'3_1':0.0,'4_1':0.0},(173,264):{'3_1':0.0},(173,263):{'3_1':0.0},(173,262):{'3_1':0.0},(173,261):{'3_1':0.0},(173,260):{'3_1':0.0},(173,259):{'3_1':0.03,'5_2':0.0},(173,258):{'3_1':0.09},(173,257):{'3_1':0.03},(173,256):{'3_1':0.0},(173,255):{'3_1':0.0},(173,254):{'3_1':0.0},(173,253):{'4_1':0.0},(173,252):{'3_1':0.03,'4_1':0.0},(173,251):{'3_1':0.0},(173,250):{'3_1':0.0,'5_1':0.0},(173,248):{'3_1':0.0},(173,247):{'3_1':0.0},(173,246):{'3_1':0.03},(173,245):{'3_1':0.03},(173,244):{'3_1':0.0},(173,243):{'3_1':0.0},(173,242):{'3_1':0.0},(173,241):{'3_1':0.0},(173,240):{'3_1':0.0},(173,239):{'3_1':0.0},(173,237):{'3_1':0.0},(173,236):{'3_1':0.0},(173,235):{'3_1':0.0,'4_1':0.0},(173,234):{'3_1':0.0},(173,233):{'3_1':0.0},(173,232):{'3_1':0.0},(173,231):{'3_1':0.03},(173,228):{'3_1':0.0},(173,227):{'3_1':0.0},(173,226):{'3_1':0.0},(173,224):{'3_1':0.0},(173,223):{'3_1':0.0},(173,222):{'3_1':0.0},(173,221):{'3_1':0.0},(173,218):{'3_1':0.0},(173,217):{'3_1':0.0},(173,216):{'3_1':0.0},(173,213):{'3_1':0.0},(173,211):{'3_1':0.0},(173,210):{'3_1':0.0},(173,207):{'3_1':0.0,'5_1':0.0},(173,205):{'3_1':0.0},(173,203):{'3_1':0.0},(173,201):{'3_1':0.0},(174,290):{'3_1':0.0},(174,289):{'3_1':0.0},(174,288):{'3_1':0.09},(174,287):{'3_1':0.03},(174,286):{'3_1':0.03},(174,285):{'3_1':0.0},(174,284):{'3_1':0.0},(174,283):{'3_1':0.03,'5_1':0.0},(174,282):{'3_1':0.03,'5_2':0.0},(174,281):{'3_1':0.03},(174,280):{'3_1':0.03},(174,279):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(174,278):{'3_1':0.03},(174,277):{'3_1':0.03},(174,276):{'3_1':0.0},(174,275):{'3_1':0.03},(174,274):{'3_1':0.06},(174,273):{'3_1':0.03},(174,272):{'3_1':0.03},(174,271):{'3_1':0.0},(174,268):{'3_1':0.0},(174,267):{'3_1':0.03},(174,266):{'3_1':0.0},(174,264):{'3_1':0.0},(174,263):{'3_1':0.0},(174,262):{'3_1':0.03},(174,261):{'3_1':0.06},(174,260):{'3_1':0.0},(174,259):{'3_1':0.06},(174,258):{'3_1':0.03},(174,257):{'3_1':0.03},(174,256):{'3_1':0.0},(174,255):{'3_1':0.0},(174,254):{'3_1':0.0},(174,253):{'3_1':0.0,'5_2':0.0},(174,252):{'3_1':0.0},(174,251):{'3_1':0.03,'5_2':0.0},(174,250):{'3_1':0.03},(174,249):{'3_1':0.03},(174,248):{'3_1':0.0},(174,247):{'3_1':0.0},(174,246):{'3_1':0.0},(174,245):{'3_1':0.03},(174,243):{'3_1':0.0},(174,242):{'3_1':0.0},(174,241):{'3_1':0.0},(174,240):{'3_1':0.0},(174,239):{'3_1':0.0},(174,238):{'3_1':0.0},(174,236):{'3_1':0.0},(174,235):{'3_1':0.0},(174,234):{'3_1':0.0},(174,233):{'3_1':0.0},(174,232):{'3_1':0.0},(174,230):{'3_1':0.0},(174,229):{'3_1':0.0},(174,228):{'3_1':0.0},(174,227):{'3_1':0.0},(174,226):{'3_1':0.0},(174,225):{'3_1':0.0},(174,224):{'3_1':0.0},(174,223):{'3_1':0.0},(174,222):{'3_1':0.0},(174,221):{'3_1':0.0},(174,219):{'3_1':0.0},(174,218):{'3_1':0.0},(174,217):{'3_1':0.0},(174,216):{'3_1':0.0},(174,212):{'3_1':0.0},(174,211):{'3_1':0.0},(174,209):{'5_1':0.0},(174,207):{'3_1':0.0},(174,205):{'3_1':0.0},(174,204):{'3_1':0.0},(174,203):{'3_1':0.0},(174,202):{'3_1':0.0},(174,201):{'3_1':0.0},(175,290):{'3_1':0.0,'4_1':0.0},(175,289):{'3_1':0.03,'4_1':0.0},(175,288):{'3_1':0.03,'5_1':0.0},(175,287):{'3_1':0.03,'5_1':0.0},(175,286):{'3_1':0.03},(175,285):{'3_1':0.0},(175,284):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(175,283):{'3_1':0.03},(175,282):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(175,281):{'3_1':0.06},(175,280):{'3_1':0.03},(175,279):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(175,278):{'3_1':0.09,'5_2':0.0},(175,277):{'3_1':0.06,'4_1':0.0},(175,276):{'3_1':0.06,'5_2':0.0},(175,275):{'3_1':0.0,'5_2':0.0},(175,274):{'3_1':0.06},(175,273):{'3_1':0.0},(175,272):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(175,271):{'3_1':0.03,'4_1':0.0},(175,270):{'3_1':0.03},(175,269):{'3_1':0.03,'5_1':0.0},(175,268):{'3_1':0.0,'5_2':0.0},(175,267):{'3_1':0.03},(175,266):{'3_1':0.0},(175,265):{'3_1':0.0,'4_1':0.0},(175,264):{'3_1':0.0},(175,263):{'3_1':0.03,'5_2':0.0},(175,262):{'3_1':0.0},(175,261):{'3_1':0.0},(175,260):{'3_1':0.0},(175,259):{'3_1':0.03},(175,258):{'3_1':0.06,'5_2':0.0},(175,257):{'3_1':0.03},(175,256):{'3_1':0.03},(175,255):{'3_1':0.0,'4_1':0.0},(175,254):{'3_1':0.03},(175,253):{'3_1':0.03},(175,252):{'3_1':0.0,'5_2':0.0},(175,251):{'3_1':0.03},(175,250):{'3_1':0.03},(175,249):{'3_1':0.03,'4_1':0.0},(175,248):{'3_1':0.0},(175,247):{'3_1':0.03},(175,246):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(175,245):{'3_1':0.0},(175,244):{'3_1':0.0,'4_1':0.0},(175,243):{'3_1':0.0},(175,242):{'3_1':0.0},(175,241):{'3_1':0.0},(175,240):{'3_1':0.0},(175,239):{'3_1':0.0},(175,237):{'3_1':0.0},(175,236):{'3_1':0.03},(175,234):{'3_1':0.0},(175,233):{'3_1':0.0},(175,230):{'3_1':0.0},(175,229):{'3_1':0.0},(175,228):{'3_1':0.0},(175,227):{'3_1':0.0},(175,226):{'3_1':0.0},(175,225):{'3_1':0.0},(175,224):{'3_1':0.0},(175,221):{'3_1':0.0},(175,220):{'3_1':0.0},(175,213):{'3_1':0.0},(175,212):{'3_1':0.0},(175,211):{'4_1':0.0,'5_1':0.0},(175,209):{'3_1':0.0},(175,208):{'3_1':0.0},(175,206):{'3_1':0.0},(175,204):{'3_1':0.0,'6_2':0.0},(175,203):{'3_1':0.0},(175,202):{'3_1':0.0},(175,201):{'3_1':0.0},(176,290):{'3_1':0.0},(176,289):{'3_1':0.03,'5_2':0.0},(176,288):{'3_1':0.09},(176,287):{'3_1':0.0},(176,286):{'3_1':0.0,'5_2':0.0},(176,285):{'3_1':0.03,'4_1':0.0},(176,284):{'3_1':0.03,'5_1':0.0},(176,283):{'3_1':0.03,'4_1':0.0},(176,282):{'3_1':0.09},(176,281):{'3_1':0.03,'5_2':0.0},(176,280):{'3_1':0.03},(176,279):{'3_1':0.0},(176,278):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(176,277):{'3_1':0.03,'5_2':0.0},(176,276):{'3_1':0.12,'5_2':0.0},(176,275):{'3_1':0.06},(176,274):{'3_1':0.06},(176,273):{'3_1':0.03},(176,272):{'3_1':0.03},(176,271):{'3_1':0.03},(176,270):{'3_1':0.03,'4_1':0.0},(176,269):{'3_1':0.03},(176,268):{'3_1':0.03},(176,267):{'3_1':0.0},(176,266):{'3_1':0.03},(176,265):{'3_1':0.0},(176,264):{'3_1':0.0},(176,263):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(176,261):{'3_1':0.0},(176,260):{'3_1':0.0},(176,259):{'3_1':0.06,'4_1':0.0},(176,258):{'3_1':0.09,'4_1':0.0},(176,257):{'3_1':0.03,'4_1':0.0},(176,256):{'3_1':0.03,'4_1':0.0},(176,255):{'3_1':0.06},(176,254):{'3_1':0.06,'4_1':0.0},(176,253):{'3_1':0.03,'4_1':0.0},(176,252):{'3_1':0.03,'4_1':0.0},(176,251):{'3_1':0.06},(176,250):{'3_1':0.06,'5_1':0.0},(176,249):{'3_1':0.06},(176,248):{'3_1':0.03},(176,247):{'3_1':0.03},(176,246):{'3_1':0.03},(176,245):{'3_1':0.0,'4_1':0.0},(176,244):{'3_1':0.0},(176,243):{'3_1':0.06},(176,242):{'3_1':0.0},(176,240):{'3_1':0.0},(176,239):{'3_1':0.0},(176,238):{'3_1':0.0},(176,236):{'3_1':0.0},(176,235):{'3_1':0.0},(176,234):{'3_1':0.0},(176,233):{'3_1':0.0},(176,231):{'3_1':0.0},(176,230):{'3_1':0.0},(176,229):{'3_1':0.0},(176,228):{'3_1':0.0},(176,226):{'3_1':0.0},(176,224):{'3_1':0.0},(176,222):{'3_1':0.0},(176,221):{'3_1':0.0},(176,220):{'3_1':0.0},(177,290):{'3_1':0.03,'6_1':0.0},(177,289):{'3_1':0.0},(177,288):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(177,287):{'3_1':0.03,'5_1':0.0},(177,286):{'3_1':0.06},(177,285):{'3_1':0.0},(177,284):{'3_1':0.0},(177,283):{'3_1':0.06,'5_2':0.0},(177,282):{'3_1':0.03,'4_1':0.0},(177,281):{'3_1':0.03},(177,280):{'3_1':0.03,'5_2':0.0},(177,279):{'3_1':0.03},(177,278):{'3_1':0.03,'5_2':0.0},(177,277):{'3_1':0.06},(177,276):{'3_1':0.09},(177,275):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(177,274):{'3_1':0.0,'4_1':0.0},(177,273):{'3_1':0.03},(177,272):{'3_1':0.03,'5_2':0.0},(177,271):{'3_1':0.03,'5_1':0.0},(177,270):{'3_1':0.06},(177,269):{'3_1':0.03},(177,268):{'3_1':0.03,'5_2':0.0},(177,267):{'3_1':0.0},(177,266):{'3_1':0.03},(177,265):{'3_1':0.0},(177,264):{'3_1':0.0},(177,263):{'3_1':0.0},(177,262):{'3_1':0.0},(177,261):{'3_1':0.0},(177,260):{'3_1':0.0},(177,259):{'3_1':0.06},(177,258):{'3_1':0.06},(177,257):{'3_1':0.03},(177,256):{'3_1':0.0},(177,255):{'3_1':0.06},(177,254):{'3_1':0.03},(177,253):{'3_1':0.03,'4_1':0.0},(177,252):{'3_1':0.06},(177,251):{'3_1':0.0},(177,250):{'3_1':0.0,'4_1':0.0},(177,249):{'3_1':0.09,'4_1':0.0},(177,248):{'3_1':0.0},(177,247):{'3_1':0.03},(177,246):{'3_1':0.0,'4_1':0.0},(177,245):{'3_1':0.0,'4_1':0.0},(177,244):{'3_1':0.03,'5_1':0.0},(177,243):{'3_1':0.0},(177,242):{'3_1':0.0},(177,241):{'3_1':0.0},(177,240):{'3_1':0.0},(177,238):{'3_1':0.0},(177,237):{'3_1':0.0},(177,236):{'5_1':0.0},(177,235):{'3_1':0.0},(177,233):{'3_1':0.0},(177,232):{'3_1':0.0},(177,231):{'3_1':0.0},(177,230):{'3_1':0.0},(177,228):{'3_1':0.0},(177,226):{'3_1':0.0},(177,225):{'3_1':0.0},(177,224):{'3_1':0.0},(177,223):{'3_1':0.0},(177,219):{'3_1':0.0},(177,218):{'3_1':0.0},(177,217):{'3_1':0.0},(177,214):{'4_1':0.0},(177,213):{'3_1':0.0},(177,207):{'3_1':0.0,'4_1':0.0},(177,206):{'3_1':0.0},(177,205):{'3_1':0.0},(177,204):{'3_1':0.03},(177,202):{'3_1':0.0},(177,181):{'3_1':0.0},(178,290):{'3_1':0.0},(178,289):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(178,288):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(178,287):{'3_1':0.03},(178,286):{'3_1':0.06,'4_1':0.0},(178,285):{'3_1':0.03,'4_1':0.0},(178,284):{'3_1':0.06,'4_1':0.0},(178,283):{'3_1':0.09},(178,282):{'3_1':0.06,'5_2':0.0},(178,281):{'3_1':0.06,'5_2':0.0},(178,280):{'3_1':0.0,'4_1':0.0},(178,279):{'3_1':0.06,'4_1':0.0},(178,278):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(178,277):{'3_1':0.06,'5_2':0.0},(178,276):{'3_1':0.06,'5_1':0.0},(178,275):{'3_1':0.03,'4_1':0.0},(178,274):{'3_1':0.03,'4_1':0.0},(178,273):{'3_1':0.06},(178,272):{'3_1':0.06},(178,271):{'3_1':0.03},(178,270):{'3_1':0.03},(178,269):{'3_1':0.0,'4_1':0.0},(178,268):{'3_1':0.03,'4_1':0.0},(178,267):{'3_1':0.0,'4_1':0.0},(178,266):{'3_1':0.03},(178,265):{'3_1':0.0,'5_2':0.0},(178,263):{'3_1':0.03},(178,262):{'3_1':0.0},(178,261):{'3_1':0.0},(178,259):{'3_1':0.03},(178,258):{'3_1':0.06,'4_1':0.0},(178,257):{'3_1':0.03,'4_1':0.0},(178,256):{'3_1':0.03,'4_1':0.0},(178,255):{'3_1':0.03,'4_1':0.0},(178,254):{'3_1':0.03},(178,253):{'3_1':0.03,'5_2':0.0},(178,252):{'3_1':0.03,'5_2':0.0},(178,251):{'3_1':0.0},(178,250):{'3_1':0.03},(178,249):{'3_1':0.03},(178,248):{'3_1':0.0,'4_1':0.0},(178,247):{'3_1':0.0},(178,246):{'3_1':0.0,'4_1':0.0},(178,245):{'3_1':0.0},(178,244):{'3_1':0.03},(178,243):{'3_1':0.03},(178,242):{'3_1':0.0},(178,241):{'3_1':0.03},(178,240):{'3_1':0.03,'4_1':0.0},(178,239):{'3_1':0.03},(178,238):{'3_1':0.0},(178,237):{'3_1':0.0},(178,236):{'3_1':0.03},(178,235):{'3_1':0.03},(178,234):{'3_1':0.0},(178,233):{'3_1':0.0},(178,232):{'3_1':0.0},(178,231):{'3_1':0.0},(178,230):{'3_1':0.0},(178,229):{'3_1':0.0},(178,227):{'3_1':0.0},(178,226):{'3_1':0.0},(178,225):{'3_1':0.0},(178,224):{'3_1':0.0},(178,223):{'3_1':0.0},(178,222):{'3_1':0.0},(178,219):{'3_1':0.0},(178,215):{'3_1':0.0},(178,214):{'3_1':0.0},(178,213):{'3_1':0.0},(178,212):{'3_1':0.0},(178,211):{'3_1':0.0},(178,209):{'3_1':0.0},(178,207):{'3_1':0.0},(178,206):{'3_1':0.0},(178,205):{'3_1':0.0},(178,203):{'3_1':0.0},(178,202):{'3_1':0.0},(178,201):{'3_1':0.0},(179,290):{'3_1':0.0},(179,289):{'3_1':0.03,'4_1':0.0},(179,288):{'3_1':0.06,'5_1':0.0},(179,287):{'3_1':0.06},(179,286):{'3_1':0.0},(179,285):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(179,284):{'3_1':0.03,'5_2':0.0},(179,283):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(179,282):{'3_1':0.03},(179,281):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(179,280):{'3_1':0.03},(179,279):{'3_1':0.03},(179,278):{'3_1':0.06},(179,277):{'3_1':0.06},(179,276):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(179,275):{'3_1':0.03,'4_1':0.0},(179,274):{'3_1':0.06,'5_1':0.0},(179,273):{'3_1':0.03,'5_1':0.0},(179,272):{'3_1':0.06},(179,271):{'3_1':0.03,'4_1':0.0},(179,270):{'3_1':0.06},(179,269):{'3_1':0.03},(179,268):{'3_1':0.03,'4_1':0.0},(179,267):{'3_1':0.0},(179,266):{'3_1':0.0,'5_2':0.0},(179,265):{'3_1':0.0},(179,264):{'3_1':0.03},(179,263):{'3_1':0.03},(179,262):{'3_1':0.0},(179,261):{'3_1':0.0},(179,260):{'3_1':0.03},(179,259):{'3_1':0.0},(179,258):{'3_1':0.06,'4_1':0.03},(179,257):{'3_1':0.0,'4_1':0.0},(179,256):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(179,255):{'3_1':0.09},(179,254):{'3_1':0.06},(179,253):{'3_1':0.03},(179,252):{'3_1':0.03},(179,251):{'3_1':0.03},(179,250):{'3_1':0.03},(179,249):{'3_1':0.06,'4_1':0.0},(179,248):{'3_1':0.03,'4_1':0.0},(179,247):{'3_1':0.03},(179,246):{'3_1':0.0},(179,245):{'3_1':0.03},(179,242):{'3_1':0.0},(179,241):{'3_1':0.03},(179,240):{'3_1':0.03},(179,239):{'3_1':0.0,'4_1':0.0},(179,238):{'3_1':0.0},(179,237):{'3_1':0.0},(179,236):{'3_1':0.0},(179,235):{'3_1':0.0},(179,234):{'3_1':0.0},(179,233):{'3_1':0.0},(179,232):{'3_1':0.0},(179,231):{'3_1':0.0},(179,230):{'3_1':0.0},(179,229):{'3_1':0.06},(179,228):{'3_1':0.0},(179,227):{'3_1':0.0},(179,226):{'3_1':0.0},(179,225):{'3_1':0.0},(179,224):{'3_1':0.0},(179,223):{'3_1':0.0},(179,222):{'3_1':0.0},(179,221):{'3_1':0.0},(179,220):{'3_1':0.0},(179,219):{'3_1':0.0},(179,216):{'3_1':0.0},(179,215):{'3_1':0.0},(179,214):{'3_1':0.0},(179,213):{'3_1':0.0},(179,211):{'3_1':0.0},(179,209):{'3_1':0.0},(179,207):{'3_1':0.0},(179,206):{'3_1':0.0},(179,205):{'3_1':0.0},(179,204):{'3_1':0.0},(179,203):{'3_1':0.0},(179,202):{'3_1':0.0},(179,201):{'3_1':0.0},(179,184):{'3_1':0.0},(179,183):{'3_1':0.0},(180,290):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(180,289):{'3_1':0.03},(180,288):{'3_1':0.06,'5_2':0.0},(180,287):{'3_1':0.0,'5_2':0.0},(180,286):{'3_1':0.03,'5_2':0.0},(180,285):{'3_1':0.03,'4_1':0.0},(180,284):{'3_1':0.06},(180,283):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(180,282):{'3_1':0.03},(180,281):{'3_1':0.03,'5_2':0.0},(180,280):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(180,279):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(180,278):{'3_1':0.06,'4_1':0.0},(180,277):{'3_1':0.06},(180,276):{'3_1':0.03,'4_1':0.0},(180,275):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(180,274):{'3_1':0.03},(180,273):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(180,272):{'3_1':0.03,'4_1':0.0},(180,271):{'3_1':0.03},(180,270):{'3_1':0.03},(180,269):{'3_1':0.0},(180,268):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(180,267):{'3_1':0.0},(180,266):{'3_1':0.03},(180,265):{'3_1':0.0},(180,264):{'3_1':0.0},(180,263):{'3_1':0.0,'4_1':0.0},(180,262):{'3_1':0.0,'4_1':0.0},(180,261):{'3_1':0.03},(180,260):{'3_1':0.06},(180,259):{'3_1':0.06},(180,258):{'3_1':0.09},(180,257):{'3_1':0.03},(180,256):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(180,255):{'3_1':0.06},(180,254):{'3_1':0.06,'4_1':0.0},(180,253):{'3_1':0.03},(180,252):{'3_1':0.0},(180,251):{'3_1':0.06},(180,250):{'3_1':0.03,'4_1':0.0},(180,249):{'3_1':0.0},(180,248):{'3_1':0.0},(180,247):{'3_1':0.0,'4_1':0.0},(180,246):{'3_1':0.03},(180,245):{'3_1':0.0},(180,244):{'3_1':0.0},(180,240):{'3_1':0.0},(180,239):{'3_1':0.0},(180,238):{'3_1':0.0},(180,237):{'3_1':0.0},(180,236):{'3_1':0.0},(180,234):{'3_1':0.0},(180,232):{'3_1':0.0},(180,231):{'3_1':0.0},(180,228):{'3_1':0.0},(180,227):{'3_1':0.0},(180,226):{'3_1':0.0},(180,225):{'3_1':0.0},(180,221):{'3_1':0.0},(180,218):{'3_1':0.0},(180,215):{'3_1':0.0},(180,212):{'3_1':0.0},(180,211):{'3_1':0.0},(180,209):{'3_1':0.0},(180,208):{'3_1':0.0},(180,207):{'3_1':0.0},(180,206):{'3_1':0.0},(180,203):{'3_1':0.0},(180,202):{'3_1':0.0},(180,201):{'3_1':0.0},(180,185):{'3_1':0.0},(180,184):{'3_1':0.0},(181,290):{'3_1':0.0,'4_1':0.0},(181,289):{'3_1':0.0},(181,288):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(181,287):{'3_1':0.03,'5_2':0.0},(181,286):{'3_1':0.03,'5_2':0.0},(181,285):{'3_1':0.0,'4_1':0.0},(181,284):{'3_1':0.0},(181,283):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(181,282):{'3_1':0.03,'4_1':0.0},(181,281):{'3_1':0.0},(181,280):{'3_1':0.03,'5_2':0.0},(181,279):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(181,278):{'3_1':0.03,'5_2':0.0},(181,277):{'3_1':0.06},(181,276):{'3_1':0.0},(181,275):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(181,274):{'3_1':0.0},(181,273):{'3_1':0.06},(181,272):{'3_1':0.03},(181,271):{'3_1':0.03},(181,270):{'3_1':0.0},(181,269):{'3_1':0.03},(181,268):{'3_1':0.06},(181,267):{'3_1':0.03},(181,266):{'3_1':0.0},(181,265):{'3_1':0.03},(181,264):{'3_1':0.0,'4_1':0.0},(181,263):{'3_1':0.0},(181,262):{'3_1':0.0},(181,261):{'3_1':0.0,'5_1':0.0},(181,260):{'3_1':0.03},(181,259):{'3_1':0.03},(181,258):{'3_1':0.0,'4_1':0.0},(181,257):{'3_1':0.03,'4_1':0.0},(181,256):{'3_1':0.0},(181,255):{'3_1':0.03,'4_1':0.0},(181,254):{'3_1':0.03},(181,253):{'3_1':0.06},(181,252):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(181,251):{'3_1':0.0,'5_1':0.0},(181,250):{'3_1':0.0},(181,249):{'3_1':0.03},(181,248):{'3_1':0.03},(181,247):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(181,246):{'3_1':0.0},(181,245):{'3_1':0.0},(181,243):{'3_1':0.0},(181,242):{'3_1':0.0},(181,241):{'3_1':0.03},(181,240):{'3_1':0.0},(181,239):{'3_1':0.0},(181,238):{'3_1':0.0},(181,237):{'3_1':0.0,'5_1':0.0},(181,235):{'3_1':0.0,'5_1':0.0},(181,231):{'3_1':0.0},(181,230):{'3_1':0.0},(181,229):{'3_1':0.0},(181,227):{'3_1':0.0},(181,226):{'3_1':0.0},(181,225):{'3_1':0.0},(181,224):{'3_1':0.0},(181,223):{'3_1':0.0},(181,222):{'3_1':0.0},(181,221):{'3_1':0.0},(181,220):{'3_1':0.0},(181,219):{'3_1':0.0},(181,218):{'3_1':0.0},(181,215):{'3_1':0.0},(181,214):{'3_1':0.0},(181,213):{'3_1':0.0},(181,212):{'3_1':0.0},(181,211):{'3_1':0.0},(181,210):{'3_1':0.0},(181,209):{'3_1':0.0},(181,208):{'3_1':0.0},(181,207):{'3_1':0.0},(181,206):{'3_1':0.0},(181,205):{'3_1':0.0},(181,203):{'3_1':0.0},(181,201):{'3_1':0.0},(181,185):{'3_1':0.0},(182,290):{'3_1':0.0,'4_1':0.0},(182,289):{'3_1':0.0,'5_2':0.0},(182,288):{'3_1':0.09,'5_2':0.0},(182,287):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(182,286):{'3_1':0.06},(182,285):{'3_1':0.03,'5_2':0.0},(182,284):{'3_1':0.06,'5_2':0.0},(182,283):{'3_1':0.06,'5_2':0.0},(182,282):{'3_1':0.03},(182,281):{'3_1':0.03,'4_1':0.0},(182,280):{'3_1':0.06,'5_2':0.0},(182,279):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(182,278):{'3_1':0.06},(182,277):{'3_1':0.06,'4_1':0.0},(182,276):{'3_1':0.0},(182,275):{'3_1':0.06,'5_2':0.0},(182,274):{'3_1':0.06},(182,273):{'3_1':0.03,'4_1':0.0},(182,272):{'3_1':0.03},(182,271):{'3_1':0.0},(182,270):{'3_1':0.03,'4_1':0.0},(182,269):{'3_1':0.0},(182,268):{'3_1':0.03,'5_1':0.0},(182,267):{'3_1':0.0,'4_1':0.0},(182,266):{'3_1':0.0},(182,265):{'3_1':0.0},(182,264):{'3_1':0.03,'4_1':0.0},(182,263):{'3_1':0.03},(182,262):{'3_1':0.03},(182,261):{'3_1':0.0},(182,260):{'3_1':0.03},(182,259):{'3_1':0.06,'4_1':0.0},(182,258):{'3_1':0.09,'4_1':0.0},(182,257):{'3_1':0.03,'4_1':0.0},(182,256):{'3_1':0.03},(182,255):{'3_1':0.06},(182,254):{'3_1':0.03,'4_1':0.0},(182,253):{'3_1':0.09,'5_2':0.0},(182,252):{'3_1':0.06,'4_1':0.0},(182,251):{'3_1':0.06},(182,250):{'3_1':0.09},(182,249):{'3_1':0.0},(182,248):{'4_1':0.0,'3_1':0.0},(182,247):{'3_1':0.0},(182,246):{'3_1':0.0},(182,245):{'3_1':0.0},(182,244):{'3_1':0.0,'4_1':0.0},(182,243):{'3_1':0.0},(182,242):{'3_1':0.0},(182,241):{'3_1':0.0},(182,240):{'3_1':0.0},(182,239):{'3_1':0.0},(182,238):{'3_1':0.0},(182,237):{'3_1':0.0},(182,236):{'3_1':0.0},(182,234):{'3_1':0.0},(182,232):{'3_1':0.0},(182,231):{'3_1':0.0},(182,230):{'3_1':0.0},(182,229):{'3_1':0.0},(182,228):{'3_1':0.0},(182,227):{'3_1':0.0},(182,226):{'3_1':0.0},(182,225):{'3_1':0.0},(182,223):{'3_1':0.03},(182,222):{'3_1':0.0},(182,221):{'3_1':0.0},(182,220):{'3_1':0.0},(182,219):{'3_1':0.0},(182,218):{'3_1':0.0},(182,217):{'3_1':0.0},(182,214):{'3_1':0.0},(182,213):{'3_1':0.0},(182,212):{'3_1':0.0},(182,211):{'3_1':0.0},(182,208):{'3_1':0.0},(182,207):{'3_1':0.0},(182,206):{'3_1':0.0},(182,203):{'3_1':0.0},(182,194):{'3_1':0.0},(183,290):{'3_1':0.0,'4_1':0.0},(183,289):{'3_1':0.03,'5_2':0.0},(183,288):{'3_1':0.06},(183,287):{'3_1':0.03},(183,286):{'3_1':0.03,'4_1':0.0},(183,285):{'3_1':0.03},(183,284):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(183,283):{'3_1':0.09,'5_2':0.0},(183,282):{'3_1':0.0,'5_2':0.0},(183,281):{'3_1':0.06,'5_2':0.0},(183,280):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(183,279):{'3_1':0.06},(183,278):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(183,277):{'3_1':0.03},(183,276):{'3_1':0.03,'4_1':0.0},(183,275):{'3_1':0.03},(183,274):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(183,273):{'3_1':0.03},(183,272):{'4_1':0.03,'3_1':0.0},(183,271):{'3_1':0.03,'4_1':0.0},(183,270):{'3_1':0.03},(183,269):{'3_1':0.0},(183,268):{'3_1':0.0,'4_1':0.0},(183,267):{'3_1':0.0,'4_1':0.0},(183,266):{'3_1':0.0,'4_1':0.0},(183,265):{'3_1':0.06},(183,264):{'3_1':0.0,'4_1':0.0},(183,263):{'3_1':0.03},(183,262):{'3_1':0.0,'4_1':0.0},(183,261):{'3_1':0.0,'4_1':0.0},(183,260):{'3_1':0.03},(183,259):{'3_1':0.0},(183,258):{'3_1':0.03,'4_1':0.0},(183,257):{'3_1':0.0},(183,256):{'3_1':0.03,'5_2':0.0},(183,255):{'3_1':0.09},(183,254):{'3_1':0.03},(183,253):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(183,252):{'3_1':0.03},(183,251):{'3_1':0.03,'4_1':0.0},(183,250):{'3_1':0.03,'4_1':0.0},(183,249):{'3_1':0.03},(183,248):{'3_1':0.03},(183,247):{'3_1':0.0},(183,246):{'3_1':0.0},(183,245):{'3_1':0.03},(183,244):{'3_1':0.0},(183,240):{'3_1':0.0},(183,239):{'3_1':0.0},(183,237):{'3_1':0.0},(183,236):{'3_1':0.0},(183,235):{'3_1':0.0},(183,233):{'3_1':0.0},(183,232):{'3_1':0.0},(183,231):{'3_1':0.03},(183,230):{'3_1':0.0},(183,229):{'3_1':0.0},(183,228):{'3_1':0.0},(183,227):{'3_1':0.0},(183,226):{'3_1':0.0},(183,225):{'3_1':0.0},(183,223):{'3_1':0.0},(183,222):{'3_1':0.0},(183,221):{'3_1':0.0},(183,220):{'3_1':0.0},(183,219):{'3_1':0.03},(183,218):{'3_1':0.0},(183,217):{'3_1':0.0},(183,214):{'3_1':0.0},(183,211):{'3_1':0.0},(183,210):{'3_1':0.0,'4_1':0.0},(183,208):{'3_1':0.0},(183,207):{'3_1':0.0},(183,206):{'3_1':0.0},(183,205):{'3_1':0.0},(183,204):{'3_1':0.0},(183,203):{'3_1':0.0},(183,202):{'3_1':0.0},(183,201):{'3_1':0.0},(183,194):{'4_1':0.0},(184,290):{'3_1':0.03},(184,289):{'3_1':0.03,'4_1':0.0},(184,288):{'3_1':0.09,'5_2':0.0},(184,287):{'3_1':0.0},(184,286):{'3_1':0.03},(184,285):{'3_1':0.03,'4_1':0.0},(184,284):{'3_1':0.03},(184,283):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(184,282):{'3_1':0.06,'5_2':0.0},(184,281):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(184,280):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(184,279):{'3_1':0.09},(184,278):{'3_1':0.03,'4_1':0.0},(184,277):{'3_1':0.03},(184,276):{'3_1':0.03,'5_2':0.0},(184,275):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(184,274):{'3_1':0.03},(184,273):{'3_1':0.0,'4_1':0.0},(184,272):{'3_1':0.0},(184,271):{'3_1':0.0,'4_1':0.0},(184,270):{'3_1':0.03},(184,269):{'3_1':0.03},(184,268):{'3_1':0.0},(184,267):{'3_1':0.0},(184,266):{'3_1':0.0},(184,265):{'3_1':0.0,'5_1':0.0},(184,264):{'3_1':0.0},(184,263):{'3_1':0.0},(184,262):{'3_1':0.0},(184,261):{'3_1':0.03},(184,260):{'4_1':0.0},(184,259):{'3_1':0.0,'4_1':0.0},(184,258):{'3_1':0.09,'4_1':0.0},(184,257):{'3_1':0.03,'4_1':0.0},(184,256):{'3_1':0.03,'4_1':0.0},(184,255):{'3_1':0.06,'4_1':0.0},(184,254):{'3_1':0.0,'4_1':0.0},(184,253):{'3_1':0.06},(184,252):{'3_1':0.06},(184,251):{'3_1':0.0},(184,250):{'3_1':0.03},(184,249):{'3_1':0.0},(184,248):{'4_1':0.0,'3_1':0.0},(184,247):{'3_1':0.0},(184,245):{'3_1':0.03,'4_1':0.0},(184,243):{'3_1':0.0},(184,242):{'4_1':0.0},(184,241):{'3_1':0.0},(184,240):{'3_1':0.0},(184,239):{'3_1':0.0},(184,238):{'3_1':0.0},(184,237):{'3_1':0.0},(184,236):{'3_1':0.03},(184,235):{'3_1':0.0},(184,234):{'3_1':0.0},(184,233):{'3_1':0.0},(184,232):{'3_1':0.0},(184,231):{'3_1':0.0},(184,230):{'3_1':0.0},(184,228):{'3_1':0.0},(184,226):{'3_1':0.0},(184,221):{'3_1':0.0},(184,220):{'3_1':0.0},(184,219):{'3_1':0.0},(184,217):{'3_1':0.0},(184,216):{'3_1':0.0},(184,213):{'3_1':0.0},(184,209):{'3_1':0.0},(184,208):{'3_1':0.0},(184,203):{'3_1':0.0},(184,202):{'3_1':0.0},(185,290):{'4_1':0.0,'3_1':0.0},(185,289):{'3_1':0.03,'5_2':0.0},(185,288):{'3_1':0.03},(185,287):{'3_1':0.0,'8_20|3_1#3_1':0.0},(185,286):{'3_1':0.03},(185,285):{'3_1':0.0,'4_1':0.0},(185,284):{'3_1':0.03},(185,283):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(185,282):{'3_1':0.09,'4_1':0.0},(185,281):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(185,280):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(185,279):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(185,278):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(185,277):{'3_1':0.09,'5_2':0.0},(185,276):{'3_1':0.03},(185,275):{'3_1':0.03,'5_2':0.0},(185,274):{'3_1':0.0,'4_1':0.0},(185,273):{'3_1':0.03},(185,272):{'3_1':0.0,'4_1':0.0},(185,271):{'3_1':0.03},(185,270):{'3_1':0.0},(185,269):{'3_1':0.0},(185,268):{'3_1':0.0},(185,267):{'3_1':0.0,'4_1':0.0},(185,266):{'3_1':0.0},(185,265):{'3_1':0.03},(185,263):{'3_1':0.0},(185,261):{'3_1':0.0},(185,260):{'3_1':0.0},(185,259):{'3_1':0.0},(185,258):{'3_1':0.0},(185,257):{'3_1':0.03,'4_1':0.0},(185,256):{'3_1':0.0,'5_1':0.0},(185,255):{'3_1':0.03,'4_1':0.0},(185,254):{'3_1':0.03,'4_1':0.0},(185,253):{'3_1':0.03},(185,252):{'3_1':0.03},(185,251):{'3_1':0.0},(185,250):{'3_1':0.0},(185,249):{'3_1':0.0},(185,248):{'3_1':0.0},(185,247):{'3_1':0.03},(185,246):{'3_1':0.0},(185,245):{'3_1':0.0},(185,244):{'3_1':0.03},(185,243):{'3_1':0.0},(185,241):{'3_1':0.0},(185,240):{'3_1':0.0},(185,239):{'3_1':0.0},(185,238):{'3_1':0.0},(185,237):{'3_1':0.0},(185,235):{'3_1':0.03},(185,234):{'3_1':0.0},(185,231):{'3_1':0.0},(185,230):{'3_1':0.0},(185,226):{'3_1':0.0},(185,224):{'3_1':0.0},(185,223):{'3_1':0.0},(185,222):{'3_1':0.0},(185,221):{'3_1':0.0},(185,218):{'3_1':0.0},(185,217):{'3_1':0.0},(185,216):{'3_1':0.0},(185,210):{'3_1':0.0},(185,208):{'3_1':0.0},(185,207):{'3_1':0.0},(185,206):{'3_1':0.0},(185,203):{'3_1':0.0},(185,201):{'3_1':0.0},(185,194):{'3_1':0.0},(185,189):{'3_1':0.0},(185,188):{'3_1':0.0},(186,290):{'3_1':0.0},(186,289):{'3_1':0.0,'5_2':0.0},(186,288):{'3_1':0.06,'5_2':0.0},(186,287):{'3_1':0.03,'5_2':0.0},(186,286):{'3_1':0.03},(186,285):{'3_1':0.0},(186,284):{'3_1':0.03},(186,283):{'3_1':0.06,'5_2':0.0},(186,282):{'3_1':0.03},(186,281):{'3_1':0.06,'5_2':0.0},(186,280):{'3_1':0.09,'5_2':0.0},(186,279):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(186,278):{'3_1':0.06,'5_2':0.0},(186,277):{'3_1':0.03,'5_2':0.0},(186,276):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(186,275):{'3_1':0.06,'5_2':0.0},(186,274):{'3_1':0.0},(186,273):{'3_1':0.06},(186,272):{'3_1':0.0,'4_1':0.0},(186,271):{'3_1':0.0,'5_2':0.0},(186,270):{'3_1':0.06},(186,269):{'3_1':0.0},(186,268):{'3_1':0.03},(186,267):{'3_1':0.03},(186,266):{'3_1':0.0},(186,265):{'3_1':0.0},(186,263):{'3_1':0.0,'4_1':0.0},(186,262):{'3_1':0.0},(186,261):{'3_1':0.0},(186,260):{'3_1':0.06},(186,259):{'3_1':0.03,'4_1':0.0},(186,258):{'3_1':0.06},(186,257):{'3_1':0.06},(186,256):{'3_1':0.0,'5_1':0.0},(186,255):{'3_1':0.03,'4_1':0.0},(186,254):{'3_1':0.06},(186,253):{'3_1':0.12},(186,252):{'3_1':0.03},(186,251):{'3_1':0.0},(186,250):{'3_1':0.03},(186,248):{'3_1':0.0},(186,247):{'3_1':0.0},(186,246):{'3_1':0.0},(186,244):{'3_1':0.0},(186,243):{'3_1':0.0},(186,241):{'3_1':0.0},(186,240):{'3_1':0.0},(186,239):{'3_1':0.03},(186,237):{'3_1':0.0},(186,236):{'3_1':0.0},(186,235):{'3_1':0.0},(186,234):{'3_1':0.0},(186,233):{'3_1':0.0},(186,232):{'3_1':0.0},(186,231):{'3_1':0.0},(186,230):{'3_1':0.0},(186,228):{'3_1':0.0},(186,226):{'3_1':0.03},(186,225):{'3_1':0.0},(186,224):{'3_1':0.0},(186,223):{'3_1':0.0},(186,222):{'3_1':0.0},(186,220):{'3_1':0.0},(186,219):{'3_1':0.0},(186,216):{'3_1':0.0},(186,215):{'3_1':0.0},(186,213):{'3_1':0.0},(186,208):{'3_1':0.0},(186,205):{'3_1':0.0},(186,194):{'4_1':0.0},(186,190):{'3_1':0.0},(186,189):{'3_1':0.0},(187,290):{'3_1':0.0},(187,289):{'3_1':0.03},(187,288):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(187,287):{'3_1':0.03},(187,286):{'3_1':0.0,'5_2':0.0},(187,285):{'3_1':0.0},(187,284):{'3_1':0.03,'5_2':0.0},(187,283):{'3_1':0.06},(187,282):{'3_1':0.09},(187,281):{'3_1':0.03,'5_2':0.0},(187,280):{'3_1':0.03,'4_1':0.0},(187,279):{'3_1':0.06},(187,278):{'3_1':0.06},(187,277):{'3_1':0.03},(187,276):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(187,275):{'3_1':0.03,'4_1':0.0},(187,274):{'3_1':0.0},(187,273):{'3_1':0.0},(187,272):{'3_1':0.06,'4_1':0.0},(187,271):{'3_1':0.03},(187,270):{'3_1':0.03},(187,269):{'3_1':0.0},(187,268):{'3_1':0.06},(187,267):{'5_1':0.0},(187,266):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(187,265):{'3_1':0.0,'4_1':0.0},(187,263):{'3_1':0.0},(187,262):{'3_1':0.03},(187,261):{'3_1':0.0},(187,260):{'3_1':0.03},(187,259):{'3_1':0.06},(187,258):{'3_1':0.03,'4_1':0.0},(187,257):{'3_1':0.06,'4_1':0.0},(187,256):{'3_1':0.03,'5_2':0.0},(187,255):{'3_1':0.06},(187,254):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(187,253):{'3_1':0.03},(187,252):{'3_1':0.0},(187,251):{'3_1':0.03},(187,250):{'3_1':0.03},(187,249):{'3_1':0.0},(187,248):{'3_1':0.03},(187,247):{'3_1':0.0,'4_1':0.0},(187,246):{'3_1':0.0},(187,245):{'3_1':0.03},(187,244):{'3_1':0.0},(187,243):{'3_1':0.03},(187,242):{'3_1':0.0},(187,241):{'3_1':0.03},(187,240):{'3_1':0.0},(187,239):{'3_1':0.0},(187,238):{'3_1':0.0},(187,237):{'3_1':0.0},(187,235):{'3_1':0.0},(187,234):{'3_1':0.03},(187,232):{'3_1':0.0},(187,231):{'3_1':0.0},(187,230):{'3_1':0.0},(187,228):{'3_1':0.0},(187,227):{'3_1':0.0},(187,226):{'3_1':0.0},(187,223):{'3_1':0.0},(187,213):{'3_1':0.0},(187,207):{'3_1':0.0},(188,290):{'3_1':0.0,'4_1':0.0},(188,289):{'3_1':0.0,'4_1':0.0},(188,288):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(188,287):{'3_1':0.03,'5_2':0.0},(188,286):{'3_1':0.03,'4_1':0.0},(188,285):{'3_1':0.0},(188,284):{'3_1':0.03},(188,283):{'3_1':0.06},(188,282):{'3_1':0.0,'5_2':0.0},(188,281):{'3_1':0.0,'5_2':0.0},(188,280):{'3_1':0.0,'4_1':0.0},(188,279):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(188,278):{'3_1':0.03,'5_2':0.0},(188,277):{'3_1':0.03,'4_1':0.0},(188,276):{'3_1':0.03},(188,275):{'3_1':0.06,'5_2':0.0},(188,274):{'3_1':0.0,'4_1':0.0},(188,273):{'3_1':0.0},(188,272):{'3_1':0.06},(188,271):{'3_1':0.0,'4_1':0.0},(188,270):{'3_1':0.0},(188,269):{'3_1':0.03},(188,268):{'3_1':0.0,'5_1':0.0},(188,267):{'3_1':0.0},(188,266):{'3_1':0.0,'5_2':0.0},(188,265):{'3_1':0.0,'4_1':0.0},(188,264):{'3_1':0.0,'4_1':0.0},(188,263):{'4_1':0.0,'5_2':0.0},(188,262):{'3_1':0.03},(188,260):{'3_1':0.0},(188,259):{'3_1':0.06},(188,258):{'3_1':0.0,'4_1':0.0},(188,257):{'3_1':0.03,'4_1':0.0},(188,256):{'3_1':0.03},(188,255):{'3_1':0.0},(188,254):{'3_1':0.09},(188,253):{'3_1':0.03},(188,252):{'3_1':0.0},(188,251):{'3_1':0.03,'4_1':0.0},(188,250):{'3_1':0.0},(188,249):{'3_1':0.0},(188,248):{'3_1':0.0},(188,247):{'3_1':0.0},(188,245):{'3_1':0.0},(188,244):{'3_1':0.0},(188,243):{'3_1':0.0},(188,242):{'3_1':0.0},(188,241):{'3_1':0.0},(188,240):{'3_1':0.0},(188,239):{'3_1':0.0},(188,238):{'3_1':0.0},(188,237):{'3_1':0.0},(188,235):{'3_1':0.0},(188,233):{'3_1':0.0},(188,228):{'3_1':0.0},(188,227):{'3_1':0.0,'4_1':0.0},(188,223):{'3_1':0.0},(188,222):{'3_1':0.0},(188,219):{'3_1':0.0},(188,217):{'3_1':0.0},(188,212):{'3_1':0.0},(188,207):{'3_1':0.0},(188,205):{'3_1':0.0},(188,204):{'3_1':0.0},(188,199):{'3_1':0.0},(188,198):{'3_1':0.0},(189,290):{'3_1':0.0},(189,289):{'3_1':0.03},(189,288):{'3_1':0.09},(189,287):{'3_1':0.0},(189,286):{'3_1':0.06,'5_2':0.0},(189,285):{'3_1':0.0,'4_1':0.0},(189,284):{'3_1':0.03},(189,283):{'3_1':0.06,'5_1':0.0},(189,282):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(189,281):{'3_1':0.0,'4_1':0.0},(189,280):{'3_1':0.06,'4_1':0.0},(189,279):{'3_1':0.0},(189,278):{'3_1':0.03},(189,277):{'3_1':0.03,'4_1':0.0},(189,276):{'3_1':0.03},(189,275):{'3_1':0.03},(189,274):{'3_1':0.0,'5_2':0.0},(189,273):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(189,272):{'3_1':0.0,'4_1':0.0},(189,271):{'3_1':0.03,'4_1':0.0},(189,270):{'4_1':0.0},(189,269):{'3_1':0.0,'5_1':0.0},(189,268):{'3_1':0.03},(189,267):{'3_1':0.0},(189,266):{'3_1':0.0},(189,265):{'3_1':0.0,'5_2':0.0},(189,264):{'3_1':0.0},(189,263):{'3_1':0.0},(189,262):{'3_1':0.0},(189,261):{'3_1':0.0},(189,260):{'3_1':0.0},(189,259):{'3_1':0.0},(189,258):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(189,257):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(189,256):{'3_1':0.03},(189,255):{'3_1':0.03},(189,254):{'3_1':0.03},(189,253):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(189,252):{'3_1':0.03},(189,250):{'3_1':0.0},(189,249):{'3_1':0.0},(189,248):{'3_1':0.0,'4_1':0.0},(189,247):{'3_1':0.0},(189,246):{'3_1':0.03},(189,245):{'3_1':0.03},(189,243):{'3_1':0.0},(189,242):{'3_1':0.0},(189,241):{'3_1':0.0},(189,240):{'3_1':0.0},(189,239):{'3_1':0.0},(189,238):{'3_1':0.03},(189,236):{'3_1':0.0},(189,235):{'3_1':0.0},(189,234):{'3_1':0.03},(189,232):{'3_1':0.0},(189,231):{'3_1':0.0},(189,230):{'3_1':0.0},(189,227):{'3_1':0.0},(189,225):{'3_1':0.0},(189,224):{'3_1':0.0},(189,218):{'3_1':0.0},(189,216):{'3_1':0.0},(189,215):{'3_1':0.0},(189,209):{'3_1':0.0},(189,205):{'3_1':0.0},(189,202):{'3_1':0.0},(189,194):{'3_1':0.0},(189,192):{'3_1':0.0},(190,290):{'3_1':0.0,'4_1':0.0},(190,289):{'3_1':0.0,'5_1':0.0},(190,288):{'3_1':0.09,'5_2':0.0},(190,287):{'3_1':0.03},(190,286):{'3_1':0.06,'5_1':0.0},(190,285):{'3_1':0.06},(190,284):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(190,283):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(190,282):{'3_1':0.0,'4_1':0.0},(190,281):{'3_1':0.03,'5_2':0.0},(190,280):{'3_1':0.03,'4_1':0.0},(190,279):{'3_1':0.03,'4_1':0.0},(190,278):{'3_1':0.06},(190,277):{'3_1':0.0,'4_1':0.0},(190,276):{'3_1':0.06},(190,275):{'3_1':0.06,'4_1':0.0},(190,274):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(190,273):{'3_1':0.0},(190,272):{'3_1':0.0,'4_1':0.0},(190,271):{'4_1':0.0,'3_1':0.0},(190,270):{'3_1':0.03},(190,269):{'3_1':0.0},(190,268):{'3_1':0.0},(190,267):{'3_1':0.0,'4_1':0.0},(190,266):{'3_1':0.03},(190,265):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(190,264):{'3_1':0.0},(190,263):{'3_1':0.0,'4_1':0.0},(190,262):{'3_1':0.06,'4_1':0.0},(190,261):{'3_1':0.0},(190,260):{'3_1':0.03},(190,259):{'3_1':0.06,'4_1':0.0},(190,258):{'3_1':0.03},(190,257):{'3_1':0.09,'4_1':0.0},(190,256):{'3_1':0.03,'4_1':0.0},(190,255):{'3_1':0.03},(190,254):{'3_1':0.06,'4_1':0.0},(190,253):{'4_1':0.0},(190,252):{'3_1':0.0,'4_1':0.0},(190,251):{'3_1':0.0,'5_1':0.0},(190,249):{'3_1':0.0},(190,248):{'3_1':0.0},(190,246):{'3_1':0.0},(190,245):{'3_1':0.0},(190,244):{'3_1':0.0},(190,243):{'3_1':0.0},(190,242):{'3_1':0.0},(190,241):{'3_1':0.0},(190,239):{'3_1':0.0},(190,238):{'3_1':0.0},(190,237):{'3_1':0.0},(190,236):{'3_1':0.0},(190,235):{'3_1':0.0},(190,234):{'3_1':0.0},(190,233):{'3_1':0.0},(190,232):{'3_1':0.0},(190,231):{'3_1':0.0},(190,230):{'3_1':0.0},(190,229):{'3_1':0.0},(190,227):{'3_1':0.0},(190,226):{'3_1':0.0},(190,225):{'3_1':0.0},(190,224):{'3_1':0.0},(190,223):{'3_1':0.0},(190,222):{'3_1':0.0},(190,219):{'3_1':0.0},(190,218):{'3_1':0.0},(190,217):{'3_1':0.0},(190,204):{'3_1':0.0},(191,290):{'3_1':0.03},(191,289):{'3_1':0.03,'4_1':0.0},(191,288):{'3_1':0.06,'5_2':0.0},(191,287):{'3_1':0.0,'4_1':0.0},(191,286):{'3_1':0.03,'5_2':0.0},(191,285):{'3_1':0.06,'4_1':0.0},(191,284):{'3_1':0.0,'4_1':0.0},(191,283):{'3_1':0.0,'5_2':0.0},(191,282):{'3_1':0.06,'4_1':0.0},(191,281):{'3_1':0.06,'5_2':0.0},(191,280):{'3_1':0.06,'4_1':0.0},(191,279):{'3_1':0.06,'4_1':0.0},(191,278):{'3_1':0.06,'5_2':0.0},(191,277):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(191,276):{'3_1':0.03,'5_2':0.0},(191,275):{'3_1':0.03},(191,274):{'3_1':0.0,'4_1':0.0},(191,273):{'3_1':0.03,'4_1':0.0},(191,272):{'3_1':0.0},(191,271):{'4_1':0.0,'3_1':0.0},(191,270):{'3_1':0.0},(191,269):{'3_1':0.0},(191,268):{'3_1':0.0,'5_1':0.0},(191,266):{'3_1':0.0},(191,265):{'3_1':0.0,'4_1':0.0},(191,264):{'3_1':0.03},(191,263):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(191,262):{'3_1':0.0},(191,261):{'3_1':0.0},(191,260):{'3_1':0.0,'4_1':0.0},(191,259):{'3_1':0.03,'4_1':0.0},(191,258):{'3_1':0.0},(191,257):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(191,256):{'3_1':0.0},(191,255):{'3_1':0.0,'4_1':0.0},(191,254):{'3_1':0.0,'4_1':0.0},(191,252):{'3_1':0.06},(191,251):{'3_1':0.03},(191,250):{'3_1':0.0},(191,249):{'3_1':0.0},(191,248):{'3_1':0.0},(191,247):{'3_1':0.03},(191,246):{'3_1':0.0},(191,245):{'3_1':0.0},(191,244):{'3_1':0.0},(191,243):{'3_1':0.0},(191,242):{'3_1':0.0},(191,241):{'3_1':0.03},(191,240):{'3_1':0.0},(191,239):{'3_1':0.0},(191,238):{'3_1':0.0},(191,237):{'3_1':0.0},(191,236):{'3_1':0.0},(191,235):{'3_1':0.0},(191,233):{'3_1':0.0},(191,231):{'3_1':0.0},(191,229):{'3_1':0.0},(191,227):{'3_1':0.0},(191,226):{'3_1':0.0},(191,225):{'3_1':0.0},(191,224):{'3_1':0.0},(191,220):{'3_1':0.0},(191,218):{'3_1':0.0},(191,200):{'3_1':0.0},(192,290):{'3_1':0.0},(192,289):{'3_1':0.0,'5_2':0.0},(192,288):{'3_1':0.09,'5_2':0.0},(192,287):{'3_1':0.03},(192,286):{'3_1':0.0},(192,285):{'3_1':0.09},(192,284):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(192,283):{'3_1':0.03,'5_2':0.0},(192,282):{'3_1':0.03},(192,281):{'5_2':0.0,'3_1':0.0},(192,280):{'3_1':0.06},(192,279):{'3_1':0.06,'5_2':0.0},(192,278):{'3_1':0.06,'4_1':0.0},(192,277):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(192,276):{'3_1':0.0},(192,275):{'3_1':0.03,'4_1':0.0},(192,274):{'3_1':0.06},(192,273):{'3_1':0.03,'5_2':0.0},(192,272):{'3_1':0.0},(192,271):{'3_1':0.0,'4_1':0.0},(192,270):{'3_1':0.03,'4_1':0.0},(192,269):{'3_1':0.0},(192,268):{'3_1':0.0},(192,267):{'3_1':0.03},(192,266):{'3_1':0.03},(192,265):{'3_1':0.0,'4_1':0.0},(192,264):{'3_1':0.03},(192,263):{'3_1':0.03},(192,262):{'3_1':0.0},(192,261):{'3_1':0.0},(192,260):{'3_1':0.03},(192,259):{'3_1':0.06},(192,258):{'3_1':0.03},(192,257):{'3_1':0.0},(192,256):{'3_1':0.0},(192,255):{'3_1':0.0},(192,254):{'3_1':0.0},(192,253):{'3_1':0.0},(192,252):{'3_1':0.0},(192,251):{'3_1':0.0},(192,249):{'3_1':0.0},(192,248):{'3_1':0.0},(192,247):{'3_1':0.0},(192,246):{'3_1':0.0},(192,245):{'3_1':0.0},(192,243):{'3_1':0.0},(192,242):{'3_1':0.0},(192,241):{'3_1':0.0},(192,240):{'3_1':0.0},(192,238):{'3_1':0.0},(192,237):{'3_1':0.0},(192,236):{'3_1':0.03},(192,235):{'3_1':0.03},(192,234):{'3_1':0.0},(192,233):{'3_1':0.0},(192,231):{'3_1':0.0},(192,226):{'3_1':0.0},(192,223):{'3_1':0.0},(192,221):{'3_1':0.0},(192,220):{'3_1':0.0},(193,290):{'3_1':0.0},(193,289):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(193,288):{'3_1':0.03,'5_2':0.0},(193,287):{'3_1':0.03,'5_2':0.0},(193,286):{'3_1':0.06,'5_1':0.0},(193,285):{'3_1':0.03},(193,284):{'3_1':0.0,'4_1':0.0},(193,283):{'3_1':0.03},(193,282):{'3_1':0.0,'4_1':0.0},(193,281):{'3_1':0.0,'5_2':0.0},(193,280):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(193,279):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(193,278):{'3_1':0.03,'5_2':0.0},(193,277):{'3_1':0.0,'4_1':0.0},(193,276):{'3_1':0.06},(193,275):{'3_1':0.0},(193,274):{'3_1':0.0},(193,273):{'3_1':0.0,'4_1':0.0},(193,272):{'3_1':0.0},(193,271):{'3_1':0.0},(193,270):{'3_1':0.0},(193,269):{'3_1':0.0,'5_2':0.0},(193,268):{'3_1':0.03},(193,267):{'3_1':0.0},(193,266):{'3_1':0.0},(193,265):{'3_1':0.0},(193,263):{'3_1':0.0},(193,262):{'3_1':0.0},(193,261):{'3_1':0.0},(193,260):{'3_1':0.0,'4_1':0.0},(193,259):{'3_1':0.0},(193,258):{'3_1':0.0},(193,257):{'3_1':0.03},(193,256):{'3_1':0.0},(193,255):{'3_1':0.0},(193,254):{'3_1':0.0},(193,253):{'3_1':0.0},(193,252):{'3_1':0.03},(193,251):{'3_1':0.03},(193,250):{'3_1':0.0},(193,249):{'3_1':0.0},(193,248):{'3_1':0.03},(193,247):{'3_1':0.03},(193,246):{'3_1':0.03},(193,245):{'3_1':0.0},(193,244):{'3_1':0.03},(193,243):{'3_1':0.0},(193,242):{'3_1':0.0},(193,241):{'3_1':0.0},(193,240):{'3_1':0.0},(193,239):{'3_1':0.0},(193,238):{'3_1':0.0},(193,237):{'3_1':0.0},(193,236):{'3_1':0.0},(193,234):{'3_1':0.0},(193,233):{'3_1':0.0},(193,231):{'3_1':0.0},(193,229):{'3_1':0.0},(194,290):{'3_1':0.03,'4_1':0.0},(194,289):{'3_1':0.0,'5_2':0.0},(194,288):{'3_1':0.0,'5_2':0.0},(194,287):{'3_1':0.0},(194,286):{'3_1':0.03},(194,285):{'3_1':0.0,'4_1':0.0},(194,284):{'3_1':0.03},(194,283):{'3_1':0.03,'5_2':0.0},(194,282):{'3_1':0.09,'5_2':0.0},(194,281):{'3_1':0.03,'4_1':0.0},(194,280):{'3_1':0.06,'5_2':0.0},(194,279):{'3_1':0.03},(194,278):{'3_1':0.03},(194,277):{'3_1':0.06,'4_1':0.0},(194,276):{'3_1':0.03},(194,275):{'3_1':0.03,'5_2':0.0},(194,274):{'3_1':0.0},(194,273):{'3_1':0.03},(194,272):{'3_1':0.0},(194,271):{'3_1':0.03},(194,270):{'3_1':0.0,'4_1':0.0},(194,269):{'3_1':0.0},(194,268):{'3_1':0.0,'4_1':0.0},(194,267):{'4_1':0.0},(194,266):{'3_1':0.0},(194,265):{'3_1':0.0},(194,263):{'3_1':0.0},(194,262):{'3_1':0.0},(194,260):{'3_1':0.0},(194,258):{'3_1':0.0},(194,257):{'3_1':0.0},(194,255):{'3_1':0.0},(194,254):{'3_1':0.0},(194,253):{'3_1':0.0},(194,252):{'3_1':0.0},(194,251):{'3_1':0.0},(194,250):{'3_1':0.0},(194,249):{'3_1':0.0},(194,248):{'3_1':0.0,'4_1':0.0},(194,247):{'3_1':0.0},(194,246):{'3_1':0.0},(194,245):{'3_1':0.0},(194,244):{'3_1':0.0},(194,243):{'3_1':0.03},(194,241):{'3_1':0.0},(194,240):{'3_1':0.0},(194,239):{'3_1':0.0},(194,238):{'3_1':0.0},(194,237):{'3_1':0.0},(194,236):{'3_1':0.0},(194,235):{'3_1':0.0},(194,233):{'3_1':0.0},(194,232):{'3_1':0.0},(194,231):{'3_1':0.0},(194,228):{'3_1':0.0},(194,227):{'3_1':0.0},(194,226):{'3_1':0.0},(194,222):{'3_1':0.0},(194,216):{'3_1':0.0},(195,290):{'4_1':0.0},(195,289):{'3_1':0.06},(195,288):{'3_1':0.06,'5_2':0.0},(195,287):{'3_1':0.06},(195,286):{'3_1':0.03},(195,285):{'3_1':0.0},(195,284):{'3_1':0.06},(195,283):{'3_1':0.06},(195,282):{'3_1':0.03,'4_1':0.0},(195,281):{'3_1':0.06,'5_2':0.0},(195,280):{'3_1':0.03,'4_1':0.0},(195,279):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(195,278):{'3_1':0.06},(195,277):{'3_1':0.03},(195,276):{'3_1':0.0,'4_1':0.0},(195,275):{'3_1':0.0},(195,274):{'3_1':0.0},(195,273):{'3_1':0.0,'5_2':0.0},(195,272):{'3_1':0.0},(195,271):{'3_1':0.0},(195,270):{'3_1':0.0},(195,269):{'3_1':0.0},(195,268):{'3_1':0.0},(195,267):{'3_1':0.0},(195,266):{'3_1':0.0,'4_1':0.0},(195,265):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(195,264):{'3_1':0.03},(195,262):{'3_1':0.03},(195,261):{'3_1':0.0},(195,260):{'3_1':0.0},(195,259):{'3_1':0.0},(195,258):{'3_1':0.0},(195,257):{'3_1':0.0},(195,256):{'3_1':0.03},(195,254):{'3_1':0.0},(195,253):{'3_1':0.0},(195,252):{'3_1':0.03},(195,251):{'3_1':0.0},(195,250):{'3_1':0.0},(195,249):{'3_1':0.0},(195,247):{'3_1':0.0},(195,246):{'3_1':0.0},(195,245):{'3_1':0.03},(195,244):{'3_1':0.0},(195,243):{'3_1':0.0},(195,242):{'3_1':0.0},(195,241):{'3_1':0.0},(195,240):{'3_1':0.0},(195,238):{'3_1':0.0},(195,237):{'3_1':0.0},(195,236):{'3_1':0.0},(195,234):{'3_1':0.0},(195,233):{'3_1':0.0},(195,232):{'3_1':0.0},(195,218):{'3_1':0.0},(195,200):{'3_1':0.0},(196,290):{'3_1':0.0},(196,289):{'3_1':0.0,'4_1':0.0},(196,288):{'3_1':0.09,'5_2':0.0},(196,287):{'3_1':0.03},(196,286):{'3_1':0.0,'5_2':0.0},(196,285):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(196,284):{'3_1':0.0},(196,283):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(196,282):{'3_1':0.03,'5_2':0.0},(196,281):{'3_1':0.06,'5_2':0.0},(196,280):{'3_1':0.03,'4_1':0.0},(196,279):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(196,278):{'3_1':0.03},(196,277):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(196,276):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(196,275):{'3_1':0.03,'4_1':0.0},(196,274):{'3_1':0.03,'4_1':0.0},(196,273):{'3_1':0.0},(196,272):{'3_1':0.0,'4_1':0.0},(196,271):{'3_1':0.03},(196,270):{'5_2':0.0},(196,267):{'3_1':0.0},(196,266):{'3_1':0.0},(196,265):{'3_1':0.0},(196,264):{'3_1':0.0,'4_1':0.0},(196,263):{'4_1':0.0,'5_2':0.0},(196,262):{'3_1':0.0},(196,261):{'3_1':0.0},(196,260):{'3_1':0.0},(196,259):{'3_1':0.0,'4_1':0.0},(196,258):{'3_1':0.0,'4_1':0.0},(196,256):{'3_1':0.03},(196,255):{'3_1':0.0},(196,253):{'3_1':0.0},(196,252):{'3_1':0.0},(196,251):{'3_1':0.0},(196,250):{'3_1':0.0},(196,247):{'3_1':0.0},(196,246):{'3_1':0.0,'4_1':0.0},(196,245):{'3_1':0.03},(196,244):{'3_1':0.0,'4_1':0.0},(196,243):{'3_1':0.0},(196,242):{'3_1':0.03},(196,240):{'3_1':0.0,'4_1':0.0},(196,239):{'3_1':0.0},(196,238):{'3_1':0.03},(196,237):{'3_1':0.0},(196,235):{'3_1':0.0},(196,233):{'3_1':0.0},(196,232):{'3_1':0.0},(197,290):{'3_1':0.0},(197,289):{'3_1':0.0,'5_2':0.0},(197,288):{'3_1':0.03,'5_1':0.0},(197,287):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(197,286):{'3_1':0.0},(197,285):{'3_1':0.0},(197,284):{'3_1':0.0},(197,283):{'3_1':0.0},(197,282):{'3_1':0.06},(197,281):{'3_1':0.03},(197,280):{'3_1':0.06,'5_2':0.0},(197,279):{'3_1':0.06},(197,278):{'3_1':0.0,'5_2':0.0},(197,277):{'3_1':0.0,'5_1':0.0},(197,276):{'3_1':0.06},(197,275):{'3_1':0.06},(197,274):{'3_1':0.0,'4_1':0.0},(197,273):{'3_1':0.0},(197,272):{'3_1':0.0},(197,271):{'3_1':0.03},(197,270):{'3_1':0.0},(197,269):{'3_1':0.0},(197,268):{'3_1':0.0},(197,267):{'3_1':0.03,'4_1':0.0},(197,266):{'3_1':0.0},(197,265):{'3_1':0.0},(197,263):{'3_1':0.0},(197,262):{'3_1':0.03},(197,261):{'3_1':0.03},(197,260):{'3_1':0.0,'4_1':0.0},(197,259):{'3_1':0.0},(197,258):{'3_1':0.0},(197,257):{'3_1':0.0},(197,255):{'3_1':0.0,'4_1':0.0},(197,254):{'3_1':0.0,'4_1':0.0},(197,253):{'3_1':0.0},(197,252):{'3_1':0.0},(197,250):{'3_1':0.0},(197,249):{'3_1':0.0},(197,248):{'3_1':0.0},(197,247):{'3_1':0.03},(197,246):{'3_1':0.03},(197,245):{'3_1':0.0},(197,244):{'3_1':0.0},(197,243):{'3_1':0.03},(197,242):{'3_1':0.0},(197,241):{'3_1':0.03},(197,239):{'3_1':0.0},(197,238):{'3_1':0.0},(197,236):{'3_1':0.0},(197,233):{'3_1':0.0},(197,232):{'3_1':0.0},(197,229):{'3_1':0.0},(198,290):{'3_1':0.0,'4_1':0.0},(198,289):{'3_1':0.0,'5_2':0.0},(198,288):{'3_1':0.06},(198,287):{'3_1':0.0},(198,286):{'3_1':0.03},(198,285):{'3_1':0.03},(198,284):{'3_1':0.03},(198,283):{'3_1':0.03,'5_1':0.0},(198,282):{'3_1':0.06},(198,281):{'3_1':0.06},(198,280):{'3_1':0.03,'5_2':0.0},(198,279):{'3_1':0.0,'5_2':0.0},(198,278):{'3_1':0.0},(198,277):{'3_1':0.0,'4_1':0.0},(198,276):{'3_1':0.03},(198,275):{'3_1':0.0,'5_2':0.0},(198,274):{'3_1':0.0},(198,273):{'3_1':0.0},(198,272):{'4_1':0.0},(198,271):{'4_1':0.0},(198,270):{'3_1':0.0},(198,269):{'4_1':0.0,'5_2':0.0},(198,268):{'3_1':0.0,'4_1':0.0},(198,267):{'3_1':0.0},(198,266):{'3_1':0.0},(198,265):{'3_1':0.0,'4_1':0.0},(198,264):{'3_1':0.0},(198,263):{'3_1':0.0},(198,262):{'3_1':0.0},(198,261):{'3_1':0.0},(198,260):{'3_1':0.0},(198,258):{'3_1':0.0},(198,257):{'3_1':0.0,'4_1':0.0},(198,256):{'3_1':0.0},(198,255):{'3_1':0.0},(198,254):{'3_1':0.0,'5_2':0.0},(198,253):{'4_1':0.0},(198,252):{'3_1':0.0},(198,250):{'3_1':0.0},(198,249):{'3_1':0.03},(198,248):{'3_1':0.0},(198,247):{'3_1':0.0},(198,246):{'3_1':0.0},(198,245):{'3_1':0.0},(198,244):{'3_1':0.0},(198,243):{'3_1':0.0},(198,242):{'3_1':0.0},(198,241):{'3_1':0.0},(198,240):{'3_1':0.0},(198,238):{'3_1':0.0},(198,237):{'3_1':0.0},(198,236):{'3_1':0.0},(198,235):{'3_1':0.0},(198,234):{'3_1':0.0},(198,233):{'3_1':0.0},(198,232):{'3_1':0.0},(198,231):{'3_1':0.0},(198,229):{'3_1':0.0},(199,290):{'3_1':0.03,'4_1':0.0},(199,289):{'3_1':0.0,'4_1':0.0},(199,288):{'3_1':0.09},(199,287):{'3_1':0.03,'4_1':0.0},(199,286):{'3_1':0.03},(199,285):{'3_1':0.03},(199,284):{'3_1':0.0},(199,283):{'3_1':0.03,'5_2':0.0},(199,282):{'3_1':0.06},(199,281):{'3_1':0.0},(199,280):{'3_1':0.03,'5_2':0.0},(199,279):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(199,278):{'3_1':0.03},(199,277):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(199,276):{'4_1':0.0},(199,275):{'3_1':0.03,'4_1':0.0},(199,274):{'3_1':0.0},(199,273):{'3_1':0.0},(199,272):{'3_1':0.0,'5_2':0.0},(199,271):{'3_1':0.0},(199,269):{'3_1':0.0},(199,268):{'3_1':0.0},(199,267):{'3_1':0.0},(199,266):{'3_1':0.0,'4_1':0.0},(199,264):{'3_1':0.0},(199,263):{'3_1':0.0},(199,261):{'3_1':0.0},(199,260):{'3_1':0.0},(199,259):{'3_1':0.0,'4_1':0.0},(199,258):{'3_1':0.03},(199,257):{'3_1':0.0},(199,256):{'3_1':0.0},(199,255):{'3_1':0.0},(199,253):{'3_1':0.0,'4_1':0.0},(199,252):{'3_1':0.0},(199,251):{'3_1':0.0},(199,248):{'3_1':0.0},(199,246):{'3_1':0.0,'4_1':0.0},(199,245):{'3_1':0.0},(199,244):{'3_1':0.0},(199,242):{'3_1':0.0},(199,240):{'3_1':0.0},(199,239):{'3_1':0.0},(199,237):{'3_1':0.0},(199,236):{'3_1':0.0},(199,234):{'3_1':0.0},(199,233):{'3_1':0.0},(199,232):{'3_1':0.0},(199,231):{'3_1':0.0},(199,229):{'3_1':0.0},(199,228):{'3_1':0.0},(200,290):{'3_1':0.0},(200,289):{'3_1':0.0},(200,288):{'3_1':0.06},(200,287):{'3_1':0.0,'5_2':0.0},(200,286):{'3_1':0.0,'5_2':0.0},(200,285):{'3_1':0.03},(200,284):{'3_1':0.03},(200,283):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(200,282):{'3_1':0.03,'5_2':0.0},(200,281):{'3_1':0.03,'4_1':0.0},(200,280):{'3_1':0.03},(200,279):{'3_1':0.03},(200,278):{'3_1':0.0},(200,277):{'3_1':0.0},(200,276):{'3_1':0.0,'4_1':0.0},(200,275):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(200,274):{'3_1':0.0,'4_1':0.0},(200,273):{'3_1':0.0,'4_1':0.0},(200,272):{'3_1':0.0},(200,271):{'3_1':0.0},(200,270):{'3_1':0.0},(200,269):{'4_1':0.0},(200,268):{'3_1':0.0},(200,267):{'3_1':0.0},(200,266):{'3_1':0.0,'4_1':0.0},(200,265):{'3_1':0.0},(200,264):{'3_1':0.0},(200,263):{'3_1':0.03},(200,262):{'3_1':0.0},(200,261):{'3_1':0.0},(200,260):{'3_1':0.0},(200,258):{'3_1':0.0},(200,256):{'3_1':0.0},(200,255):{'3_1':0.0},(200,254):{'3_1':0.03},(200,253):{'3_1':0.0},(200,252):{'3_1':0.0},(200,251):{'3_1':0.0},(200,250):{'3_1':0.0},(200,249):{'3_1':0.0,'4_1':0.0},(200,248):{'3_1':0.0},(200,247):{'3_1':0.0},(200,246):{'3_1':0.0,'4_1':0.0},(200,244):{'3_1':0.0},(200,243):{'3_1':0.0},(200,242):{'3_1':0.0},(200,241):{'3_1':0.0},(200,240):{'3_1':0.0},(200,239):{'3_1':0.0},(200,237):{'3_1':0.0},(200,236):{'3_1':0.0},(200,235):{'3_1':0.0},(200,234):{'3_1':0.0},(200,232):{'3_1':0.0},(200,231):{'3_1':0.0},(201,290):{'4_1':0.0},(201,289):{'3_1':0.0,'5_2':0.0},(201,288):{'3_1':0.03,'5_2':0.0},(201,287):{'3_1':0.0},(201,286):{'3_1':0.0},(201,285):{'3_1':0.03,'5_2':0.0},(201,284):{'3_1':0.06},(201,283):{'3_1':0.03,'4_1':0.0},(201,282):{'3_1':0.03},(201,281):{'3_1':0.06,'5_2':0.0},(201,280):{'3_1':0.03,'4_1':0.0},(201,279):{'3_1':0.03},(201,278):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(201,277):{'3_1':0.06,'5_2':0.0},(201,276):{'3_1':0.03,'5_2':0.0},(201,275):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(201,274):{'3_1':0.0},(201,273):{'3_1':0.0,'4_1':0.0},(201,272):{'3_1':0.03},(201,271):{'3_1':0.0},(201,270):{'3_1':0.0},(201,268):{'3_1':0.0},(201,267):{'3_1':0.0,'4_1':0.0},(201,266):{'3_1':0.0},(201,265):{'3_1':0.0},(201,264):{'3_1':0.0},(201,263):{'3_1':0.0,'4_1':0.0},(201,262):{'3_1':0.0,'5_1':0.0},(201,261):{'3_1':0.0,'4_1':0.0},(201,260):{'3_1':0.0},(201,259):{'3_1':0.0},(201,258):{'3_1':0.0},(201,257):{'3_1':0.0},(201,256):{'3_1':0.0,'4_1':0.0},(201,255):{'3_1':0.0,'4_1':0.0},(201,254):{'3_1':0.0,'4_1':0.0},(201,253):{'3_1':0.0},(201,252):{'3_1':0.0},(201,251):{'3_1':0.0,'4_1':0.0},(201,250):{'3_1':0.0},(201,249):{'3_1':0.0},(201,248):{'3_1':0.0},(201,246):{'3_1':0.0},(201,245):{'3_1':0.0},(201,243):{'3_1':0.0},(201,242):{'3_1':0.0},(201,241):{'3_1':0.0,'4_1':0.0},(201,240):{'3_1':0.0},(201,239):{'3_1':0.0},(201,237):{'3_1':0.0},(201,236):{'3_1':0.0},(201,233):{'3_1':0.0},(201,230):{'3_1':0.0},(202,290):{'3_1':0.0},(202,289):{'3_1':0.0},(202,288):{'3_1':0.06,'5_2':0.0},(202,287):{'3_1':0.0,'5_2':0.0},(202,286):{'5_2':0.0,'3_1':0.0},(202,285):{'6_1':0.0},(202,284):{'3_1':0.0,'5_2':0.0},(202,283):{'3_1':0.03,'5_2':0.0},(202,282):{'3_1':0.03,'5_2':0.0},(202,281):{'3_1':0.0,'5_2':0.0},(202,280):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(202,279):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(202,278):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(202,277):{'3_1':0.03},(202,276):{'3_1':0.0},(202,275):{'3_1':0.0},(202,274):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(202,273):{'3_1':0.0},(202,272):{'3_1':0.0},(202,271):{'3_1':0.0},(202,270):{'3_1':0.03},(202,269):{'3_1':0.0},(202,267):{'3_1':0.0},(202,266):{'3_1':0.0},(202,265):{'3_1':0.0},(202,264):{'3_1':0.0,'5_2':0.0},(202,262):{'3_1':0.0},(202,261):{'4_1':0.0,'3_1':0.0},(202,259):{'4_1':0.0},(202,258):{'4_1':0.0},(202,257):{'3_1':0.0},(202,256):{'4_1':0.0},(202,255):{'3_1':0.0},(202,254):{'3_1':0.0},(202,253):{'3_1':0.0},(202,252):{'3_1':0.0},(202,251):{'3_1':0.0},(202,250):{'4_1':0.0},(202,249):{'3_1':0.0},(202,248):{'3_1':0.0,'4_1':0.0},(202,247):{'3_1':0.0},(202,246):{'3_1':0.0},(202,245):{'3_1':0.0},(202,244):{'3_1':0.0},(202,243):{'3_1':0.0},(202,242):{'3_1':0.0},(202,240):{'3_1':0.0},(202,239):{'3_1':0.0},(202,238):{'3_1':0.0},(202,237):{'3_1':0.0},(202,236):{'3_1':0.0},(202,235):{'3_1':0.0},(202,234):{'3_1':0.0},(202,233):{'3_1':0.0},(202,231):{'3_1':0.0},(203,290):{'3_1':0.03},(203,289):{'3_1':0.0,'4_1':0.0},(203,288):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(203,287):{'3_1':0.03,'4_1':0.0},(203,286):{'3_1':0.0},(203,285):{'3_1':0.09,'4_1':0.0},(203,284):{'3_1':0.03,'4_1':0.0},(203,283):{'3_1':0.03,'5_2':0.0},(203,282):{'3_1':0.03},(203,281):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(203,280):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(203,279):{'3_1':0.03,'5_2':0.0},(203,278):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(203,277):{'3_1':0.0},(203,276):{'3_1':0.03,'5_2':0.0},(203,275):{'3_1':0.03,'4_1':0.0},(203,274):{'3_1':0.03,'4_1':0.0},(203,273):{'3_1':0.0},(203,272):{'3_1':0.0},(203,271):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(203,270):{'3_1':0.0},(203,269):{'3_1':0.0},(203,267):{'3_1':0.0},(203,266):{'3_1':0.0},(203,265):{'3_1':0.0},(203,264):{'3_1':0.0},(203,263):{'3_1':0.0},(203,262):{'3_1':0.0},(203,261):{'3_1':0.0},(203,260):{'3_1':0.0},(203,259):{'3_1':0.0},(203,258):{'3_1':0.0,'4_1':0.0},(203,257):{'3_1':0.0,'4_1':0.0},(203,255):{'3_1':0.0},(203,254):{'3_1':0.0},(203,253):{'3_1':0.03},(203,252):{'3_1':0.0},(203,251):{'3_1':0.0,'4_1':0.0},(203,249):{'3_1':0.0,'4_1':0.0},(203,248):{'3_1':0.03},(203,246):{'3_1':0.0},(203,245):{'3_1':0.0},(203,243):{'3_1':0.0},(203,242):{'3_1':0.0},(203,241):{'3_1':0.0,'4_1':0.0},(203,240):{'3_1':0.0},(203,239):{'3_1':0.03},(203,238):{'3_1':0.0},(203,237):{'3_1':0.0},(203,236):{'3_1':0.0},(203,235):{'3_1':0.0},(203,234):{'3_1':0.0},(204,290):{'3_1':0.03},(204,289):{'3_1':0.03},(204,288):{'3_1':0.06,'5_2':0.0},(204,287):{'3_1':0.0},(204,286):{'3_1':0.06},(204,285):{'3_1':0.0},(204,284):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(204,283):{'3_1':0.03,'5_2':0.0},(204,282):{'3_1':0.03},(204,281):{'3_1':0.03},(204,280):{'3_1':0.03,'4_1':0.0},(204,279):{'3_1':0.03,'5_2':0.0},(204,278):{'3_1':0.03},(204,277):{'3_1':0.0,'4_1':0.0},(204,276):{'3_1':0.06},(204,275):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(204,274):{'3_1':0.03},(204,273):{'3_1':0.0,'4_1':0.0},(204,272):{'3_1':0.0},(204,271):{'3_1':0.0},(204,270):{'3_1':0.0,'4_1':0.0},(204,269):{'3_1':0.0},(204,268):{'3_1':0.0},(204,267):{'3_1':0.0},(204,266):{'3_1':0.0,'4_1':0.0},(204,265):{'3_1':0.0,'4_1':0.0},(204,263):{'3_1':0.0,'4_1':0.0},(204,262):{'3_1':0.0},(204,261):{'3_1':0.0},(204,260):{'3_1':0.0},(204,259):{'3_1':0.0},(204,258):{'3_1':0.03},(204,257):{'3_1':0.0},(204,255):{'3_1':0.03,'4_1':0.0},(204,253):{'3_1':0.0},(204,252):{'3_1':0.0,'4_1':0.0},(204,251):{'3_1':0.0},(204,250):{'3_1':0.0},(204,249):{'3_1':0.0},(204,248):{'3_1':0.0,'4_1':0.0},(204,246):{'3_1':0.0},(204,240):{'3_1':0.0,'4_1':0.0},(204,239):{'3_1':0.0},(204,238):{'3_1':0.0},(204,237):{'3_1':0.0},(204,236):{'3_1':0.0},(204,235):{'3_1':0.0},(204,234):{'3_1':0.0},(204,233):{'3_1':0.0},(204,231):{'3_1':0.0},(205,290):{'3_1':0.0,'4_1':0.0},(205,289):{'3_1':0.06,'5_2':0.0},(205,288):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(205,287):{'3_1':0.03},(205,286):{'3_1':0.0,'4_1':0.0},(205,285):{'3_1':0.0,'4_1':0.0},(205,284):{'3_1':0.03},(205,283):{'3_1':0.0,'5_2':0.0},(205,282):{'3_1':0.03,'5_2':0.0},(205,281):{'3_1':0.03},(205,280):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(205,279):{'3_1':0.03,'5_2':0.0},(205,278):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(205,277):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(205,276):{'3_1':0.03,'5_2':0.0},(205,275):{'3_1':0.03,'5_2':0.0},(205,274):{'3_1':0.0,'4_1':0.0},(205,272):{'3_1':0.0},(205,270):{'3_1':0.0},(205,269):{'3_1':0.0,'4_1':0.0},(205,267):{'3_1':0.0},(205,265):{'3_1':0.0},(205,264):{'3_1':0.0,'5_2':0.0},(205,262):{'3_1':0.0},(205,260):{'3_1':0.0},(205,259):{'3_1':0.0},(205,258):{'3_1':0.0},(205,257):{'4_1':0.0},(205,256):{'4_1':0.0},(205,255):{'4_1':0.0},(205,254):{'3_1':0.0,'4_1':0.0},(205,253):{'3_1':0.0,'4_1':0.0},(205,252):{'3_1':0.0},(205,251):{'3_1':0.0},(205,250):{'3_1':0.0},(205,249):{'3_1':0.0},(205,248):{'3_1':0.0},(205,247):{'3_1':0.0,'4_1':0.0},(205,246):{'3_1':0.0},(205,245):{'3_1':0.0},(205,244):{'3_1':0.06},(205,242):{'3_1':0.03},(205,241):{'3_1':0.0},(205,240):{'3_1':0.0},(205,239):{'3_1':0.0},(205,238):{'3_1':0.0},(205,237):{'3_1':0.0},(205,236):{'3_1':0.0},(205,235):{'3_1':0.0},(205,232):{'3_1':0.0},(205,230):{'3_1':0.0},(206,290):{'3_1':0.0,'4_1':0.0},(206,289):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(206,288):{'3_1':0.0,'5_2':0.0},(206,286):{'3_1':0.03,'5_2':0.0},(206,285):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(206,284):{'3_1':0.0},(206,283):{'3_1':0.03,'5_2':0.0},(206,282):{'3_1':0.06},(206,281):{'3_1':0.03,'5_2':0.0},(206,280):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(206,279):{'3_1':0.03,'5_2':0.0},(206,278):{'3_1':0.0,'4_1':0.0},(206,277):{'3_1':0.0,'4_1':0.0},(206,276):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(206,275):{'3_1':0.06},(206,274):{'3_1':0.03},(206,273):{'3_1':0.0,'5_2':0.0},(206,272):{'3_1':0.0},(206,271):{'3_1':0.0},(206,270):{'3_1':0.0},(206,269):{'3_1':0.0,'4_1':0.0},(206,268):{'3_1':0.0},(206,267):{'3_1':0.0},(206,266):{'4_1':0.0,'3_1':0.0},(206,265):{'3_1':0.0},(206,264):{'3_1':0.0,'5_2':0.0},(206,262):{'3_1':0.0},(206,261):{'3_1':0.0},(206,260):{'3_1':0.03,'4_1':0.0},(206,259):{'3_1':0.0},(206,258):{'3_1':0.0},(206,257):{'3_1':0.0},(206,256):{'3_1':0.0,'4_1':0.0},(206,255):{'3_1':0.0},(206,254):{'3_1':0.0},(206,253):{'3_1':0.0},(206,252):{'3_1':0.0},(206,251):{'3_1':0.0},(206,250):{'4_1':0.0},(206,249):{'3_1':0.0},(206,247):{'3_1':0.0},(206,246):{'3_1':0.03},(206,245):{'3_1':0.0},(206,244):{'3_1':0.0,'4_1':0.0},(206,243):{'3_1':0.0,'4_1':0.0},(206,242):{'3_1':0.0,'4_1':0.0},(206,241):{'3_1':0.0,'4_1':0.0},(206,240):{'3_1':0.0},(206,239):{'3_1':0.0},(206,238):{'3_1':0.0},(206,236):{'3_1':0.0},(206,235):{'3_1':0.0},(206,233):{'3_1':0.0},(206,232):{'3_1':0.0},(206,231):{'3_1':0.0},(206,230):{'3_1':0.0},(207,289):{'3_1':0.03,'4_1':0.0},(207,288):{'3_1':0.06,'5_2':0.0},(207,287):{'3_1':0.0,'5_2':0.0},(207,286):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(207,285):{'3_1':0.0},(207,284):{'3_1':0.03,'5_2':0.0},(207,283):{'3_1':0.03,'4_1':0.0},(207,282):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(207,281):{'3_1':0.06},(207,280):{'3_1':0.03,'5_2':0.0},(207,279):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(207,278):{'3_1':0.0,'5_2':0.0},(207,277):{'3_1':0.0,'5_2':0.0},(207,276):{'3_1':0.03,'4_1':0.0},(207,275):{'3_1':0.0},(207,274):{'3_1':0.0},(207,273):{'3_1':0.0},(207,272):{'3_1':0.0},(207,271):{'3_1':0.03,'4_1':0.0},(207,270):{'3_1':0.0},(207,269):{'3_1':0.0,'4_1':0.0},(207,267):{'3_1':0.0},(207,266):{'3_1':0.0},(207,263):{'3_1':0.0},(207,261):{'3_1':0.0},(207,259):{'3_1':0.0},(207,258):{'3_1':0.0},(207,257):{'3_1':0.0},(207,256):{'4_1':0.0},(207,255):{'4_1':0.0},(207,253):{'3_1':0.0},(207,251):{'3_1':0.0},(207,250):{'3_1':0.0},(207,249):{'3_1':0.0},(207,248):{'3_1':0.0},(207,247):{'3_1':0.0},(207,246):{'4_1':0.0},(207,245):{'4_1':0.0,'3_1':0.0},(207,244):{'3_1':0.0},(207,243):{'3_1':0.0},(207,241):{'3_1':0.0},(207,240):{'3_1':0.0},(207,239):{'3_1':0.03},(207,238):{'3_1':0.0},(207,237):{'3_1':0.0},(207,236):{'3_1':0.0},(207,235):{'3_1':0.0},(207,234):{'3_1':0.0},(207,233):{'3_1':0.0},(207,230):{'3_1':0.0},(208,290):{'3_1':0.0},(208,289):{'3_1':0.0,'4_1':0.0},(208,288):{'3_1':0.09},(208,286):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(208,285):{'3_1':0.03,'4_1':0.0},(208,284):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(208,283):{'3_1':0.03,'4_1':0.0},(208,282):{'3_1':0.06,'5_2':0.0},(208,281):{'3_1':0.03},(208,280):{'4_1':0.0,'3_1':0.0},(208,279):{'3_1':0.09,'4_1':0.0},(208,278):{'3_1':0.03,'5_2':0.0},(208,277):{'3_1':0.03,'4_1':0.0},(208,276):{'4_1':0.0,'3_1':0.0},(208,275):{'4_1':0.0},(208,274):{'3_1':0.0,'5_2':0.0},(208,273):{'3_1':0.0},(208,272):{'3_1':0.0,'4_1':0.0},(208,271):{'3_1':0.0},(208,270):{'3_1':0.0,'5_2':0.0},(208,269):{'3_1':0.0,'4_1':0.0},(208,267):{'3_1':0.0,'4_1':0.0},(208,266):{'3_1':0.0},(208,265):{'5_2':0.0},(208,264):{'3_1':0.0},(208,263):{'3_1':0.03},(208,261):{'3_1':0.0},(208,260):{'3_1':0.0},(208,259):{'3_1':0.03},(208,257):{'3_1':0.0},(208,256):{'3_1':0.0,'4_1':0.0},(208,255):{'4_1':0.0},(208,253):{'3_1':0.0},(208,252):{'3_1':0.0},(208,251):{'3_1':0.0},(208,250):{'3_1':0.0},(208,249):{'4_1':0.0},(208,247):{'3_1':0.0},(208,246):{'3_1':0.03,'4_1':0.0},(208,244):{'3_1':0.0},(208,243):{'3_1':0.0},(208,242):{'3_1':0.0},(208,241):{'3_1':0.0,'4_1':0.0},(208,240):{'3_1':0.0},(208,239):{'3_1':0.0},(208,238):{'3_1':0.0},(208,237):{'3_1':0.0},(208,233):{'3_1':0.0},(208,232):{'3_1':0.0},(208,229):{'3_1':0.0},(209,290):{'3_1':0.0,'5_2':0.0},(209,289):{'3_1':0.03,'5_2':0.0},(209,288):{'3_1':0.06,'5_2':0.0},(209,287):{'3_1':0.06,'4_1':0.0},(209,286):{'3_1':0.0,'5_2':0.0},(209,285):{'3_1':0.0},(209,284):{'3_1':0.03},(209,283):{'3_1':0.03,'5_2':0.0},(209,282):{'3_1':0.03},(209,281):{'3_1':0.03,'4_1':0.0},(209,280):{'3_1':0.03},(209,279):{'3_1':0.03},(209,278):{'3_1':0.06},(209,277):{'3_1':0.0},(209,276):{'3_1':0.0},(209,275):{'3_1':0.06},(209,274):{'3_1':0.0},(209,273):{'3_1':0.0},(209,271):{'3_1':0.0},(209,270):{'3_1':0.03,'4_1':0.0},(209,269):{'3_1':0.0},(209,265):{'3_1':0.0},(209,264):{'3_1':0.0},(209,262):{'3_1':0.0},(209,252):{'4_1':0.0},(209,250):{'3_1':0.0},(209,249):{'3_1':0.0,'4_1':0.0},(209,248):{'3_1':0.03},(209,246):{'3_1':0.0},(209,245):{'3_1':0.0},(209,244):{'3_1':0.0,'4_1':0.0},(209,243):{'3_1':0.0},(209,241):{'3_1':0.0},(209,238):{'3_1':0.0},(209,237):{'3_1':0.0},(209,235):{'3_1':0.0},(210,290):{'3_1':0.0,'5_2':0.0},(210,289):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(210,288):{'3_1':0.06},(210,287):{'3_1':0.0,'5_2':0.0},(210,286):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(210,285):{'3_1':0.0},(210,284):{'3_1':0.0,'5_2':0.0},(210,283):{'3_1':0.06},(210,282):{'3_1':0.0,'5_2':0.0},(210,281):{'3_1':0.0,'5_2':0.0},(210,280):{'3_1':0.03,'4_1':0.0},(210,279):{'3_1':0.0,'4_1':0.0},(210,278):{'3_1':0.03,'4_1':0.0},(210,277):{'4_1':0.0},(210,276):{'3_1':0.0},(210,275):{'3_1':0.0},(210,274):{'3_1':0.0,'5_2':0.0},(210,273):{'3_1':0.0,'4_1':0.0},(210,272):{'3_1':0.0,'5_2':0.0},(210,270):{'3_1':0.0},(210,269):{'3_1':0.03},(210,268):{'4_1':0.0},(210,267):{'3_1':0.0},(210,263):{'3_1':0.0},(210,256):{'3_1':0.0},(210,252):{'3_1':0.0},(210,251):{'3_1':0.0},(210,250):{'3_1':0.0},(210,249):{'3_1':0.0},(210,245):{'3_1':0.0},(210,244):{'3_1':0.0},(210,242):{'3_1':0.0},(210,239):{'3_1':0.0},(210,238):{'3_1':0.03},(210,237):{'3_1':0.0},(210,235):{'3_1':0.0},(210,234):{'3_1':0.0},(210,230):{'4_1':0.0},(211,290):{'3_1':0.06,'4_1':0.0},(211,289):{'3_1':0.03,'5_1':0.0},(211,288):{'3_1':0.03,'5_2':0.0},(211,287):{'3_1':0.03,'5_2':0.0},(211,286):{'3_1':0.03},(211,285):{'3_1':0.0},(211,284):{'3_1':0.03},(211,283):{'3_1':0.03,'5_2':0.0},(211,282):{'3_1':0.0},(211,281):{'3_1':0.03,'5_2':0.0},(211,280):{'3_1':0.0},(211,279):{'3_1':0.03,'5_2':0.0},(211,278):{'3_1':0.0,'5_2':0.0},(211,277):{'3_1':0.0,'5_2':0.0},(211,276):{'3_1':0.03,'5_2':0.0},(211,275):{'3_1':0.0},(211,273):{'3_1':0.0},(211,272):{'3_1':0.0},(211,260):{'3_1':0.0},(211,252):{'4_1':0.0},(211,250):{'3_1':0.0},(211,249):{'3_1':0.0},(211,243):{'3_1':0.0},(211,242):{'3_1':0.0},(211,240):{'3_1':0.0},(211,239):{'3_1':0.0},(211,238):{'3_1':0.0},(211,235):{'3_1':0.0},(211,234):{'3_1':0.0},(212,290):{'3_1':0.0},(212,289):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(212,288):{'3_1':0.03},(212,287):{'3_1':0.0},(212,286):{'3_1':0.03,'5_2':0.0},(212,285):{'3_1':0.0,'4_1':0.0},(212,284):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(212,283):{'3_1':0.0},(212,282):{'3_1':0.0},(212,281):{'3_1':0.06,'5_2':0.0},(212,280):{'3_1':0.03},(212,278):{'3_1':0.03},(212,277):{'5_2':0.0},(212,276):{'3_1':0.03,'4_1':0.0},(212,275):{'4_1':0.0,'5_2':0.0},(212,273):{'5_2':0.0},(212,272):{'3_1':0.0},(212,267):{'3_1':0.0},(212,266):{'3_1':0.0},(212,264):{'3_1':0.0},(212,261):{'3_1':0.0},(212,259):{'3_1':0.0},(212,253):{'4_1':0.0},(212,251):{'4_1':0.0},(212,250):{'3_1':0.0},(212,249):{'4_1':0.0},(212,248):{'3_1':0.0},(212,240):{'3_1':0.0},(212,239):{'3_1':0.0},(212,238):{'5_2':0.0},(212,237):{'3_1':0.0},(212,236):{'3_1':0.0},(212,235):{'3_1':0.0},(213,290):{'3_1':0.0},(213,289):{'3_1':0.03,'5_2':0.0},(213,288):{'3_1':0.03},(213,287):{'3_1':0.0,'4_1':0.0},(213,286):{'3_1':0.0,'5_2':0.0},(213,285):{'4_1':0.0,'3_1':0.0},(213,284):{'3_1':0.03},(213,283):{'3_1':0.0},(213,282):{'3_1':0.06},(213,281):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(213,280):{'3_1':0.0,'5_2':0.0},(213,279):{'3_1':0.03},(213,278):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(213,277):{'3_1':0.03,'4_1':0.0},(213,276):{'3_1':0.0,'4_1':0.0},(213,275):{'3_1':0.0,'4_1':0.0},(213,274):{'3_1':0.0},(213,272):{'3_1':0.0},(213,268):{'4_1':0.0},(213,266):{'3_1':0.0},(213,265):{'3_1':0.0},(213,263):{'3_1':0.0},(213,262):{'3_1':0.0},(213,261):{'3_1':0.0},(213,260):{'3_1':0.0,'4_1':0.0},(213,259):{'3_1':0.0,'4_1':0.0},(213,258):{'3_1':0.0},(213,256):{'3_1':0.0,'4_1':0.0},(213,252):{'4_1':0.0},(213,251):{'3_1':0.03,'4_1':0.0},(213,248):{'3_1':0.0},(213,246):{'3_1':0.0},(213,243):{'4_1':0.0},(213,241):{'3_1':0.0},(213,239):{'3_1':0.0,'4_1':0.0},(213,238):{'3_1':0.0},(213,237):{'3_1':0.0},(213,235):{'3_1':0.0},(213,233):{'3_1':0.0},(213,232):{'3_1':0.0},(214,290):{'3_1':0.0},(214,289):{'3_1':0.0},(214,288):{'3_1':0.03},(214,287):{'3_1':0.03},(214,286):{'3_1':0.0,'4_1':0.0},(214,285):{'3_1':0.03,'5_2':0.0},(214,284):{'3_1':0.03},(214,283):{'3_1':0.03,'5_2':0.0},(214,282):{'3_1':0.06,'5_2':0.0},(214,281):{'3_1':0.0},(214,280):{'3_1':0.06,'5_2':0.0},(214,279):{'3_1':0.0},(214,278):{'3_1':0.06},(214,277):{'3_1':0.03},(214,276):{'3_1':0.0,'4_1':0.0},(214,275):{'3_1':0.0},(214,274):{'3_1':0.0},(214,273):{'3_1':0.0},(214,272):{'3_1':0.0},(214,269):{'3_1':0.0},(214,268):{'3_1':0.0},(214,267):{'3_1':0.0},(214,266):{'3_1':0.0},(214,260):{'3_1':0.0},(214,254):{'4_1':0.0},(214,253):{'4_1':0.0},(214,249):{'4_1':0.0},(214,247):{'3_1':0.0},(214,246):{'3_1':0.0},(214,242):{'4_1':0.0},(214,238):{'3_1':0.0},(214,237):{'3_1':0.0},(215,290):{'3_1':0.0},(215,289):{'3_1':0.0},(215,288):{'3_1':0.03},(215,287):{'3_1':0.03},(215,286):{'3_1':0.0},(215,285):{'3_1':0.0},(215,284):{'3_1':0.0},(215,283):{'3_1':0.06},(215,282):{'3_1':0.0},(215,281):{'3_1':0.03},(215,280):{'3_1':0.0},(215,279):{'3_1':0.0},(215,278):{'3_1':0.0},(215,277):{'3_1':0.03},(215,275):{'3_1':0.0},(215,274):{'3_1':0.0},(215,271):{'3_1':0.0},(215,267):{'3_1':0.0},(215,266):{'3_1':0.0},(215,265):{'3_1':0.0},(215,242):{'3_1':0.0},(215,238):{'3_1':0.0},(215,236):{'3_1':0.0},(215,235):{'3_1':0.0},(216,290):{'3_1':0.03},(216,289):{'3_1':0.0,'5_1':0.0},(216,288):{'3_1':0.03},(216,287):{'3_1':0.0},(216,286):{'3_1':0.03},(216,285):{'3_1':0.0},(216,284):{'3_1':0.0},(216,283):{'3_1':0.03},(216,282):{'3_1':0.06},(216,281):{'3_1':0.0},(216,280):{'3_1':0.03},(216,279):{'3_1':0.03},(216,278):{'3_1':0.0},(216,277):{'3_1':0.0},(216,276):{'3_1':0.0},(216,275):{'3_1':0.03},(216,274):{'3_1':0.0},(216,273):{'3_1':0.0},(216,272):{'3_1':0.0},(216,269):{'3_1':0.0},(216,268):{'3_1':0.0,'4_1':0.0},(216,267):{'3_1':0.0},(216,266):{'3_1':0.0},(216,265):{'3_1':0.0},(216,235):{'3_1':0.0},(216,232):{'4_1':0.0},(216,231):{'3_1':0.0},(217,290):{'3_1':0.0},(217,289):{'5_1':0.0},(217,288):{'3_1':0.03},(217,287):{'3_1':0.03},(217,286):{'3_1':0.03},(217,285):{'3_1':0.0},(217,284):{'3_1':0.0},(217,283):{'3_1':0.06},(217,282):{'3_1':0.03},(217,281):{'3_1':0.03},(217,280):{'3_1':0.03},(217,279):{'3_1':0.03},(217,278):{'3_1':0.03},(217,276):{'3_1':0.0},(217,275):{'3_1':0.0},(217,274):{'3_1':0.03},(217,273):{'3_1':0.0},(217,272):{'3_1':0.03},(217,271):{'4_1':0.0},(217,267):{'3_1':0.0},(217,265):{'3_1':0.0},(217,237):{'3_1':0.0},(217,236):{'3_1':0.0},(217,235):{'3_1':0.0},(217,233):{'3_1':0.0},(218,290):{'3_1':0.03},(218,289):{'3_1':0.03},(218,288):{'3_1':0.0},(218,287):{'3_1':0.06},(218,286):{'3_1':0.0},(218,285):{'3_1':0.03},(218,284):{'3_1':0.0},(218,283):{'3_1':0.0},(218,282):{'3_1':0.03},(218,281):{'3_1':0.0},(218,280):{'3_1':0.03},(218,279):{'3_1':0.06},(218,278):{'3_1':0.06},(218,277):{'3_1':0.0},(218,276):{'3_1':0.03,'4_1':0.0},(218,275):{'3_1':0.0},(218,274):{'3_1':0.0},(218,272):{'3_1':0.0},(218,271):{'3_1':0.0},(218,269):{'3_1':0.0},(218,266):{'3_1':0.0},(218,236):{'3_1':0.0},(218,234):{'3_1':0.0},(218,233):{'3_1':0.0},(219,290):{'3_1':0.0},(219,289):{'3_1':0.0},(219,288):{'3_1':0.0},(219,287):{'3_1':0.0},(219,286):{'3_1':0.0},(219,285):{'3_1':0.03},(219,284):{'3_1':0.06},(219,283):{'3_1':0.03},(219,282):{'3_1':0.0},(219,281):{'3_1':0.03},(219,280):{'3_1':0.0},(219,279):{'3_1':0.0},(219,278):{'3_1':0.03},(219,277):{'3_1':0.03},(219,276):{'3_1':0.03},(219,275):{'3_1':0.03},(219,274):{'3_1':0.0},(219,272):{'3_1':0.0},(219,271):{'3_1':0.0},(219,267):{'3_1':0.0},(219,264):{'3_1':0.0},(219,237):{'3_1':0.0},(219,236):{'3_1':0.0},(219,234):{'3_1':0.0},(219,231):{'3_1':0.0},(220,290):{'3_1':0.0},(220,289):{'3_1':0.0},(220,288):{'3_1':0.0},(220,287):{'3_1':0.03},(220,286):{'3_1':0.0},(220,285):{'3_1':0.03},(220,284):{'3_1':0.0},(220,282):{'3_1':0.03},(220,281):{'3_1':0.12},(220,280):{'3_1':0.06},(220,279):{'3_1':0.03},(220,278):{'3_1':0.03},(220,277):{'3_1':0.0},(220,276):{'3_1':0.0},(220,275):{'3_1':0.03},(220,274):{'3_1':0.0},(220,273):{'3_1':0.0},(220,272):{'3_1':0.0},(220,271):{'3_1':0.0},(220,268):{'3_1':0.0},(220,267):{'3_1':0.0},(220,266):{'3_1':0.0},(220,265):{'3_1':0.0},(220,264):{'3_1':0.0},(220,261):{'3_1':0.0},(220,236):{'3_1':0.0},(220,233):{'3_1':0.0},(220,231):{'3_1':0.03},(221,290):{'3_1':0.0},(221,289):{'3_1':0.03},(221,288):{'3_1':0.0},(221,287):{'3_1':0.03},(221,286):{'3_1':0.03},(221,285):{'3_1':0.0},(221,284):{'3_1':0.0},(221,283):{'3_1':0.03},(221,282):{'3_1':0.0},(221,281):{'3_1':0.0},(221,280):{'3_1':0.06},(221,279):{'3_1':0.0},(221,278):{'3_1':0.0},(221,277):{'3_1':0.06},(221,276):{'3_1':0.0},(221,275):{'3_1':0.03},(221,274):{'3_1':0.0},(221,273):{'3_1':0.0},(221,271):{'3_1':0.0},(221,270):{'3_1':0.0},(221,268):{'3_1':0.0},(221,265):{'3_1':0.0},(221,263):{'3_1':0.0},(222,290):{'3_1':0.03},(222,289):{'3_1':0.03},(222,287):{'3_1':0.03},(222,286):{'3_1':0.03},(222,285):{'3_1':0.0},(222,284):{'3_1':0.06},(222,283):{'3_1':0.06},(222,282):{'3_1':0.09},(222,281):{'3_1':0.03},(222,280):{'3_1':0.0},(222,279):{'3_1':0.06},(222,278):{'3_1':0.0},(222,277):{'3_1':0.0},(222,276):{'3_1':0.0},(222,275):{'3_1':0.03},(222,274):{'3_1':0.0},(222,273):{'3_1':0.0},(222,269):{'3_1':0.0},(222,267):{'3_1':0.0},(222,266):{'3_1':0.0},(222,264):{'3_1':0.0},(222,263):{'3_1':0.0},(223,290):{'3_1':0.0},(223,289):{'3_1':0.0},(223,288):{'3_1':0.0},(223,287):{'3_1':0.03},(223,286):{'3_1':0.03},(223,285):{'3_1':0.03},(223,284):{'3_1':0.0},(223,283):{'3_1':0.03},(223,282):{'3_1':0.0},(223,281):{'3_1':0.03},(223,280):{'3_1':0.03},(223,279):{'3_1':0.03},(223,278):{'3_1':0.03},(223,277):{'3_1':0.03},(223,276):{'3_1':0.0},(223,275):{'3_1':0.03},(223,274):{'3_1':0.03},(223,272):{'3_1':0.0},(223,271):{'3_1':0.0},(223,270):{'3_1':0.0},(223,269):{'3_1':0.0},(223,267):{'3_1':0.0},(223,266):{'3_1':0.0},(223,264):{'3_1':0.0},(224,290):{'3_1':0.03},(224,289):{'3_1':0.0},(224,288):{'3_1':0.0},(224,287):{'3_1':0.0},(224,286):{'3_1':0.0},(224,285):{'3_1':0.0},(224,284):{'3_1':0.0},(224,283):{'3_1':0.03},(224,282):{'3_1':0.03},(224,281):{'3_1':0.06},(224,280):{'3_1':0.03},(224,279):{'3_1':0.03},(224,278):{'3_1':0.0},(224,277):{'3_1':0.03},(224,276):{'3_1':0.03},(224,275):{'3_1':0.03},(224,274):{'3_1':0.0},(224,272):{'3_1':0.0},(224,271):{'3_1':0.0},(224,270):{'3_1':0.0},(224,269):{'3_1':0.0},(224,268):{'3_1':0.0},(224,267):{'3_1':0.0},(224,264):{'3_1':0.0},(225,290):{'3_1':0.0,'4_1':0.0},(225,288):{'3_1':0.0},(225,287):{'3_1':0.0},(225,286):{'3_1':0.0},(225,285):{'3_1':0.03},(225,284):{'3_1':0.03},(225,283):{'3_1':0.03},(225,282):{'3_1':0.0},(225,281):{'3_1':0.0},(225,280):{'3_1':0.0},(225,279):{'3_1':0.0},(225,278):{'3_1':0.0},(225,277):{'3_1':0.0},(225,276):{'3_1':0.0},(225,274):{'3_1':0.03},(225,273):{'3_1':0.0},(225,271):{'3_1':0.0},(225,270):{'3_1':0.03},(225,268):{'3_1':0.0},(225,265):{'3_1':0.0},(225,264):{'3_1':0.0},(225,263):{'3_1':0.0},(226,290):{'3_1':0.03},(226,288):{'3_1':0.0},(226,286):{'3_1':0.0},(226,285):{'3_1':0.03},(226,284):{'3_1':0.0},(226,283):{'3_1':0.03},(226,282):{'3_1':0.03},(226,281):{'3_1':0.0},(226,280):{'3_1':0.0},(226,279):{'3_1':0.03},(226,278):{'3_1':0.03},(226,277):{'3_1':0.03},(226,276):{'3_1':0.0},(226,275):{'3_1':0.03},(226,273):{'3_1':0.0},(226,272):{'3_1':0.0},(226,270):{'3_1':0.0},(226,269):{'3_1':0.03},(226,268):{'3_1':0.0},(226,267):{'3_1':0.0},(226,266):{'3_1':0.0},(226,265):{'3_1':0.0},(226,264):{'3_1':0.0},(227,290):{'3_1':0.0},(227,289):{'3_1':0.0},(227,288):{'3_1':0.0},(227,287):{'3_1':0.0},(227,286):{'3_1':0.03},(227,285):{'3_1':0.06},(227,284):{'3_1':0.0},(227,283):{'3_1':0.03},(227,282):{'3_1':0.03},(227,281):{'3_1':0.0},(227,280):{'3_1':0.06},(227,279):{'3_1':0.03},(227,278):{'3_1':0.0},(227,277):{'3_1':0.0},(227,276):{'3_1':0.0},(227,275):{'3_1':0.0},(227,274):{'3_1':0.0},(227,272):{'3_1':0.03},(227,271):{'3_1':0.0},(227,270):{'3_1':0.0},(227,269):{'3_1':0.0},(227,268):{'3_1':0.0},(227,267):{'3_1':0.0},(227,262):{'3_1':0.0},(228,290):{'3_1':0.0},(228,289):{'3_1':0.0},(228,288):{'3_1':0.0},(228,287):{'3_1':0.0},(228,286):{'3_1':0.03},(228,285):{'3_1':0.0},(228,284):{'3_1':0.0},(228,283):{'3_1':0.03},(228,281):{'3_1':0.0},(228,280):{'3_1':0.0},(228,279):{'3_1':0.0},(228,278):{'3_1':0.0},(228,277):{'3_1':0.03},(228,276):{'3_1':0.0},(228,275):{'3_1':0.0},(228,274):{'3_1':0.0},(228,273):{'3_1':0.0},(228,270):{'3_1':0.0},(228,269):{'3_1':0.0},(228,263):{'3_1':0.0},(229,290):{'3_1':0.0},(229,288):{'3_1':0.03},(229,287):{'3_1':0.0},(229,286):{'3_1':0.03},(229,285):{'3_1':0.0},(229,284):{'3_1':0.0},(229,283):{'3_1':0.03},(229,282):{'3_1':0.0},(229,281):{'3_1':0.0},(229,280):{'3_1':0.03},(229,279):{'3_1':0.0},(229,278):{'3_1':0.03},(229,277):{'3_1':0.0},(229,276):{'3_1':0.0},(229,274):{'3_1':0.0},(229,272):{'3_1':0.0},(229,270):{'3_1':0.0},(229,269):{'3_1':0.0,'5_2':0.0},(229,267):{'3_1':0.0},(229,264):{'3_1':0.0},(229,263):{'3_1':0.0},(230,290):{'3_1':0.0},(230,289):{'3_1':0.0},(230,287):{'3_1':0.0},(230,286):{'3_1':0.03},(230,285):{'3_1':0.03},(230,284):{'3_1':0.0},(230,283):{'3_1':0.0},(230,282):{'3_1':0.03},(230,281):{'3_1':0.0},(230,280):{'3_1':0.0},(230,279):{'3_1':0.0},(230,278):{'3_1':0.0},(230,277):{'3_1':0.0},(230,276):{'3_1':0.0},(230,275):{'3_1':0.0},(230,274):{'3_1':0.0},(230,273):{'3_1':0.0},(230,272):{'3_1':0.0},(230,270):{'3_1':0.0},(230,267):{'3_1':0.0},(230,265):{'3_1':0.0},(231,290):{'3_1':0.0},(231,289):{'3_1':0.0},(231,288):{'3_1':0.0},(231,287):{'3_1':0.03},(231,286):{'3_1':0.0},(231,285):{'3_1':0.03},(231,284):{'3_1':0.03},(231,283):{'3_1':0.0},(231,282):{'3_1':0.0},(231,281):{'3_1':0.0},(231,280):{'3_1':0.0},(231,278):{'3_1':0.0},(231,277):{'3_1':0.0},(231,276):{'3_1':0.0},(231,275):{'4_1':0.0},(231,274):{'3_1':0.0},(231,273):{'3_1':0.0,'4_1':0.0},(231,271):{'3_1':0.0},(231,270):{'3_1':0.0},(231,269):{'3_1':0.0},(231,266):{'3_1':0.0},(232,289):{'3_1':0.0},(232,288):{'3_1':0.03},(232,287):{'4_1':0.0},(232,286):{'3_1':0.0},(232,285):{'3_1':0.0},(232,284):{'3_1':0.0},(232,283):{'3_1':0.03},(232,281):{'3_1':0.0},(232,280):{'3_1':0.0},(232,279):{'3_1':0.0},(232,277):{'3_1':0.0},(232,276):{'3_1':0.0},(232,268):{'3_1':0.0},(233,290):{'3_1':0.0},(233,289):{'3_1':0.06},(233,288):{'3_1':0.0},(233,287):{'3_1':0.0},(233,286):{'3_1':0.03},(233,285):{'3_1':0.0},(233,284):{'3_1':0.0},(233,283):{'3_1':0.0},(233,279):{'3_1':0.0},(233,277):{'3_1':0.0},(233,274):{'3_1':0.0},(233,271):{'3_1':0.0,'4_1':0.0},(233,269):{'3_1':0.0},(233,268):{'3_1':0.0},(233,267):{'3_1':0.0},(234,289):{'3_1':0.0},(234,288):{'3_1':0.0},(234,286):{'3_1':0.0},(234,285):{'3_1':0.0},(234,282):{'3_1':0.0},(234,281):{'3_1':0.0},(234,280):{'3_1':0.0},(234,278):{'3_1':0.0},(234,273):{'3_1':0.0},(234,271):{'3_1':0.0},(234,270):{'3_1':0.0},(234,269):{'3_1':0.0},(235,289):{'3_1':0.0},(235,286):{'3_1':0.0},(235,285):{'3_1':0.03},(235,282):{'3_1':0.0},(235,281):{'3_1':0.0},(235,279):{'3_1':0.0},(235,278):{'3_1':0.0},(235,277):{'3_1':0.0},(235,276):{'3_1':0.0},(235,275):{'3_1':0.0},(235,270):{'4_1':0.0},(235,266):{'5_2':0.0},(236,290):{'3_1':0.0},(236,289):{'3_1':0.0},(236,285):{'3_1':0.0},(236,284):{'3_1':0.0},(236,282):{'3_1':0.0},(236,274):{'3_1':0.0},(236,272):{'3_1':0.0},(236,270):{'3_1':0.0},(237,290):{'3_1':0.0},(237,288):{'3_1':0.0},(237,286):{'3_1':0.0},(237,283):{'3_1':0.0},(237,277):{'3_1':0.0},(238,289):{'3_1':0.0},(238,288):{'3_1':0.0},(238,281):{'3_1':0.0},(238,278):{'4_1':0.0},(238,276):{'3_1':0.0},(238,272):{'3_1':0.0},(238,269):{'3_1':0.0},(239,290):{'3_1':0.0},(239,289):{'3_1':0.0},(239,288):{'3_1':0.0},(239,287):{'3_1':0.0},(239,277):{'3_1':0.0},(239,276):{'3_1':0.0},(239,275):{'3_1':0.0},(239,273):{'3_1':0.0},(239,271):{'4_1':0.0},(240,290):{'3_1':0.0},(240,289):{'3_1':0.0},(240,287):{'3_1':0.0},(240,285):{'3_1':0.0},(240,283):{'3_1':0.0},(240,276):{'3_1':0.0},(240,272):{'3_1':0.0},(240,267):{'3_1':0.0},(240,266):{'3_1':0.0},(241,290):{'3_1':0.0},(241,289):{'3_1':0.0},(241,288):{'3_1':0.0},(241,275):{'3_1':0.0},(241,274):{'3_1':0.0},(241,270):{'3_1':0.0},(241,268):{'3_1':0.0},(242,290):{'3_1':0.0,'4_1':0.0},(242,288):{'3_1':0.0},(242,286):{'3_1':0.0},(242,278):{'3_1':0.0},(242,277):{'3_1':0.0},(242,275):{'3_1':0.0},(242,274):{'3_1':0.0},(242,272):{'3_1':0.0},(243,290):{'3_1':0.0},(243,277):{'3_1':0.0},(243,271):{'3_1':0.0},(244,290):{'3_1':0.0,'4_1':0.0},(244,287):{'3_1':0.0},(244,278):{'3_1':0.0},(244,274):{'3_1':0.0},(244,267):{'3_1':0.0},(245,270):{'3_1':0.0},(245,266):{'3_1':0.0},(247,278):{'3_1':0.0},(247,277):{'4_1':0.0},(247,276):{'3_1':0.0},(247,274):{'3_1':0.0},(247,273):{'3_1':0.0},(247,270):{'3_1':0.0},(247,268):{'3_1':0.0},(248,279):{'3_1':0.0},(248,273):{'3_1':0.0},(248,271):{'3_1':0.0},(249,278):{'3_1':0.0},(249,274):{'3_1':0.0},(249,273):{'3_1':0.0},(249,268):{'3_1':0.0},(250,276):{'3_1':0.0},(250,273):{'3_1':0.0},(250,271):{'3_1':0.0},(250,266):{'3_1':0.0},(251,276):{'3_1':0.0},(251,275):{'3_1':0.0},(251,274):{'3_1':0.0},(251,273):{'3_1':0.0},(251,272):{'3_1':0.0,'4_1':0.0},(251,271):{'4_1':0.0},(251,270):{'3_1':0.0},(251,269):{'3_1':0.0},(251,268):{'3_1':0.0},(251,266):{'3_1':0.0},(252,281):{'3_1':0.0},(252,277):{'4_1':0.0},(252,273):{'3_1':0.0},(252,272):{'4_1':0.0},(252,271):{'3_1':0.0},(252,270):{'3_1':0.0},(252,269):{'3_1':0.0},(252,268):{'3_1':0.0},(253,284):{'3_1':0.0},(253,278):{'3_1':0.0},(253,275):{'3_1':0.0},(253,273):{'3_1':0.0},(253,271):{'3_1':0.0},(253,270):{'3_1':0.0},(253,267):{'3_1':0.0},(254,279):{'3_1':0.0},(254,278):{'3_1':0.0},(254,277):{'3_1':0.0},(254,275):{'3_1':0.0},(254,274):{'3_1':0.0},(254,273):{'3_1':0.0},(254,270):{'3_1':0.03},(254,269):{'3_1':0.0},(255,280):{'3_1':0.0},(255,279):{'3_1':0.0},(255,278):{'3_1':0.0},(255,277):{'3_1':0.0},(255,271):{'3_1':0.0},(255,268):{'3_1':0.0},(256,280):{'3_1':0.0},(256,275):{'3_1':0.0},(256,274):{'3_1':0.0},(256,273):{'3_1':0.0},(256,271):{'3_1':0.0},(256,270):{'3_1':0.0},(256,269):{'3_1':0.0},(256,268):{'3_1':0.0},(256,267):{'3_1':0.0},(257,283):{'3_1':0.0},(257,282):{'3_1':0.0},(257,275):{'3_1':0.0},(257,273):{'3_1':0.0},(257,272):{'3_1':0.0},(257,270):{'3_1':0.0},(258,280):{'3_1':0.0},(258,278):{'3_1':0.0},(258,274):{'3_1':0.0},(258,273):{'3_1':0.0},(258,272):{'3_1':0.0},(259,271):{'3_1':0.0},(259,268):{'3_1':0.0},(260,274):{'3_1':0.0},(260,271):{'3_1':0.0},(261,277):{'3_1':0.0},(261,275):{'3_1':0.0},(262,276):{'3_1':0.0},(266,275):{'3_1':0.0},(266,273):{'3_1':0.0},(268,278):{'4_1':0.0},}