{(1,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(1,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(1,457):{'3_1':0.9,'8_20|3_1#3_1':0.0,'4_1':0.0},(1,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(1,455):{'3_1':0.9,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(1,454):{'3_1':0.9,'5_2':0.0,'7_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(1,453):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(1,452):{'3_1':0.9,'8_20|3_1#3_1':0.0},(1,451):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(1,450):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0},(1,449):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0},(1,448):{'3_1':0.84,'5_2':0.03,'7_5':0.0,'8_4':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(1,447):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(1,446):{'3_1':0.9,'5_1':0.0,'4_1':0.0},(1,445):{'3_1':0.87,'8_20|3_1#3_1':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(1,444):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(1,443):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(1,442):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'8_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(1,441):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(1,440):{'3_1':0.9,'5_1':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(1,439):{'3_1':0.9,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(1,438):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(1,437):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(1,436):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(1,435):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(1,434):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(1,433):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(1,432):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(1,431):{'3_1':0.87,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0},(1,430):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(1,429):{'3_1':0.84,'5_1':0.03,'8_21|3_1#4_1':0.0,'6_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(1,428):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(1,427):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(1,426):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'8_4':0.0,'8_10':0.0},(1,425):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'5_2':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(1,424):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(1,423):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(1,422):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(1,421):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(1,420):{'3_1':0.81,'5_1':0.03,'8_21|3_1#4_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(1,419):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_6':0.0,'8_7':0.0,'3_1#5_1':0.0},(1,418):{'3_1':0.84,'5_1':0.03,'7_1':0.0,'8_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(1,417):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'4_1':0.0,'6_3':0.0},(1,416):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(1,415):{'3_1':0.78,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(1,414):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(1,413):{'3_1':0.75,'5_1':0.12,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(1,412):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(1,411):{'3_1':0.75,'5_1':0.09,'6_2':0.0,'5_2':0.0,'8_2':0.0,'8_8':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(1,410):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(1,409):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_6':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(1,408):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'5_2':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(1,407):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'8_20|3_1#3_1':0.03,'3_1#5_2':0.0,'-3':0.0},(1,406):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'6_2':0.0,'4_1':0.0,'6_3':0.0,'8_10':0.0},(1,405):{'3_1':0.81,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'6_1':0.0,'8_15':0.0},(1,404):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_10':0.0},(1,403):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(1,402):{'3_1':0.78,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(1,401):{'3_1':0.84,'6_2':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(1,400):{'3_1':0.87,'5_1':0.0,'8_1':0.0,'8_11':0.0},(1,399):{'3_1':0.81,'8_20|3_1#3_1':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(1,398):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(1,397):{'3_1':0.78,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(1,396):{'3_1':0.84,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(1,395):{'3_1':0.84,'6_2':0.0,'4_1':0.0,'7_6':0.0},(1,394):{'3_1':0.78,'5_1':0.0,'4_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(1,393):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(1,392):{'3_1':0.69,'5_1':0.03,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(1,391):{'3_1':0.75,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(1,390):{'3_1':0.63,'5_1':0.03,'8_10':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(1,389):{'3_1':0.57,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(1,388):{'3_1':0.42,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(1,387):{'3_1':0.48,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(1,386):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0},(1,385):{'3_1':0.12,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(1,384):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(1,383):{'3_1':0.03,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(1,382):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(1,381):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(1,380):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(1,379):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'5_2':0.0,'7_5':0.0},(1,378):{'4_1':0.06,'3_1':0.0,'5_1':0.0,'5_2':0.0},(1,377):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(1,376):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(1,375):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'-3':0.0},(1,374):{'3_1':0.06,'4_1':0.03,'8_21|3_1#4_1':0.0},(1,373):{'3_1':0.0,'4_1':0.0},(1,372):{'3_1':0.03,'4_1':0.03,'7_5':0.0},(1,371):{'3_1':0.03,'7_6':0.0,'8_8':0.0},(1,370):{'3_1':0.03,'4_1':0.0},(1,369):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_14':0.0},(1,368):{'3_1':0.03,'5_2':0.0},(1,367):{'3_1':0.06,'6_2':0.0},(1,366):{'3_1':0.03,'4_1':0.0},(1,365):{'3_1':0.06,'5_2':0.03},(1,364):{'3_1':0.06,'5_2':0.0},(1,363):{'4_1':0.0,'3_1':0.0},(1,362):{'4_1':0.0,'7_5':0.0,'7_6':0.0},(1,361):{'3_1':0.0,'4_1':0.0},(1,360):{'3_1':0.0,'6_2':0.0},(1,359):{'3_1':0.0,'5_2':0.0},(1,358):{'3_1':0.0,'6_2':0.0,'6_3':0.0},(1,357):{'4_1':0.0},(1,356):{'4_1':0.0,'-3':0.0},(1,354):{'3_1':0.0,'4_1':0.0},(1,353):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(1,352):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(1,351):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(1,350):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(1,349):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(1,348):{'3_1':0.0,'4_1':0.0},(1,347):{'4_1':0.0,'6_1':0.0,'7_5':0.0},(1,346):{'4_1':0.0,'3_1':0.0},(1,345):{'4_1':0.0,'6_1':0.0},(1,344):{'3_1':0.0},(1,343):{'3_1':0.0,'4_1':0.0},(1,342):{'3_1':0.0,'6_1':0.0,'7_5':0.0},(1,341):{'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_9':0.0},(1,340):{'3_1':0.06},(1,339):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(1,338):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(1,337):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'5_1':0.0},(1,336):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(1,335):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(1,334):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(1,333):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(1,332):{'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(1,331):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(1,330):{'3_1':0.03},(1,329):{'3_1':0.03,'6_2':0.0},(1,328):{'3_1':0.03,'6_2':0.0},(1,327):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'8_9':0.0},(1,326):{'3_1':0.0,'4_1':0.0},(1,325):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(1,324):{'3_1':0.0,'4_1':0.0},(1,323):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(1,322):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(1,321):{'3_1':0.0,'4_1':0.0},(1,320):{'3_1':0.0},(1,319):{'3_1':0.0,'4_1':0.0},(1,318):{'4_1':0.0,'3_1':0.0},(1,317):{'3_1':0.03,'4_1':0.0},(1,316):{'5_2':0.0,'3_1':0.0},(1,315):{'3_1':0.03},(1,314):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(1,313):{'3_1':0.03,'5_1':0.0},(1,312):{'3_1':0.0,'4_1':0.0},(1,311):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(1,310):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(1,309):{'3_1':0.03,'4_1':0.0},(1,308):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(1,307):{'3_1':0.0,'7_1':0.0},(1,306):{'4_1':0.0,'5_2':0.0},(1,305):{'3_1':0.0,'4_1':0.0},(1,304):{'3_1':0.0,'5_2':0.0},(1,303):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(1,302):{'3_1':0.0,'4_1':0.0},(1,301):{'3_1':0.03},(1,300):{'3_1':0.06},(1,299):{'3_1':0.0},(1,298):{'5_2':0.0},(1,297):{'3_1':0.0,'5_1':0.0},(1,296):{'3_1':0.0},(1,295):{'3_1':0.0,'4_1':0.0},(1,294):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0},(1,293):{'4_1':0.0,'5_2':0.0},(1,292):{'3_1':0.0,'5_2':0.0},(1,291):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(1,290):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(1,289):{'3_1':0.0,'4_1':0.0},(1,288):{'3_1':0.0,'5_2':0.0},(1,287):{'3_1':0.0},(1,286):{'3_1':0.0,'4_1':0.0},(1,285):{'3_1':0.0,'4_1':0.0},(1,284):{'4_1':0.0},(1,283):{'4_1':0.0},(1,282):{'4_1':0.0,'3_1':0.0},(1,281):{'7_5':0.0},(1,280):{'3_1':0.0,'4_1':0.0},(1,279):{'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(1,278):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(1,277):{'3_1':0.03},(1,276):{'3_1':0.0,'4_1':0.0},(1,275):{'4_1':0.0,'7_1':0.0},(1,274):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0},(1,273):{'3_1':0.0},(1,272):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(1,271):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(1,270):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(1,269):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(1,268):{'4_1':0.0,'3_1':0.0},(1,267):{'3_1':0.0},(1,266):{'3_1':0.03,'4_1':0.0},(1,265):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(1,264):{'3_1':0.0,'5_1':0.0},(1,263):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(1,262):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(1,261):{'3_1':0.0,'7_1':0.0},(1,260):{'3_1':0.03,'4_1':0.0},(1,259):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(1,258):{'3_1':0.03,'4_1':0.0},(1,257):{'3_1':0.03,'5_1':0.0},(1,256):{'3_1':0.0,'4_1':0.0},(1,255):{'4_1':0.0},(1,254):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(1,253):{'3_1':0.06,'4_1':0.0},(1,252):{'3_1':0.0,'5_1':0.0},(1,251):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(1,250):{'3_1':0.0},(1,249):{'3_1':0.0,'4_1':0.0},(1,248):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(1,247):{'3_1':0.0},(1,246):{'3_1':0.0,'4_1':0.0},(1,245):{'4_1':0.0},(1,244):{'3_1':0.0,'4_1':0.0},(1,243):{'3_1':0.0,'4_1':0.0},(1,242):{'3_1':0.03,'4_1':0.0},(1,241):{'3_1':0.0},(1,240):{'3_1':0.03,'4_1':0.0},(1,239):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(1,238):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(1,237):{'4_1':0.0,'3_1':0.0},(1,236):{'3_1':0.0,'4_1':0.0},(1,235):{'3_1':0.0,'5_2':0.0},(1,234):{'3_1':0.0},(1,233):{'3_1':0.0},(1,232):{'4_1':0.0,'5_2':0.0},(1,231):{'4_1':0.0,'3_1':0.0},(1,230):{'4_1':0.0,'3_1':0.0},(1,229):{'3_1':0.0,'4_1':0.0},(1,228):{'4_1':0.0},(1,227):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(1,226):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(1,225):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(1,224):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(1,223):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(1,222):{'4_1':0.0},(1,221):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(1,220):{'3_1':0.0,'5_2':0.0},(1,219):{'3_1':0.0,'4_1':0.0},(1,218):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(1,217):{'3_1':0.03},(1,216):{'3_1':0.0,'4_1':0.0},(1,215):{'3_1':0.0,'4_1':0.0},(1,214):{'3_1':0.0},(1,213):{'5_2':0.0},(1,212):{'3_1':0.03,'4_1':0.0},(1,211):{'3_1':0.03,'5_1':0.0},(1,210):{'3_1':0.0,'4_1':0.0},(1,209):{'3_1':0.06,'5_2':0.0},(1,208):{'3_1':0.03},(1,207):{'3_1':0.0},(1,206):{'3_1':0.06,'4_1':0.0},(1,205):{'4_1':0.0,'3_1':0.0},(1,204):{'3_1':0.0,'4_1':0.0},(1,203):{'4_1':0.0},(1,202):{'3_1':0.0,'4_1':0.0},(1,201):{'3_1':0.0,'4_1':0.0},(1,200):{'3_1':0.0},(1,199):{'3_1':0.0,'4_1':0.0},(1,198):{'3_1':0.0,'4_1':0.0},(1,197):{'3_1':0.0,'4_1':0.0},(1,196):{'3_1':0.0,'4_1':0.0},(1,195):{'3_1':0.0,'4_1':0.0},(1,193):{'3_1':0.0,'4_1':0.0},(1,192):{'3_1':0.0},(1,190):{'4_1':0.0,'3_1':0.0},(1,189):{'3_1':0.0,'4_1':0.0},(1,188):{'4_1':0.0,'3_1':0.0},(1,187):{'3_1':0.0,'4_1':0.0},(1,186):{'3_1':0.03,'4_1':0.0},(1,185):{'3_1':0.0},(1,184):{'3_1':0.03},(1,183):{'3_1':0.03,'4_1':0.0},(1,182):{'3_1':0.0},(1,181):{'3_1':0.0,'4_1':0.0},(1,180):{'3_1':0.0},(1,179):{'3_1':0.0,'4_1':0.0},(1,178):{'3_1':0.03},(1,177):{'3_1':0.0},(1,176):{'4_1':0.0},(1,175):{'3_1':0.0},(1,174):{'3_1':0.0},(1,173):{'3_1':0.03},(1,172):{'4_1':0.0,'3_1':0.0},(1,171):{'3_1':0.0},(1,170):{'3_1':0.0},(1,169):{'3_1':0.0,'4_1':0.0},(1,168):{'3_1':0.0},(1,167):{'3_1':0.0},(1,166):{'3_1':0.0,'4_1':0.0},(1,165):{'3_1':0.03,'4_1':0.0},(1,164):{'3_1':0.0},(1,163):{'4_1':0.0,'3_1':0.0},(1,162):{'3_1':0.0},(1,161):{'4_1':0.0,'3_1':0.0},(1,160):{'3_1':0.0},(1,159):{'3_1':0.0,'4_1':0.0},(1,158):{'3_1':0.0},(1,157):{'3_1':0.03,'4_1':0.0},(1,156):{'3_1':0.0,'4_1':0.0},(1,155):{'3_1':0.0},(1,154):{'3_1':0.0,'4_1':0.0},(1,152):{'3_1':0.0},(1,150):{'3_1':0.0},(1,148):{'3_1':0.0},(1,147):{'3_1':0.0},(1,146):{'3_1':0.0,'4_1':0.0},(1,145):{'3_1':0.0,'4_1':0.0},(1,144):{'4_1':0.0,'3_1':0.0},(1,143):{'3_1':0.0},(1,142):{'3_1':0.0,'4_1':0.0},(1,141):{'3_1':0.0,'4_1':0.0},(1,140):{'4_1':0.0},(1,139):{'3_1':0.0,'4_1':0.0},(1,138):{'4_1':0.0},(1,137):{'4_1':0.0},(1,136):{'3_1':0.0},(1,135):{'3_1':0.0},(1,134):{'3_1':0.0},(1,133):{'4_1':0.0},(1,131):{'3_1':0.0},(1,129):{'3_1':0.03,'4_1':0.0},(1,128):{'3_1':0.0},(1,127):{'3_1':0.0},(1,126):{'3_1':0.0,'4_1':0.0},(1,123):{'3_1':0.0},(1,122):{'3_1':0.0},(1,120):{'3_1':0.0},(1,119):{'3_1':0.0},(1,117):{'3_1':0.0},(1,116):{'3_1':0.03},(1,115):{'3_1':0.0},(1,114):{'3_1':0.0},(1,113):{'3_1':0.0},(1,112):{'3_1':0.0},(1,111):{'3_1':0.0},(1,110):{'3_1':0.03},(1,109):{'3_1':0.0},(1,107):{'3_1':0.0},(1,106):{'3_1':0.0},(1,105):{'3_1':0.0},(1,104):{'3_1':0.0},(1,103):{'3_1':0.0},(1,102):{'3_1':0.0},(1,101):{'3_1':0.0},(1,100):{'3_1':0.0},(1,70):{'3_1':0.0},(1,69):{'3_1':0.0},(2,459):{'3_1':0.9,'8_20|3_1#3_1':0.0},(2,458):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'8_2':0.0},(2,457):{'3_1':0.9,'7_2':0.0,'5_2':0.0,'7_1':0.0},(2,456):{'3_1':0.9,'5_1':0.0,'3_1#5_2':0.0},(2,455):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0},(2,454):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_6':0.0},(2,453):{'3_1':0.9,'5_2':0.0},(2,452):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(2,451):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(2,450):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(2,449):{'3_1':0.9,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(2,448):{'3_1':0.9,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(2,447):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(2,446):{'3_1':0.87,'7_5':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(2,445):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(2,444):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(2,443):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(2,442):{'3_1':0.87,'8_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(2,441):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(2,440):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(2,439):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'7_2':0.0},(2,438):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(2,437):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(2,436):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(2,435):{'3_1':0.9,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(2,434):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(2,433):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(2,432):{'3_1':0.9,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0},(2,431):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'4_1':0.0,'8_3':0.0},(2,430):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_3':0.0,'4_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(2,429):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(2,428):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(2,427):{'3_1':0.87,'5_1':0.0,'7_1':0.0,'5_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(2,426):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'6_3':0.0,'8_3':0.0},(2,425):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'-3':0.0},(2,424):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_1':0.0,'8_13':0.0},(2,423):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0},(2,422):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(2,421):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(2,420):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(2,419):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'7_5':0.0,'8_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(2,418):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0},(2,417):{'3_1':0.87,'5_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(2,416):{'3_1':0.78,'5_1':0.09,'8_2':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0},(2,415):{'3_1':0.78,'6_2':0.03,'5_1':0.03,'5_2':0.0,'8_3':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(2,414):{'3_1':0.78,'5_1':0.09,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(2,413):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(2,412):{'3_1':0.75,'5_1':0.09,'6_2':0.03,'5_2':0.0,'-3':0.0,'7_7':0.0,'8_3':0.0},(2,411):{'3_1':0.66,'5_1':0.15,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0},(2,410):{'3_1':0.72,'5_1':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(2,409):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(2,408):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(2,407):{'3_1':0.81,'8_2':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(2,406):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0},(2,405):{'3_1':0.84,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_5':0.0,'8_7':0.0,'-3':0.0},(2,404):{'3_1':0.84,'5_1':0.03,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(2,403):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(2,402):{'3_1':0.84,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'5_1':0.0,'8_10':0.0},(2,401):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(2,400):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(2,399):{'3_1':0.87,'8_20|3_1#3_1':0.0,'6_2':0.0},(2,398):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(2,397):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(2,396):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_3':0.0,'4_1':0.0,'5_2':0.0,'8_10':0.0},(2,395):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0,'8_10':0.0,'-3':0.0},(2,394):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(2,393):{'3_1':0.75,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0},(2,392):{'3_1':0.72,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'8_10':0.0},(2,391):{'3_1':0.75,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(2,390):{'3_1':0.6,'5_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0},(2,389):{'3_1':0.57,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(2,388):{'3_1':0.45,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(2,387):{'3_1':0.36,'5_1':0.0,'4_1':0.0,'5_2':0.0},(2,386):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_6':0.0,'8_10':0.0,'-3':0.0},(2,385):{'3_1':0.15,'6_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(2,384):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'6_3':0.0},(2,383):{'4_1':0.06,'3_1':0.03,'7_6':0.0,'-3':0.0},(2,382):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(2,381):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(2,380):{'3_1':0.06,'4_1':0.03,'8_21|3_1#4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(2,379):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(2,378):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(2,377):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(2,376):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(2,375):{'3_1':0.09,'7_6':0.0,'-3':0.0},(2,374):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(2,373):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(2,372):{'3_1':0.03,'7_5':0.0,'7_6':0.0},(2,371):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(2,370):{'3_1':0.06,'4_1':0.0},(2,369):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,368):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(2,367):{'3_1':0.06,'8_20|3_1#3_1':0.0},(2,366):{'3_1':0.06},(2,365):{'3_1':0.06,'5_2':0.0,'7_5':0.0},(2,364):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(2,363):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(2,362):{'3_1':0.0,'7_5':0.0},(2,361):{'3_1':0.0},(2,360):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,359):{'3_1':0.0,'4_1':0.0},(2,358):{'3_1':0.0,'4_1':0.0},(2,357):{'3_1':0.0},(2,356):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(2,355):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,354):{'3_1':0.0},(2,353):{'3_1':0.06},(2,352):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(2,351):{'3_1':0.0},(2,350):{'3_1':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0},(2,349):{'3_1':0.0,'5_2':0.0},(2,348):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,347):{'4_1':0.0,'3_1':0.0},(2,346):{'3_1':0.0,'5_2':0.0},(2,345):{'3_1':0.0,'4_1':0.0,'8_9':0.0},(2,344):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(2,343):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(2,342):{'3_1':0.03,'5_1':0.0},(2,341):{'3_1':0.03,'7_3':0.0},(2,340):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(2,339):{'3_1':0.0},(2,338):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0},(2,337):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(2,336):{'3_1':0.06,'-3':0.0},(2,335):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(2,334):{'3_1':0.03,'3_1#5_2':0.0},(2,333):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0},(2,332):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_2':0.0},(2,331):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(2,330):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(2,329):{'3_1':0.0},(2,328):{'3_1':0.0,'4_1':0.0},(2,327):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(2,326):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(2,325):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(2,324):{'4_1':0.0},(2,323):{'3_1':0.0},(2,322):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(2,321):{'3_1':0.0,'8_19':0.0},(2,320):{'3_1':0.06,'7_5':0.0},(2,319):{'3_1':0.03,'5_2':0.0},(2,318):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(2,317):{'3_1':0.03,'5_2':0.0},(2,316):{'3_1':0.0,'5_2':0.0},(2,315):{'4_1':0.0,'3_1':0.0},(2,314):{'3_1':0.0},(2,313):{'3_1':0.06},(2,312):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(2,311):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,310):{'3_1':0.0,'4_1':0.0},(2,309):{'3_1':0.0},(2,308):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(2,307):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(2,306):{'3_1':0.03},(2,305):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(2,304):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(2,303):{'3_1':0.0,'4_1':0.0},(2,302):{'3_1':0.06,'4_1':0.0},(2,301):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(2,300):{'3_1':0.03},(2,299):{'3_1':0.0,'4_1':0.0},(2,298):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(2,297):{'3_1':0.03,'4_1':0.0},(2,296):{'4_1':0.0,'7_5':0.0},(2,294):{'3_1':0.0},(2,293):{'5_2':0.0,'3_1':0.0,'7_5':0.0},(2,292):{'4_1':0.0,'5_1':0.0,'5_2':0.0},(2,291):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,290):{'3_1':0.0},(2,289):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,288):{'5_2':0.0},(2,287):{'3_1':0.0,'5_1':0.0},(2,286):{'3_1':0.0},(2,285):{'3_1':0.03},(2,284):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(2,283):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(2,282):{'3_1':0.0,'5_2':0.0},(2,281):{'3_1':0.0},(2,280):{'3_1':0.03,'5_2':0.0},(2,279):{'3_1':0.03,'5_2':0.0},(2,277):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,276):{'3_1':0.0,'4_1':0.0},(2,275):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(2,274):{'3_1':0.0},(2,273):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'7_5':0.0},(2,272):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(2,271):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(2,270):{'3_1':0.0},(2,269):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(2,268):{'3_1':0.06,'5_1':0.0},(2,267):{'4_1':0.0,'3_1':0.0},(2,266):{'5_1':0.0,'4_1':0.0},(2,265):{'3_1':0.03},(2,264):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(2,263):{'3_1':0.0,'5_1':0.0},(2,262):{'3_1':0.0},(2,261):{'3_1':0.0,'5_1':0.0},(2,260):{'5_1':0.0,'4_1':0.0},(2,259):{'3_1':0.0,'4_1':0.0},(2,258):{'3_1':0.0,'5_1':0.0},(2,257):{'3_1':0.03,'4_1':0.0},(2,256):{'3_1':0.0,'5_1':0.0},(2,255):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(2,254):{'3_1':0.03},(2,253):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(2,252):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,251):{'3_1':0.0,'5_2':0.0},(2,250):{'3_1':0.0},(2,249):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,248):{'3_1':0.0},(2,247):{'3_1':0.0},(2,246):{'5_2':0.0},(2,245):{'3_1':0.0,'4_1':0.0},(2,244):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(2,243):{'3_1':0.0},(2,242):{'3_1':0.0,'4_1':0.0},(2,241):{'3_1':0.0,'4_1':0.0},(2,240):{'3_1':0.0,'4_1':0.0},(2,239):{'3_1':0.0,'4_1':0.0},(2,238):{'3_1':0.0,'5_2':0.0},(2,237):{'3_1':0.03},(2,235):{'3_1':0.0,'4_1':0.0},(2,234):{'3_1':0.03,'4_1':0.0},(2,233):{'4_1':0.0,'3_1':0.0},(2,231):{'3_1':0.0,'4_1':0.0},(2,230):{'3_1':0.0,'4_1':0.0},(2,229):{'3_1':0.03},(2,228):{'3_1':0.0},(2,227):{'3_1':0.03,'4_1':0.0},(2,226):{'3_1':0.03},(2,225):{'3_1':0.03,'4_1':0.0},(2,224):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(2,223):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(2,222):{'3_1':0.0,'4_1':0.0},(2,221):{'3_1':0.03,'4_1':0.0},(2,220):{'4_1':0.0,'3_1':0.0},(2,219):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,218):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(2,217):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(2,216):{'3_1':0.03},(2,215):{'3_1':0.0,'4_1':0.0},(2,214):{'3_1':0.03,'5_2':0.0},(2,213):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(2,212):{'3_1':0.03,'4_1':0.0},(2,211):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(2,210):{'3_1':0.03,'5_1':0.0},(2,209):{'3_1':0.0,'4_1':0.0},(2,208):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(2,207):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(2,206):{'3_1':0.03,'4_1':0.0},(2,205):{'3_1':0.03,'4_1':0.0},(2,204):{'3_1':0.03},(2,203):{'4_1':0.0,'3_1':0.0},(2,202):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,201):{'3_1':0.0,'4_1':0.0},(2,200):{'3_1':0.0,'4_1':0.0},(2,199):{'3_1':0.0,'5_2':0.0},(2,198):{'3_1':0.0,'5_2':0.0},(2,197):{'3_1':0.0},(2,196):{'3_1':0.0,'4_1':0.0},(2,195):{'5_2':0.0},(2,194):{'3_1':0.0},(2,193):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,192):{'3_1':0.06},(2,191):{'3_1':0.03},(2,190):{'3_1':0.06,'4_1':0.0},(2,189):{'3_1':0.0},(2,188):{'3_1':0.03},(2,187):{'4_1':0.0,'3_1':0.0},(2,186):{'3_1':0.03},(2,185):{'3_1':0.0,'4_1':0.0},(2,184):{'3_1':0.0,'4_1':0.0},(2,183):{'3_1':0.03},(2,182):{'3_1':0.0},(2,181):{'3_1':0.0,'4_1':0.0},(2,180):{'3_1':0.0,'4_1':0.0},(2,179):{'3_1':0.0,'4_1':0.0},(2,178):{'3_1':0.03,'4_1':0.0},(2,177):{'3_1':0.0,'4_1':0.0},(2,176):{'3_1':0.0,'4_1':0.0},(2,175):{'3_1':0.0},(2,174):{'3_1':0.03,'4_1':0.0},(2,173):{'3_1':0.03},(2,172):{'4_1':0.0,'3_1':0.0},(2,171):{'4_1':0.0},(2,170):{'4_1':0.0,'3_1':0.0},(2,169):{'4_1':0.0},(2,168):{'3_1':0.0,'4_1':0.0},(2,167):{'4_1':0.0,'3_1':0.0},(2,166):{'3_1':0.0,'4_1':0.0},(2,165):{'3_1':0.0,'4_1':0.0},(2,164):{'3_1':0.0},(2,163):{'4_1':0.0},(2,162):{'3_1':0.0},(2,161):{'3_1':0.03,'4_1':0.0},(2,160):{'3_1':0.03,'4_1':0.0},(2,159):{'3_1':0.0,'4_1':0.0},(2,158):{'3_1':0.0},(2,157):{'3_1':0.0},(2,156):{'3_1':0.0,'4_1':0.0},(2,155):{'3_1':0.0},(2,153):{'4_1':0.0},(2,152):{'3_1':0.0},(2,151):{'3_1':0.0,'4_1':0.0},(2,150):{'3_1':0.0},(2,149):{'3_1':0.0},(2,148):{'3_1':0.0},(2,147):{'3_1':0.0,'4_1':0.0},(2,146):{'3_1':0.0},(2,145):{'3_1':0.0},(2,144):{'3_1':0.0},(2,143):{'4_1':0.0,'3_1':0.0},(2,142):{'3_1':0.0},(2,141):{'3_1':0.0,'4_1':0.0},(2,140):{'3_1':0.0},(2,139):{'3_1':0.0,'4_1':0.0},(2,138):{'4_1':0.0,'3_1':0.0},(2,137):{'3_1':0.0},(2,136):{'3_1':0.0,'4_1':0.0},(2,135):{'3_1':0.0},(2,133):{'3_1':0.0,'4_1':0.0},(2,132):{'3_1':0.0,'4_1':0.0},(2,131):{'3_1':0.0},(2,129):{'3_1':0.0},(2,128):{'3_1':0.0},(2,127):{'3_1':0.0},(2,126):{'3_1':0.0},(2,125):{'3_1':0.0},(2,124):{'3_1':0.0},(2,123):{'3_1':0.0},(2,122):{'3_1':0.0},(2,121):{'3_1':0.0},(2,120):{'3_1':0.0},(2,119):{'3_1':0.0},(2,118):{'3_1':0.06},(2,117):{'3_1':0.0},(2,116):{'3_1':0.0},(2,115):{'3_1':0.0},(2,113):{'3_1':0.0},(2,112):{'3_1':0.0},(2,111):{'3_1':0.03},(2,110):{'3_1':0.0},(2,106):{'3_1':0.0},(2,105):{'3_1':0.0},(2,104):{'3_1':0.0},(2,103):{'3_1':0.0},(2,101):{'3_1':0.0},(2,100):{'3_1':0.0},(2,99):{'3_1':0.0},(2,98):{'3_1':0.0},(2,95):{'3_1':0.0},(2,92):{'3_1':0.0},(2,90):{'3_1':0.0},(2,73):{'3_1':0.0},(3,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(3,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(3,457):{'3_1':0.9,'5_1':0.0,'6_1':0.0,'7_1':0.0},(3,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(3,455):{'3_1':0.9,'5_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(3,454):{'3_1':0.9},(3,453):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(3,452):{'3_1':0.9,'5_1':0.0},(3,451):{'3_1':0.9,'4_1':0.0},(3,450):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(3,449):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(3,448):{'3_1':0.9,'5_2':0.0,'8_21|3_1#4_1':0.0},(3,447):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(3,446):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'4_1':0.0},(3,445):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(3,444):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(3,443):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(3,442):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(3,441):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(3,440):{'3_1':0.9,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0},(3,439):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(3,438):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_2':0.0,'7_1':0.0,'8_19':0.0},(3,437):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(3,436):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(3,435):{'3_1':0.87,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0},(3,434):{'3_1':0.9,'7_1':0.0,'5_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(3,433):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(3,432):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0},(3,431):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(3,430):{'3_1':0.9,'5_1':0.03,'7_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(3,429):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(3,428):{'3_1':0.87,'8_2':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(3,427):{'3_1':0.87,'8_2':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(3,426):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(3,425):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(3,424):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(3,423):{'3_1':0.9,'5_1':0.0,'7_3':0.0,'5_2':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(3,422):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(3,421):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(3,420):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(3,419):{'3_1':0.87,'5_1':0.06,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(3,418):{'3_1':0.75,'5_1':0.09,'8_21|3_1#4_1':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(3,417):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'6_3':0.0},(3,416):{'3_1':0.78,'5_1':0.12,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(3,415):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_10':0.0,'4_1':0.0,'6_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(3,414):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(3,413):{'3_1':0.72,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_8':0.0},(3,412):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0},(3,411):{'3_1':0.69,'5_1':0.09,'8_2':0.06,'5_2':0.0,'7_1':0.0,'8_4':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(3,410):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(3,409):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(3,408):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(3,407):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'6_2':0.0,'3_1#5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(3,406):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(3,405):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(3,404):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(3,403):{'3_1':0.84,'8_10':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'8_20|3_1#3_1':0.0},(3,402):{'3_1':0.81,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_11':0.0,'1':-0.03},(3,401):{'3_1':0.81,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(3,400):{'3_1':0.87,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(3,399):{'3_1':0.87,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(3,398):{'3_1':0.78,'4_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'8_10':0.0},(3,397):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(3,396):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(3,395):{'3_1':0.78,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(3,394):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'7_4':0.0},(3,393):{'3_1':0.69,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_6':0.0,'8_10':0.0},(3,392):{'3_1':0.72,'5_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(3,391):{'3_1':0.63,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(3,390):{'3_1':0.57,'5_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_10':0.0},(3,389):{'3_1':0.57,'4_1':0.03,'6_2':0.0,'5_1':0.0},(3,388):{'3_1':0.51,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'6_3':0.0},(3,387):{'3_1':0.33,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0},(3,386):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(3,385):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(3,384):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'-3':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(3,383):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(3,382):{'4_1':0.06,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(3,381):{'3_1':0.09,'4_1':0.09,'6_2':0.0,'8_10':0.0,'5_2':0.0,'-3':0.0},(3,380):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(3,379):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(3,378):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'5_1':0.0},(3,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(3,376):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0},(3,375):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(3,374):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0},(3,373):{'3_1':0.0,'4_1':0.0},(3,372):{'3_1':0.03,'4_1':0.0},(3,371):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_8':0.0},(3,370):{'3_1':0.0,'4_1':0.0},(3,369):{'3_1':0.03},(3,368):{'3_1':0.03},(3,367):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0},(3,366):{'3_1':0.06,'7_2':0.0},(3,365):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(3,364):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(3,363):{'3_1':0.03,'4_1':0.0},(3,362):{'3_1':0.0,'4_1':0.0},(3,361):{'3_1':0.0,'4_1':0.0},(3,360):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(3,359):{'3_1':0.03,'5_2':0.0},(3,358):{'3_1':0.03},(3,357):{'3_1':0.03,'4_1':0.0},(3,356):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(3,355):{'3_1':0.03,'4_1':0.0},(3,354):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,353):{'3_1':0.0,'8_13':0.0,'4_1':0.0},(3,352):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(3,351):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(3,350):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(3,349):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,348):{'3_1':0.0,'4_1':0.0},(3,347):{'3_1':0.0,'5_1':0.0},(3,346):{'3_1':0.03},(3,345):{'4_1':0.0,'5_1':0.0},(3,344):{'3_1':0.0,'5_2':0.0},(3,343):{'3_1':0.03},(3,342):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,341):{'3_1':0.0,'4_1':0.0},(3,340):{'3_1':0.06,'5_2':0.0},(3,339):{'3_1':0.0,'4_1':0.0,'7_4':0.0},(3,338):{'3_1':0.0,'6_2':0.0},(3,337):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,336):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(3,335):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,334):{'3_1':0.03,'4_1':0.0},(3,333):{'3_1':0.03},(3,332):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(3,331):{'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(3,330):{'4_1':0.03,'3_1':0.0},(3,329):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(3,328):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(3,327):{'3_1':0.03,'4_1':0.0},(3,326):{'3_1':0.03},(3,325):{'4_1':0.0},(3,324):{'3_1':0.0,'4_1':0.0},(3,323):{'3_1':0.0,'6_3':0.0},(3,322):{'3_1':0.0,'5_2':0.0},(3,321):{'3_1':0.03,'4_1':0.0},(3,320):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,319):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(3,318):{'4_1':0.0,'5_2':0.0},(3,317):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(3,316):{'3_1':0.03,'5_2':0.0},(3,315):{'4_1':0.03,'3_1':0.0},(3,314):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(3,313):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(3,312):{'3_1':0.03,'4_1':0.0},(3,311):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(3,310):{'3_1':0.03,'4_1':0.0},(3,309):{'3_1':0.0},(3,308):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,307):{'4_1':0.0,'3_1':0.0},(3,306):{'3_1':0.0,'4_1':0.0},(3,305):{'3_1':0.03},(3,304):{'5_2':0.0,'3_1':0.0},(3,303):{'3_1':0.0,'4_1':0.0},(3,302):{'3_1':0.0,'4_1':0.0},(3,301):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(3,300):{'3_1':0.0},(3,299):{'3_1':0.03,'4_1':0.0},(3,298):{'3_1':0.03,'4_1':0.0},(3,297):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(3,296):{'3_1':0.03,'5_2':0.0},(3,295):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,294):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(3,293):{'4_1':0.0,'3_1':0.0},(3,292):{'3_1':0.03},(3,291):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(3,290):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(3,289):{'3_1':0.0},(3,288):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(3,287):{'3_1':0.0},(3,286):{'3_1':0.0,'4_1':0.0},(3,285):{'3_1':0.03},(3,284):{'3_1':0.0,'4_1':0.0},(3,283):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,282):{'3_1':0.0,'4_1':0.0},(3,281):{'3_1':0.03,'5_2':0.0},(3,280):{'3_1':0.0},(3,279):{'3_1':0.03,'4_1':0.0},(3,278):{'3_1':0.0,'4_1':0.0},(3,277):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,276):{'3_1':0.03,'5_2':0.0},(3,275):{'3_1':0.0,'5_2':0.0},(3,274):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(3,273):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,272):{'3_1':0.0,'4_1':0.0},(3,271):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(3,270):{'3_1':0.0,'4_1':0.0},(3,269):{'4_1':0.0,'3_1':0.0},(3,268):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(3,267):{'3_1':0.03},(3,266):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,265):{'3_1':0.03,'4_1':0.0},(3,264):{'3_1':0.03,'4_1':0.0},(3,263):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(3,262):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(3,261):{'3_1':0.06},(3,260):{'3_1':0.06,'4_1':0.0},(3,259):{'3_1':0.03,'4_1':0.0},(3,258):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(3,257):{'3_1':0.03},(3,256):{'3_1':0.03,'4_1':0.0},(3,255):{'3_1':0.03,'4_1':0.0},(3,254):{'3_1':0.0},(3,253):{'3_1':0.0,'6_2':0.0},(3,252):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(3,251):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,250):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(3,249):{'5_2':0.0,'4_1':0.0},(3,248):{'3_1':0.0},(3,247):{'3_1':0.0,'4_1':0.0},(3,246):{'4_1':0.0,'3_1':0.0},(3,245):{'3_1':0.03,'4_1':0.0},(3,244):{'3_1':0.03,'4_1':0.0},(3,243):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,242):{'3_1':0.03,'4_1':0.0},(3,241):{'3_1':0.0,'4_1':0.0},(3,240):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(3,239):{'3_1':0.0,'5_1':0.0},(3,238):{'3_1':0.0},(3,237):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,236):{'4_1':0.0,'3_1':0.0},(3,235):{'3_1':0.03,'4_1':0.0},(3,234):{'3_1':0.03,'4_1':0.0},(3,233):{'3_1':0.0,'4_1':0.0},(3,232):{'4_1':0.0,'3_1':0.0},(3,231):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(3,230):{'3_1':0.0,'4_1':0.0},(3,229):{'5_2':0.0},(3,228):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(3,227):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,226):{'3_1':0.0},(3,225):{'3_1':0.0},(3,224):{'4_1':0.0,'3_1':0.0},(3,223):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(3,222):{'3_1':0.0,'4_1':0.0},(3,221):{'3_1':0.03,'4_1':0.0},(3,220):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(3,219):{'3_1':0.0},(3,218):{'3_1':0.06},(3,217):{'3_1':0.03},(3,216):{'3_1':0.03},(3,215):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(3,214):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(3,213):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(3,212):{'3_1':0.03,'5_1':0.0},(3,211):{'3_1':0.0},(3,210):{'3_1':0.0,'5_1':0.0},(3,209):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(3,208):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,207):{'3_1':0.0},(3,206):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(3,205):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(3,204):{'3_1':0.03,'4_1':0.0},(3,203):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,202):{'3_1':0.0,'4_1':0.0},(3,201):{'3_1':0.0,'4_1':0.0},(3,200):{'3_1':0.03},(3,199):{'3_1':0.0},(3,198):{'3_1':0.0},(3,197):{'4_1':0.0,'3_1':0.0},(3,195):{'3_1':0.03},(3,194):{'3_1':0.0,'4_1':0.0},(3,193):{'3_1':0.0},(3,192):{'3_1':0.03,'4_1':0.0},(3,191):{'3_1':0.0},(3,190):{'3_1':0.03,'5_1':0.0},(3,189):{'3_1':0.03},(3,188):{'3_1':0.03},(3,187):{'3_1':0.03,'4_1':0.0},(3,186):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(3,185):{'3_1':0.0},(3,184):{'3_1':0.03,'4_1':0.0},(3,183):{'3_1':0.06},(3,182):{'3_1':0.03,'4_1':0.0},(3,181):{'3_1':0.03},(3,180):{'3_1':0.03},(3,179):{'3_1':0.0,'4_1':0.0},(3,178):{'3_1':0.0,'4_1':0.0},(3,177):{'3_1':0.0},(3,176):{'3_1':0.0,'4_1':0.0},(3,175):{'3_1':0.0},(3,174):{'3_1':0.0,'4_1':0.0},(3,173):{'3_1':0.03,'4_1':0.0},(3,172):{'3_1':0.03,'4_1':0.0},(3,171):{'3_1':0.0,'4_1':0.0},(3,170):{'3_1':0.0,'4_1':0.0},(3,169):{'3_1':0.0},(3,168):{'3_1':0.0},(3,167):{'3_1':0.0},(3,166):{'3_1':0.0},(3,165):{'3_1':0.0},(3,164):{'4_1':0.0},(3,163):{'3_1':0.0,'4_1':0.0},(3,162):{'3_1':0.0,'4_1':0.0},(3,161):{'3_1':0.0},(3,160):{'3_1':0.0},(3,159):{'4_1':0.0,'3_1':0.0},(3,158):{'3_1':0.0,'4_1':0.0},(3,157):{'3_1':0.0,'4_1':0.0},(3,156):{'3_1':0.0},(3,155):{'3_1':0.0},(3,154):{'3_1':0.0},(3,152):{'3_1':0.0},(3,150):{'3_1':0.0},(3,149):{'3_1':0.0},(3,148):{'3_1':0.0},(3,147):{'3_1':0.0},(3,146):{'4_1':0.0},(3,145):{'3_1':0.0,'4_1':0.0},(3,144):{'3_1':0.0,'4_1':0.0},(3,143):{'4_1':0.03,'3_1':0.0},(3,142):{'3_1':0.0},(3,141):{'3_1':0.0},(3,140):{'3_1':0.0,'4_1':0.0},(3,139):{'3_1':0.0},(3,138):{'3_1':0.0,'4_1':0.0},(3,137):{'3_1':0.0,'4_1':0.0},(3,136):{'3_1':0.0},(3,135):{'3_1':0.0},(3,134):{'3_1':0.0},(3,133):{'3_1':0.03},(3,128):{'3_1':0.0},(3,127):{'3_1':0.0},(3,126):{'3_1':0.0},(3,125):{'3_1':0.0},(3,124):{'3_1':0.0},(3,121):{'3_1':0.0},(3,120):{'3_1':0.0},(3,118):{'3_1':0.0},(3,117):{'3_1':0.0},(3,115):{'3_1':0.0},(3,114):{'3_1':0.0},(3,113):{'3_1':0.0},(3,111):{'3_1':0.0},(3,110):{'3_1':0.0},(3,109):{'3_1':0.0},(3,107):{'3_1':0.0},(3,106):{'3_1':0.0},(3,105):{'3_1':0.03},(3,104):{'3_1':0.03},(3,103):{'3_1':0.0},(3,102):{'3_1':0.0},(3,101):{'3_1':0.0},(3,100):{'3_1':0.0},(3,98):{'3_1':0.0},(3,97):{'3_1':0.0},(4,459):{'3_1':0.9,'4_1':0.0,'5_2':0.0},(4,458):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(4,457):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(4,456):{'3_1':0.9,'-3':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(4,455):{'3_1':0.9,'5_2':0.0,'-3':0.0,'6_2':0.0},(4,454):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0},(4,453):{'3_1':0.87,'7_3':0.0,'5_2':0.0,'7_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(4,452):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(4,451):{'3_1':0.9,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(4,450):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(4,449):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0},(4,448):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(4,447):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0},(4,446):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(4,445):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(4,444):{'3_1':0.9,'5_2':0.0,'7_1':0.0,'7_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(4,443):{'3_1':0.9,'5_1':0.0},(4,442):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0},(4,441):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0},(4,440):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0},(4,439):{'3_1':0.87,'5_2':0.0,'6_3':0.0,'-3':0.0},(4,438):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(4,437):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_2':0.0},(4,436):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(4,435):{'3_1':0.9,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(4,434):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(4,433):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(4,432):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_5':0.0,'8_2':0.0},(4,431):{'3_1':0.87,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(4,430):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0},(4,429):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(4,428):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(4,427):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0},(4,426):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'5_2':0.0,'7_2':0.0,'8_2':0.0},(4,425):{'3_1':0.9,'8_21|3_1#4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(4,424):{'3_1':0.9,'5_1':0.03},(4,423):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(4,422):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(4,421):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_2':0.0,'8_2':0.0,'-3':0.0},(4,420):{'3_1':0.78,'8_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_10':0.0},(4,419):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0,'8_2':0.0,'8_10':0.0},(4,418):{'3_1':0.72,'5_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'1':-0.03},(4,417):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(4,416):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_19':0.0,'1':-0.03},(4,415):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(4,414):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.03,'6_3':0.0,'8_21|3_1#4_1':0.0,'8_20|3_1#3_1':0.0},(4,413):{'3_1':0.78,'5_1':0.09,'8_2':0.03,'4_1':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(4,412):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'8_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(4,411):{'3_1':0.69,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(4,410):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_2':0.0},(4,409):{'3_1':0.69,'5_1':0.12,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0,'1':-0.03},(4,408):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(4,407):{'3_1':0.78,'5_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'8_2':0.0,'8_19':0.0},(4,406):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(4,405):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'8_10':0.0},(4,404):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(4,403):{'3_1':0.87,'5_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0},(4,402):{'3_1':0.81,'8_20|3_1#3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(4,401):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(4,400):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(4,399):{'3_1':0.81,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(4,398):{'3_1':0.81,'8_20|3_1#3_1':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(4,397):{'3_1':0.9,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(4,396):{'3_1':0.81,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_10':0.0},(4,395):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(4,394):{'3_1':0.75,'8_20|3_1#3_1':0.06,'4_1':0.0,'5_1':0.0,'8_10':0.0},(4,393):{'3_1':0.69,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_6':0.0,'8_10':0.0,'-3':0.0},(4,392):{'3_1':0.66,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0},(4,391):{'3_1':0.69,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(4,390):{'3_1':0.72,'8_20|3_1#3_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(4,389):{'3_1':0.57,'4_1':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(4,388):{'3_1':0.48,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(4,387):{'3_1':0.27,'5_1':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0},(4,386):{'3_1':0.15,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_8':0.0,'8_10':0.0},(4,385):{'3_1':0.18,'4_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(4,384):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(4,383):{'3_1':0.12,'6_2':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(4,382):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(4,381):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(4,380):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_13':0.0},(4,379):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'5_1':0.0,'3_1#5_1':0.0,'-3':0.0},(4,378):{'3_1':0.03,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(4,377):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(4,376):{'3_1':0.03,'4_1':0.0},(4,375):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(4,374):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_13':0.0},(4,373):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(4,372):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(4,371):{'3_1':0.03,'6_3':0.0},(4,370):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(4,369):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(4,368):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(4,367):{'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(4,366):{'3_1':0.03,'5_2':0.0},(4,365):{'3_1':0.06,'4_1':0.0},(4,364):{'3_1':0.03,'5_2':0.0},(4,363):{'3_1':0.03,'4_1':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(4,362):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(4,361):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(4,360):{'5_2':0.03,'3_1':0.0},(4,359):{'3_1':0.0,'6_3':0.0},(4,358):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'8_8':0.0},(4,357):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(4,356):{'3_1':0.03,'4_1':0.0,'8_8':0.0},(4,355):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(4,354):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,353):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(4,352):{'3_1':0.03,'5_2':0.0},(4,351):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(4,350):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(4,349):{'3_1':0.0},(4,348):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(4,347):{'3_1':0.06},(4,346):{'3_1':0.0,'8_13':0.0,'4_1':0.0,'5_1':0.0},(4,345):{'3_1':0.0,'5_2':0.0},(4,344):{'3_1':0.0,'4_1':0.0},(4,343):{'3_1':0.06},(4,342):{'3_1':0.03,'5_2':0.0},(4,341):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(4,340):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(4,339):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(4,338):{'3_1':0.03,'8_20|3_1#3_1':0.0},(4,337):{'3_1':0.06,'5_1':0.0,'-3':0.0},(4,336):{'3_1':0.03,'4_1':0.0},(4,335):{'3_1':0.0,'8_20|3_1#3_1':0.0},(4,334):{'3_1':0.0,'8_21|3_1#4_1':0.0},(4,333):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(4,332):{'3_1':0.06,'8_20|3_1#3_1':0.0},(4,331):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(4,330):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(4,329):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(4,328):{'3_1':0.03},(4,327):{'3_1':0.03,'4_1':0.0,'8_7':0.0},(4,326):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(4,325):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(4,324):{'3_1':0.03,'4_1':0.0},(4,323):{'3_1':0.03},(4,322):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(4,321):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(4,320):{'3_1':0.03,'4_1':0.0},(4,319):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(4,318):{'3_1':0.03},(4,317):{'3_1':0.0,'4_1':0.0},(4,316):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,315):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,314):{'3_1':0.03},(4,313):{'3_1':0.03,'4_1':0.0},(4,312):{'3_1':0.06,'4_1':0.0},(4,311):{'3_1':0.03,'5_2':0.0},(4,310):{'3_1':0.03,'4_1':0.0},(4,309):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(4,308):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,307):{'3_1':0.0,'5_2':0.0},(4,306):{'3_1':0.0,'4_1':0.0},(4,305):{'3_1':0.03,'4_1':0.0},(4,304):{'3_1':0.03,'4_1':0.0},(4,303):{'3_1':0.0,'4_1':0.0},(4,302):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(4,301):{'3_1':0.0,'5_2':0.0},(4,300):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(4,299):{'3_1':0.03,'5_2':0.0},(4,298):{'3_1':0.03,'4_1':0.0},(4,297):{'3_1':0.0,'5_2':0.0},(4,296):{'3_1':0.0,'5_2':0.0},(4,295):{'3_1':0.0,'4_1':0.0},(4,294):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(4,293):{'3_1':0.0,'4_1':0.0},(4,292):{'3_1':0.0,'4_1':0.0},(4,291):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(4,290):{'3_1':0.0,'5_2':0.0},(4,289):{'5_2':0.0,'3_1':0.0},(4,288):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(4,287):{'3_1':0.0,'4_1':0.0},(4,286):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,285):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,284):{'3_1':0.0,'4_1':0.0},(4,283):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(4,282):{'3_1':0.0,'4_1':0.0},(4,281):{'3_1':0.0},(4,280):{'3_1':0.0},(4,279):{'3_1':0.0,'4_1':0.0},(4,278):{'4_1':0.0,'3_1':0.0},(4,277):{'3_1':0.0,'5_1':0.0},(4,276):{'3_1':0.03,'5_2':0.0},(4,275):{'3_1':0.0,'6_2':0.0},(4,274):{'4_1':0.0,'3_1':0.0},(4,273):{'3_1':0.0},(4,272):{'3_1':0.06,'4_1':0.0},(4,271):{'3_1':0.0,'4_1':0.0},(4,270):{'3_1':0.0,'4_1':0.0},(4,269):{'3_1':0.0,'4_1':0.0},(4,268):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(4,267):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(4,266):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(4,265):{'3_1':0.03,'4_1':0.0},(4,264):{'3_1':0.03},(4,263):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(4,262):{'3_1':0.0,'4_1':0.0},(4,261):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(4,260):{'3_1':0.03,'4_1':0.0},(4,259):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(4,258):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(4,257):{'3_1':0.03},(4,256):{'3_1':0.03,'7_6':0.0},(4,255):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(4,254):{'3_1':0.03},(4,253):{'3_1':0.0,'4_1':0.0},(4,252):{'3_1':0.06},(4,251):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,250):{'3_1':0.03,'5_2':0.0},(4,249):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,248):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(4,247):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(4,246):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,245):{'3_1':0.0,'4_1':0.0},(4,244):{'3_1':0.0},(4,243):{'5_2':0.0,'4_1':0.0},(4,242):{'3_1':0.0,'4_1':0.0},(4,241):{'3_1':0.03},(4,240):{'3_1':0.0,'5_2':0.0},(4,239):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(4,238):{'3_1':0.0,'5_2':0.0},(4,237):{'4_1':0.0,'3_1':0.0},(4,235):{'3_1':0.03},(4,234):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,233):{'3_1':0.03,'4_1':0.0},(4,232):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,231):{'3_1':0.0,'4_1':0.0},(4,230):{'3_1':0.03,'5_2':0.0},(4,229):{'3_1':0.06,'4_1':0.0},(4,228):{'3_1':0.0,'5_2':0.0},(4,227):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(4,226):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(4,225):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(4,224):{'3_1':0.03,'4_1':0.0},(4,223):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(4,222):{'3_1':0.0,'4_1':0.0},(4,221):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(4,220):{'3_1':0.0,'4_1':0.0},(4,219):{'3_1':0.06,'4_1':0.0},(4,218):{'3_1':0.03,'4_1':0.0},(4,217):{'3_1':0.03,'4_1':0.0},(4,216):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(4,215):{'3_1':0.0,'4_1':0.0},(4,214):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(4,213):{'3_1':0.0,'4_1':0.0},(4,212):{'3_1':0.06},(4,211):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(4,210):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,209):{'3_1':0.03},(4,208):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(4,207):{'3_1':0.0,'5_2':0.0},(4,206):{'3_1':0.0,'4_1':0.0},(4,205):{'3_1':0.03},(4,204):{'3_1':0.06,'4_1':0.0},(4,203):{'3_1':0.0,'4_1':0.0},(4,202):{'3_1':0.03,'4_1':0.0},(4,201):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(4,199):{'3_1':0.0,'5_1':0.0},(4,198):{'4_1':0.0,'5_2':0.0},(4,197):{'3_1':0.0},(4,196):{'3_1':0.0,'4_1':0.0},(4,195):{'3_1':0.0,'4_1':0.0},(4,194):{'3_1':0.0,'4_1':0.0},(4,193):{'3_1':0.0},(4,192):{'3_1':0.03},(4,191):{'3_1':0.06},(4,190):{'3_1':0.0},(4,189):{'3_1':0.0,'4_1':0.0},(4,188):{'3_1':0.03,'4_1':0.0},(4,187):{'3_1':0.03,'4_1':0.0},(4,186):{'3_1':0.06},(4,185):{'3_1':0.03,'4_1':0.0},(4,184):{'3_1':0.03,'4_1':0.0},(4,183):{'3_1':0.06,'4_1':0.0},(4,182):{'3_1':0.03,'4_1':0.0},(4,181):{'3_1':0.03,'4_1':0.0},(4,180):{'3_1':0.03},(4,179):{'3_1':0.03,'4_1':0.0},(4,178):{'3_1':0.03,'4_1':0.0},(4,177):{'3_1':0.03},(4,176):{'3_1':0.03,'4_1':0.0},(4,175):{'3_1':0.0},(4,174):{'3_1':0.0},(4,173):{'3_1':0.0},(4,172):{'3_1':0.03},(4,171):{'3_1':0.03,'4_1':0.0},(4,170):{'3_1':0.0,'4_1':0.0},(4,169):{'3_1':0.0,'4_1':0.0},(4,168):{'3_1':0.0,'4_1':0.0},(4,167):{'3_1':0.0},(4,166):{'3_1':0.0,'4_1':0.0},(4,165):{'3_1':0.03,'4_1':0.0},(4,164):{'3_1':0.0},(4,163):{'4_1':0.0,'3_1':0.0},(4,162):{'3_1':0.0},(4,161):{'3_1':0.0,'4_1':0.0},(4,160):{'3_1':0.0},(4,159):{'4_1':0.0},(4,158):{'3_1':0.03},(4,157):{'3_1':0.0,'4_1':0.0},(4,156):{'3_1':0.0,'4_1':0.0},(4,155):{'3_1':0.0,'4_1':0.0},(4,154):{'3_1':0.0},(4,153):{'4_1':0.0,'3_1':0.0},(4,152):{'3_1':0.0},(4,151):{'3_1':0.03},(4,150):{'3_1':0.0,'4_1':0.0},(4,149):{'3_1':0.0,'4_1':0.0},(4,148):{'3_1':0.03,'4_1':0.0},(4,147):{'3_1':0.0,'4_1':0.0},(4,146):{'3_1':0.03,'4_1':0.0},(4,145):{'4_1':0.0},(4,144):{'3_1':0.0},(4,143):{'3_1':0.0},(4,142):{'3_1':0.0},(4,140):{'3_1':0.0},(4,139):{'3_1':0.03,'4_1':0.0},(4,138):{'3_1':0.03},(4,137):{'3_1':0.0},(4,136):{'3_1':0.0},(4,135):{'3_1':0.0,'4_1':0.0},(4,133):{'4_1':0.0},(4,132):{'3_1':0.0},(4,131):{'3_1':0.0},(4,128):{'3_1':0.0},(4,126):{'3_1':0.0,'4_1':0.0},(4,125):{'3_1':0.0},(4,124):{'3_1':0.0},(4,123):{'3_1':0.0},(4,122):{'3_1':0.03},(4,121):{'3_1':0.0},(4,120):{'3_1':0.0},(4,119):{'3_1':0.03},(4,118):{'3_1':0.0},(4,117):{'3_1':0.0},(4,116):{'3_1':0.0},(4,115):{'3_1':0.0},(4,114):{'3_1':0.0},(4,113):{'3_1':0.0},(4,111):{'3_1':0.0},(4,109):{'3_1':0.0},(4,108):{'3_1':0.0},(4,107):{'3_1':0.0},(4,106):{'3_1':0.0},(4,105):{'3_1':0.0},(4,104):{'3_1':0.0},(4,103):{'3_1':0.0},(4,102):{'3_1':0.03},(4,101):{'3_1':0.0},(4,99):{'3_1':0.0},(4,98):{'3_1':0.0},(4,95):{'3_1':0.0},(5,459):{'3_1':0.9,'5_2':0.0,'7_5':0.0},(5,458):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'5_2':0.0},(5,457):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'8_2':0.0},(5,456):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(5,455):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0},(5,454):{'3_1':0.9,'6_2':0.0,'-3':0.0},(5,453):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(5,452):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'4_1':0.0},(5,451):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(5,450):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(5,449):{'3_1':0.9,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(5,448):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_2':0.0},(5,447):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(5,446):{'3_1':0.87,'5_2':0.03,'5_1':0.0},(5,445):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0},(5,444):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(5,443):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(5,442):{'3_1':0.84,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(5,441):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(5,440):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(5,439):{'3_1':0.78,'8_20|3_1#3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_1':0.0,'8_2':0.0},(5,438):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_1':0.0,'8_2':0.0,'8_6':0.0},(5,437):{'3_1':0.81,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(5,436):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'7_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(5,435):{'3_1':0.87,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0},(5,434):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(5,433):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(5,432):{'3_1':0.9,'7_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(5,431):{'3_1':0.87,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(5,430):{'3_1':0.75,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0,'1':-0.03},(5,429):{'3_1':0.84,'5_1':0.03,'8_21|3_1#4_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(5,428):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(5,427):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0},(5,426):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'8_2':0.0},(5,425):{'3_1':0.81,'5_1':0.06,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(5,424):{'3_1':0.84,'5_1':0.06,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(5,423):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(5,422):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0},(5,421):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(5,420):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(5,419):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_2':0.0},(5,418):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(5,417):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(5,416):{'3_1':0.81,'5_1':0.03,'6_2':0.03,'7_5':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_10':0.0},(5,415):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'6_3':0.0,'3_1#5_1':0.0},(5,414):{'3_1':0.69,'5_1':0.09,'8_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(5,413):{'3_1':0.75,'5_1':0.09,'8_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(5,412):{'3_1':0.63,'5_1':0.06,'5_2':0.06,'8_20|3_1#3_1':0.03,'8_2':0.03,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_2':0.0,'8_13':0.0,'-3':0.0},(5,411):{'3_1':0.69,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0},(5,410):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_3':0.0,'8_10':0.0,'3_1#5_1':0.0},(5,409):{'3_1':0.6,'5_1':0.18,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0},(5,408):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_10':0.0,'-3':0.0},(5,407):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0},(5,406):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_10':0.0},(5,405):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(5,404):{'3_1':0.9,'5_1':0.0,'6_1':0.0,'8_10':0.0,'-3':0.0},(5,403):{'3_1':0.9,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0},(5,402):{'3_1':0.81,'8_20|3_1#3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0},(5,401):{'3_1':0.72,'8_20|3_1#3_1':0.06,'5_1':0.03,'6_2':0.0,'7_2':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(5,400):{'3_1':0.75,'8_20|3_1#3_1':0.03,'6_3':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0,'8_9':0.0,'3_1#5_1':0.0},(5,399):{'3_1':0.78,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_1':0.0,'1':-0.03},(5,398):{'3_1':0.78,'8_20|3_1#3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0},(5,397):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_2':0.03,'6_2':0.0,'6_3':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(5,396):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(5,395):{'3_1':0.72,'8_20|3_1#3_1':0.03,'7_6':0.03,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0},(5,394):{'3_1':0.72,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'8_10':0.0,'-3':0.0},(5,393):{'3_1':0.69,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(5,392):{'3_1':0.72,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(5,391):{'3_1':0.69,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(5,390):{'3_1':0.57,'8_20|3_1#3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(5,389):{'3_1':0.51,'4_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(5,388):{'3_1':0.51,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(5,387):{'3_1':0.3,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0},(5,386):{'3_1':0.18,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(5,385):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(5,384):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0},(5,383):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(5,382):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(5,381):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(5,380):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(5,379):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_2':0.0},(5,378):{'3_1':0.06,'4_1':0.03,'3_1#5_2':0.0,'-3':0.0},(5,377):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(5,376):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(5,375):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(5,374):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(5,373):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(5,372):{'3_1':0.03,'4_1':0.0,'8_13':0.0},(5,371):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(5,370):{'3_1':0.0,'5_2':0.0,'7_2':0.0,'8_8':0.0},(5,369):{'3_1':0.06,'4_1':0.0},(5,368):{'3_1':0.0,'4_1':0.0},(5,367):{'3_1':0.03,'4_1':0.0},(5,366):{'3_1':0.06,'4_1':0.0},(5,365):{'3_1':0.06,'5_2':0.0,'8_13':0.0},(5,364):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_2':0.0},(5,363):{'3_1':0.0,'4_1':0.0},(5,362):{'3_1':0.03},(5,361):{'3_1':0.0,'4_1':0.0},(5,360):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(5,359):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(5,358):{'3_1':0.03,'4_1':0.0},(5,357):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(5,356):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(5,355):{'3_1':0.0,'6_3':0.0,'8_13':0.0},(5,354):{'3_1':0.03,'4_1':0.0},(5,353):{'5_2':0.03,'3_1':0.0,'4_1':0.0},(5,352):{'3_1':0.03,'7_5':0.0},(5,351):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,350):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(5,349):{'3_1':0.03,'4_1':0.0},(5,348):{'3_1':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(5,347):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(5,346):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(5,345):{'3_1':0.0,'4_1':0.0,'-3':0.0},(5,344):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(5,343):{'3_1':0.03},(5,342):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(5,341):{'3_1':0.0},(5,340):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,339):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(5,338):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(5,337):{'3_1':0.03},(5,336):{'3_1':0.0,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(5,335):{'3_1':0.09,'4_1':0.0},(5,334):{'3_1':0.0},(5,333):{'3_1':0.03,'6_3':0.0,'7_6':0.0},(5,332):{'3_1':0.03,'4_1':0.0},(5,331):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(5,330):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,329):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(5,328):{'3_1':0.03,'4_1':0.0},(5,327):{'3_1':0.03},(5,326):{'3_1':0.03,'5_2':0.0},(5,325):{'3_1':0.03,'4_1':0.0},(5,324):{'3_1':0.0,'4_1':0.0},(5,323):{'3_1':0.0,'5_2':0.0},(5,322):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,321):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,320):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,319):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,318):{'3_1':0.0,'4_1':0.0},(5,317):{'3_1':0.03},(5,316):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,315):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(5,314):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,313):{'3_1':0.03},(5,312):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,311):{'3_1':0.03,'5_2':0.0},(5,310):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,309):{'3_1':0.0,'4_1':0.0},(5,308):{'3_1':0.03,'4_1':0.0},(5,307):{'3_1':0.03,'4_1':0.0},(5,306):{'3_1':0.0},(5,305):{'3_1':0.0},(5,304):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(5,303):{'3_1':0.0,'5_1':0.0},(5,302):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(5,301):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,300):{'3_1':0.0,'5_2':0.0},(5,299):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,298):{'3_1':0.03,'4_1':0.0},(5,297):{'3_1':0.03},(5,296):{'3_1':0.0,'5_2':0.0},(5,295):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,294):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(5,293):{'3_1':0.03,'5_2':0.0},(5,292):{'3_1':0.0},(5,290):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(5,289):{'3_1':0.0},(5,288):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(5,287):{'3_1':0.03,'4_1':0.0},(5,286):{'3_1':0.03,'4_1':0.0},(5,285):{'5_2':0.0,'4_1':0.0},(5,284):{'3_1':0.03,'4_1':0.0},(5,283):{'3_1':0.03,'4_1':0.0},(5,282):{'4_1':0.0,'3_1':0.0},(5,281):{'3_1':0.0,'4_1':0.0},(5,280):{'4_1':0.0},(5,279):{'3_1':0.0},(5,278):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(5,277):{'3_1':0.0,'4_1':0.0},(5,276):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(5,275):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,274):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(5,273):{'3_1':0.03,'4_1':0.0},(5,272):{'3_1':0.03,'5_2':0.0},(5,271):{'3_1':0.0,'4_1':0.0},(5,270):{'3_1':0.03,'4_1':0.0},(5,269):{'3_1':0.0,'5_1':0.0},(5,268):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(5,267):{'3_1':0.0,'4_1':0.0},(5,266):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(5,265):{'3_1':0.03,'5_1':0.0},(5,264):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(5,263):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(5,262):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(5,261):{'3_1':0.09,'4_1':0.0},(5,260):{'3_1':0.06},(5,259):{'3_1':0.0,'4_1':0.0},(5,258):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(5,257):{'3_1':0.03},(5,256):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,255):{'3_1':0.03},(5,254):{'3_1':0.0,'4_1':0.0},(5,253):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(5,252):{'3_1':0.03,'4_1':0.0},(5,251):{'3_1':0.03,'4_1':0.0},(5,250):{'4_1':0.0,'3_1':0.0},(5,249):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,248):{'3_1':0.03,'4_1':0.0},(5,247):{'3_1':0.0},(5,246):{'3_1':0.06},(5,245):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,244):{'3_1':0.0,'5_1':0.0},(5,243):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,242):{'3_1':0.0},(5,241):{'3_1':0.0,'4_1':0.0},(5,240):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,239):{'3_1':0.03,'4_1':0.0},(5,238):{'3_1':0.0,'5_2':0.0},(5,237):{'3_1':0.03},(5,236):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,235):{'3_1':0.0,'4_1':0.0},(5,234):{'3_1':0.03,'5_2':0.0},(5,233):{'3_1':0.0},(5,232):{'3_1':0.0,'5_2':0.0},(5,231):{'3_1':0.0,'4_1':0.0},(5,230):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,229):{'5_2':0.0,'3_1':0.0},(5,228):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,227):{'3_1':0.03,'4_1':0.0},(5,226):{'3_1':0.03,'4_1':0.0},(5,225):{'3_1':0.0,'4_1':0.0},(5,224):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,223):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(5,222):{'3_1':0.03,'5_1':0.0},(5,221):{'3_1':0.0},(5,220):{'3_1':0.0,'4_1':0.0},(5,219):{'3_1':0.03,'4_1':0.03},(5,218):{'3_1':0.06,'5_1':0.0},(5,217):{'3_1':0.03,'5_1':0.0},(5,216):{'3_1':0.0,'5_1':0.0},(5,215):{'3_1':0.0,'5_1':0.0},(5,214):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(5,213):{'3_1':0.0,'4_1':0.0},(5,212):{'3_1':0.03,'4_1':0.0},(5,211):{'3_1':0.06,'4_1':0.0},(5,210):{'3_1':0.06,'4_1':0.0},(5,209):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(5,208):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(5,207):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(5,206):{'3_1':0.06,'4_1':0.0},(5,205):{'3_1':0.03},(5,204):{'3_1':0.0},(5,203):{'3_1':0.03},(5,202):{'3_1':0.0,'5_2':0.0},(5,201):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(5,200):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(5,199):{'3_1':0.03,'5_1':0.0},(5,198):{'3_1':0.0,'4_1':0.0},(5,197):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,195):{'3_1':0.03,'5_2':0.0},(5,194):{'3_1':0.03,'5_2':0.0},(5,193):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,192):{'3_1':0.03},(5,191):{'3_1':0.03},(5,190):{'3_1':0.09,'4_1':0.0},(5,189):{'3_1':0.03,'4_1':0.0},(5,188):{'3_1':0.03,'4_1':0.0},(5,187):{'3_1':0.06,'4_1':0.0},(5,186):{'3_1':0.09},(5,185):{'3_1':0.0},(5,184):{'3_1':0.03,'4_1':0.0},(5,183):{'3_1':0.06,'4_1':0.0},(5,182):{'3_1':0.0,'4_1':0.0},(5,181):{'3_1':0.03,'4_1':0.03},(5,180):{'4_1':0.0,'3_1':0.0},(5,179):{'3_1':0.06},(5,178):{'3_1':0.03,'4_1':0.0},(5,177):{'3_1':0.03},(5,176):{'3_1':0.03,'4_1':0.0},(5,175):{'3_1':0.0},(5,174):{'3_1':0.0},(5,173):{'3_1':0.0,'4_1':0.0},(5,172):{'3_1':0.0},(5,171):{'3_1':0.03,'4_1':0.0},(5,170):{'3_1':0.03},(5,169):{'3_1':0.0},(5,168):{'3_1':0.0},(5,167):{'3_1':0.0,'4_1':0.0},(5,166):{'3_1':0.0,'4_1':0.0},(5,165):{'3_1':0.0},(5,164):{'4_1':0.0,'3_1':0.0},(5,163):{'3_1':0.0,'4_1':0.0},(5,162):{'3_1':0.0},(5,161):{'3_1':0.03,'4_1':0.0},(5,160):{'3_1':0.0,'4_1':0.0},(5,159):{'3_1':0.0},(5,158):{'3_1':0.0},(5,157):{'3_1':0.0},(5,156):{'3_1':0.03,'4_1':0.0},(5,155):{'3_1':0.0,'4_1':0.0},(5,154):{'3_1':0.0,'4_1':0.0},(5,153):{'3_1':0.0},(5,152):{'3_1':0.0},(5,150):{'3_1':0.0},(5,149):{'3_1':0.0,'4_1':0.0},(5,148):{'3_1':0.0},(5,147):{'3_1':0.0,'4_1':0.0},(5,146):{'3_1':0.0},(5,145):{'3_1':0.0},(5,144):{'3_1':0.0,'4_1':0.0},(5,142):{'3_1':0.0,'4_1':0.0},(5,141):{'3_1':0.0,'4_1':0.0},(5,140):{'3_1':0.0,'4_1':0.0},(5,138):{'3_1':0.0},(5,137):{'3_1':0.0,'4_1':0.0},(5,136):{'3_1':0.03},(5,135):{'3_1':0.0},(5,133):{'4_1':0.0},(5,132):{'3_1':0.0},(5,131):{'3_1':0.0},(5,130):{'3_1':0.0},(5,129):{'3_1':0.0,'4_1':0.0},(5,128):{'3_1':0.0},(5,127):{'3_1':0.0},(5,126):{'3_1':0.0},(5,124):{'3_1':0.0},(5,122):{'3_1':0.03},(5,121):{'3_1':0.03},(5,120):{'3_1':0.0},(5,119):{'3_1':0.03},(5,118):{'3_1':0.0},(5,117):{'3_1':0.0},(5,116):{'3_1':0.0},(5,115):{'3_1':0.0},(5,114):{'3_1':0.0},(5,113):{'3_1':0.0},(5,112):{'3_1':0.0},(5,111):{'3_1':0.0},(5,110):{'3_1':0.0},(5,109):{'3_1':0.0},(5,106):{'3_1':0.0},(5,105):{'3_1':0.0},(5,104):{'3_1':0.0},(5,102):{'3_1':0.0},(5,99):{'3_1':0.0},(5,98):{'3_1':0.0},(5,96):{'3_1':0.0},(6,459):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0},(6,458):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(6,457):{'3_1':0.9,'5_2':0.0,'7_1':0.0},(6,456):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0},(6,455):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(6,454):{'3_1':0.9,'5_1':0.0},(6,453):{'3_1':0.9,'8_20|3_1#3_1':0.0,'7_5':0.0},(6,452):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(6,451):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(6,450):{'3_1':0.9,'5_2':0.0,'7_5':0.0},(6,449):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(6,448):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(6,447):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(6,446):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_10':0.0},(6,445):{'3_1':0.87,'7_3':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(6,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(6,443):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(6,442):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(6,441):{'3_1':0.87,'8_10':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(6,440):{'3_1':0.84,'5_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0},(6,439):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(6,438):{'3_1':0.87,'8_2':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(6,437):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(6,436):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(6,435):{'3_1':0.9,'5_1':0.0,'8_10':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(6,434):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(6,433):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(6,432):{'3_1':0.9,'8_20|3_1#3_1':0.03,'4_1':0.0,'8_2':0.0},(6,431):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_21|3_1#4_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(6,430):{'3_1':0.84,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'8_10':0.0},(6,429):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_4':0.0},(6,428):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(6,427):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(6,426):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'-3':0.0,'4_1':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(6,425):{'3_1':0.78,'5_1':0.03,'6_2':0.03,'8_2':0.03,'5_2':0.0,'8_10':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(6,424):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0},(6,423):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0},(6,422):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_10':0.0},(6,421):{'3_1':0.87,'5_1':0.06,'5_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(6,420):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(6,419):{'3_1':0.72,'5_1':0.12,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0,'3_1#5_1':0.0,'-3':0.0,'1':-0.03},(6,418):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(6,417):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_10':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(6,416):{'3_1':0.84,'5_1':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(6,415):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'7_1':0.0,'7_2':0.0,'3_1#5_1':0.0,'-3':0.0,'1':-0.03},(6,414):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'1':-0.03},(6,413):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'1':-0.03},(6,412):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(6,411):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_6':0.0,'3_1#5_1':0.0},(6,410):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.03,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(6,409):{'3_1':0.66,'5_1':0.15,'5_2':0.03,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'6_2':0.0,'8_13':0.0},(6,408):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'7_3':0.0,'8_10':0.0,'3_1#5_1':0.0,'-3':0.0},(6,407):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(6,406):{'3_1':0.84,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'8_10':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(6,405):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'8_10':0.0,'-3':0.0},(6,404):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(6,403):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(6,402):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(6,401):{'3_1':0.81,'8_20|3_1#3_1':0.0,'6_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0},(6,400):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(6,399):{'3_1':0.75,'8_20|3_1#3_1':0.03,'8_21|3_1#4_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_7':0.0,'8_10':0.0},(6,398):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'1':-0.03},(6,397):{'3_1':0.84,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(6,396):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(6,395):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_2':0.0,'-3':0.0},(6,394):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'7_2':0.0,'-3':0.0},(6,393):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(6,392):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(6,391):{'3_1':0.66,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_10':0.0},(6,390):{'3_1':0.66,'8_20|3_1#3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(6,389):{'3_1':0.42,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(6,388):{'3_1':0.48,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'6_3':0.0,'8_9':0.0,'8_10':0.0},(6,387):{'3_1':0.42,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(6,386):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'6_3':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(6,385):{'3_1':0.18,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(6,384):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0,'8_3':0.0,'3_1#5_2':0.0},(6,383):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0},(6,382):{'3_1':0.12,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(6,381):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_10':0.0,'-3':0.0},(6,380):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(6,379):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_15':0.0},(6,378):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_2':0.0},(6,377):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(6,376):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_3':0.0},(6,375):{'3_1':0.06,'8_21|3_1#4_1':0.0},(6,374):{'3_1':0.03,'4_1':0.0},(6,373):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(6,372):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_8':0.0},(6,371):{'3_1':0.06,'4_1':0.0,'8_10':0.0,'-3':0.0},(6,370):{'3_1':0.03,'3_1#5_2':0.0},(6,369):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(6,368):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(6,367):{'3_1':0.06,'5_2':0.0},(6,366):{'3_1':0.03,'8_20|3_1#3_1':0.0},(6,365):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'-3':0.0},(6,364):{'3_1':0.0},(6,363):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(6,362):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(6,361):{'3_1':0.0,'5_2':0.0},(6,360):{'3_1':0.03},(6,359):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(6,358):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(6,357):{'3_1':0.06,'4_1':0.0},(6,356):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(6,355):{'3_1':0.0,'4_1':0.0},(6,354):{'3_1':0.06,'5_2':0.0},(6,353):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(6,352):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(6,351):{'3_1':0.0,'6_3':0.0},(6,350):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,349):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(6,348):{'3_1':0.0,'5_1':0.0},(6,347):{'3_1':0.0,'5_1':0.0},(6,346):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(6,345):{'4_1':0.0,'3_1':0.0},(6,344):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,343):{'3_1':0.03,'6_3':0.0},(6,342):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(6,341):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(6,340):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(6,339):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(6,338):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(6,337):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(6,336):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(6,335):{'3_1':0.06,'5_1':0.0},(6,334):{'3_1':0.06,'4_1':0.0},(6,333):{'3_1':0.09,'8_20|3_1#3_1':0.0,'4_1':0.0},(6,332):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_14':0.0},(6,331):{'3_1':0.03,'4_1':0.0},(6,330):{'3_1':0.06,'4_1':0.0},(6,329):{'3_1':0.09,'4_1':0.0},(6,328):{'3_1':0.06,'4_1':0.0},(6,327):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(6,326):{'3_1':0.0,'4_1':0.0},(6,325):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(6,324):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(6,323):{'3_1':0.0,'7_5':0.0},(6,322):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(6,321):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(6,320):{'5_2':0.0,'3_1':0.0},(6,319):{'3_1':0.03,'4_1':0.0},(6,318):{'3_1':0.03,'5_2':0.0},(6,317):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(6,316):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(6,315):{'3_1':0.0},(6,314):{'3_1':0.03},(6,313):{'3_1':0.0,'5_2':0.0},(6,312):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(6,311):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,310):{'3_1':0.03,'4_1':0.03},(6,309):{'3_1':0.0,'5_2':0.0},(6,308):{'3_1':0.03,'4_1':0.0},(6,307):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,306):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(6,305):{'7_1':0.0,'8_20|3_1#3_1':0.0},(6,304):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(6,303):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(6,302):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(6,301):{'3_1':0.0},(6,300):{'3_1':0.06,'5_1':0.0},(6,299):{'3_1':0.0,'4_1':0.0},(6,298):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(6,297):{'3_1':0.03,'4_1':0.0},(6,296):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(6,295):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,294):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(6,293):{'3_1':0.0},(6,292):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(6,291):{'3_1':0.0,'4_1':0.0},(6,290):{'3_1':0.06,'5_2':0.0},(6,289):{'3_1':0.03,'5_2':0.0},(6,288):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,287):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,286):{'3_1':0.03,'5_2':0.0},(6,285):{'3_1':0.0,'4_1':0.0},(6,284):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,283):{'3_1':0.03,'5_2':0.0},(6,282):{'3_1':0.03,'4_1':0.0},(6,281):{'3_1':0.0,'5_2':0.0},(6,280):{'3_1':0.0,'5_2':0.0},(6,279):{'3_1':0.06},(6,278):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(6,277):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(6,276):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(6,275):{'4_1':0.0,'3_1':0.0},(6,274):{'3_1':0.0,'4_1':0.0},(6,273):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(6,272):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(6,271):{'3_1':0.03,'4_1':0.0},(6,270):{'3_1':0.0,'5_1':0.0},(6,269):{'3_1':0.03},(6,268):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,267):{'3_1':0.03},(6,266):{'3_1':0.06},(6,265):{'3_1':0.03,'4_1':0.03},(6,264):{'3_1':0.03,'4_1':0.0},(6,263):{'3_1':0.03,'4_1':0.0},(6,262):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(6,261):{'3_1':0.09,'4_1':0.0},(6,260):{'3_1':0.0},(6,259):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(6,258):{'4_1':0.0,'3_1':0.0},(6,257):{'3_1':0.03,'5_1':0.0},(6,256):{'3_1':0.0,'4_1':0.0},(6,255):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(6,254):{'3_1':0.06},(6,253):{'3_1':0.03,'4_1':0.0},(6,252):{'3_1':0.0},(6,251):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(6,250):{'3_1':0.0,'5_2':0.0},(6,249):{'3_1':0.0,'4_1':0.0},(6,248):{'3_1':0.0,'4_1':0.0},(6,247):{'3_1':0.03,'5_2':0.0},(6,246):{'3_1':0.03,'5_2':0.0},(6,244):{'3_1':0.0,'5_2':0.0},(6,243):{'3_1':0.0,'4_1':0.0},(6,242):{'3_1':0.0},(6,241):{'3_1':0.0},(6,240):{'3_1':0.0,'4_1':0.0},(6,239):{'3_1':0.03},(6,238):{'3_1':0.03,'4_1':0.0},(6,237):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(6,236):{'3_1':0.0},(6,235):{'3_1':0.0},(6,234):{'3_1':0.03,'4_1':0.0},(6,233):{'3_1':0.0},(6,232):{'3_1':0.0,'4_1':0.0},(6,231):{'3_1':0.03,'4_1':0.0},(6,230):{'3_1':0.03,'6_2':0.0},(6,229):{'3_1':0.0,'4_1':0.0},(6,228):{'3_1':0.03},(6,227):{'3_1':0.03},(6,226):{'3_1':0.0,'4_1':0.0},(6,225):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(6,224):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(6,223):{'3_1':0.06,'5_1':0.0},(6,222):{'3_1':0.06,'5_2':0.0},(6,221):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(6,220):{'3_1':0.03,'5_1':0.0},(6,219):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(6,218):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(6,217):{'3_1':0.03,'5_1':0.0},(6,216):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(6,215):{'3_1':0.03,'4_1':0.0},(6,214):{'3_1':0.06,'4_1':0.0},(6,213):{'3_1':0.03,'4_1':0.0},(6,212):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(6,211):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(6,210):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(6,209):{'3_1':0.03,'4_1':0.0},(6,208):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,207):{'3_1':0.09},(6,206):{'3_1':0.09,'4_1':0.0},(6,205):{'4_1':0.0,'3_1':0.0},(6,204):{'3_1':0.0},(6,203):{'5_2':0.0},(6,202):{'3_1':0.0},(6,201):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(6,200):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(6,199):{'3_1':0.0},(6,198):{'3_1':0.03,'4_1':0.0},(6,197):{'3_1':0.03},(6,196):{'3_1':0.0,'4_1':0.0},(6,195):{'3_1':0.0,'4_1':0.0},(6,194):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(6,193):{'3_1':0.03,'4_1':0.0},(6,192):{'3_1':0.06},(6,191):{'3_1':0.0,'4_1':0.0},(6,190):{'3_1':0.03,'4_1':0.0},(6,189):{'3_1':0.06},(6,188):{'3_1':0.0},(6,187):{'3_1':0.03},(6,186):{'3_1':0.0,'4_1':0.0},(6,185):{'3_1':0.09,'4_1':0.0},(6,184):{'3_1':0.03,'4_1':0.0},(6,183):{'3_1':0.03,'4_1':0.0},(6,182):{'3_1':0.06},(6,181):{'3_1':0.03,'4_1':0.0},(6,180):{'3_1':0.03},(6,179):{'3_1':0.03,'4_1':0.0},(6,178):{'3_1':0.03,'4_1':0.0},(6,177):{'3_1':0.0},(6,176):{'3_1':0.03,'4_1':0.0},(6,175):{'3_1':0.0,'4_1':0.0},(6,174):{'3_1':0.03},(6,173):{'3_1':0.06},(6,172):{'3_1':0.03,'4_1':0.0},(6,171):{'3_1':0.06},(6,170):{'3_1':0.03,'4_1':0.0},(6,169):{'3_1':0.03,'4_1':0.0},(6,168):{'3_1':0.0,'4_1':0.0},(6,167):{'4_1':0.0,'3_1':0.0},(6,166):{'3_1':0.03},(6,165):{'3_1':0.0,'4_1':0.0},(6,164):{'3_1':0.03,'4_1':0.0},(6,163):{'4_1':0.0},(6,162):{'3_1':0.0},(6,161):{'4_1':0.0,'3_1':0.0},(6,160):{'3_1':0.03},(6,159):{'3_1':0.0,'4_1':0.0},(6,158):{'3_1':0.0,'4_1':0.0},(6,157):{'3_1':0.0,'4_1':0.0},(6,156):{'3_1':0.0,'4_1':0.0},(6,155):{'3_1':0.0,'4_1':0.0},(6,154):{'4_1':0.0,'3_1':0.0},(6,153):{'3_1':0.0},(6,152):{'3_1':0.03},(6,151):{'3_1':0.0},(6,150):{'3_1':0.0},(6,149):{'3_1':0.0,'4_1':0.0},(6,148):{'4_1':0.0,'3_1':0.0},(6,147):{'3_1':0.0,'4_1':0.0},(6,146):{'3_1':0.0,'4_1':0.0},(6,145):{'3_1':0.0},(6,144):{'3_1':0.0},(6,142):{'3_1':0.0,'4_1':0.0},(6,141):{'3_1':0.0},(6,140):{'4_1':0.0,'3_1':0.0},(6,139):{'3_1':0.0},(6,137):{'4_1':0.03},(6,136):{'3_1':0.0,'4_1':0.0},(6,135):{'3_1':0.0,'4_1':0.0},(6,134):{'3_1':0.0},(6,133):{'3_1':0.0,'4_1':0.0},(6,132):{'3_1':0.0,'4_1':0.0},(6,131):{'3_1':0.0,'4_1':0.0},(6,130):{'3_1':0.0,'4_1':0.0},(6,128):{'4_1':0.0,'3_1':0.0},(6,127):{'3_1':0.0},(6,126):{'3_1':0.03},(6,125):{'3_1':0.0},(6,124):{'3_1':0.0,'4_1':0.0},(6,123):{'3_1':0.0,'4_1':0.0},(6,122):{'3_1':0.0},(6,120):{'3_1':0.0,'4_1':0.0},(6,119):{'3_1':0.0},(6,117):{'3_1':0.0},(6,116):{'3_1':0.0},(6,115):{'3_1':0.03},(6,114):{'3_1':0.0},(6,113):{'3_1':0.0},(6,112):{'3_1':0.0},(6,111):{'3_1':0.0},(6,109):{'3_1':0.0},(6,108):{'3_1':0.03},(6,107):{'3_1':0.0},(6,106):{'3_1':0.03},(6,105):{'3_1':0.0},(6,104):{'3_1':0.0},(6,103):{'3_1':0.0},(6,102):{'3_1':0.0},(6,101):{'3_1':0.0},(6,100):{'3_1':0.0},(6,99):{'3_1':0.0},(6,94):{'3_1':0.0},(7,459):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(7,458):{'3_1':0.9,'5_2':0.0},(7,457):{'3_1':0.9,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0},(7,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(7,455):{'3_1':0.9,'8_2':0.0},(7,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(7,453):{'3_1':0.9,'5_1':0.0},(7,452):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(7,451):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'-3':0.0},(7,450):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(7,449):{'3_1':0.87,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'9_1':0.0},(7,448):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'7_1':0.0,'6_2':0.0},(7,447):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'7_3':0.0},(7,446):{'3_1':0.9,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(7,445):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(7,444):{'3_1':0.9,'7_3':0.0,'8_2':0.0},(7,443):{'3_1':0.87,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(7,442):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(7,441):{'3_1':0.87,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(7,440):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'2':-0.03},(7,439):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0},(7,438):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(7,437):{'3_1':0.9,'5_1':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(7,436):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(7,435):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'8_5':0.0},(7,434):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(7,433):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0},(7,432):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_2':0.0,'8_10':0.0,'-3':0.0},(7,431):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(7,430):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_1':0.0,'5_2':0.0,'7_5':0.0},(7,429):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0,'7_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(7,428):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(7,427):{'3_1':0.84,'5_1':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'8_8':0.0},(7,426):{'3_1':0.81,'8_20|3_1#3_1':0.03,'8_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_4':0.0},(7,425):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0},(7,424):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(7,423):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(7,422):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'8_2':0.0},(7,421):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(7,420):{'3_1':0.72,'5_1':0.06,'8_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(7,419):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_2':0.0,'5_2':0.0,'-3':0.0},(7,418):{'3_1':0.75,'8_20|3_1#3_1':0.06,'5_1':0.03,'7_3':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_1':0.0},(7,417):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_10':0.0},(7,416):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(7,415):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(7,414):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0,'8_2':0.0,'3_1#5_1':0.0,'-3':0.0},(7,413):{'3_1':0.66,'5_1':0.09,'8_2':0.03,'5_2':0.03,'6_2':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'8_21|3_1#4_1':0.0},(7,412):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_21|3_1#4_1':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(7,411):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0},(7,410):{'3_1':0.69,'5_1':0.09,'8_20|3_1#3_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_1':0.0,'-3':0.0},(7,409):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'7_1':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(7,408):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0,'8_2':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(7,407):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'8_21|3_1#4_1':0.03,'5_2':0.0,'6_2':0.0},(7,406):{'3_1':0.69,'5_2':0.06,'8_20|3_1#3_1':0.06,'5_1':0.03,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_1':0.0},(7,405):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0},(7,404):{'3_1':0.78,'8_20|3_1#3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(7,403):{'3_1':0.81,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(7,402):{'3_1':0.78,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'8_10':0.0},(7,401):{'3_1':0.78,'8_20|3_1#3_1':0.03,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(7,400):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(7,399):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(7,398):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'6_2':0.0,'8_9':0.0,'-3':0.0},(7,397):{'3_1':0.84,'8_20|3_1#3_1':0.03,'8_21|3_1#4_1':0.0,'6_2':0.0,'8_10':0.0},(7,396):{'3_1':0.87,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'5_2':0.0},(7,395):{'3_1':0.75,'8_20|3_1#3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(7,394):{'3_1':0.69,'6_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_2':0.0},(7,393):{'3_1':0.69,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(7,392):{'3_1':0.72,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(7,391):{'3_1':0.69,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(7,390):{'3_1':0.57,'5_1':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(7,389):{'3_1':0.45,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_8':0.0},(7,388):{'3_1':0.33,'5_1':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0},(7,387):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0},(7,386):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'7_6':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(7,385):{'3_1':0.21,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_10':0.0},(7,384):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(7,383):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'3_1#5_2':0.0},(7,382):{'3_1':0.12,'4_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(7,381):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_13':0.0,'-3':0.0},(7,380):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(7,379):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(7,378):{'3_1':0.06,'5_2':0.0},(7,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(7,376):{'3_1':0.03,'4_1':0.0},(7,375):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(7,374):{'3_1':0.06,'8_20|3_1#3_1':0.0},(7,373):{'3_1':0.03,'4_1':0.0},(7,372):{'3_1':0.12},(7,371):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(7,370):{'3_1':0.03,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(7,369):{'3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(7,368):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(7,367):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_5':0.0},(7,366):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(7,365):{'3_1':0.06,'7_5':0.0},(7,364):{'3_1':0.09,'4_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(7,363):{'3_1':0.0,'5_2':0.0},(7,362):{'3_1':0.0,'7_2':0.0},(7,361):{'3_1':0.0},(7,360):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(7,359):{'3_1':0.03,'4_1':0.0,'7_4':0.0,'8_8':0.0,'8_14':0.0},(7,358):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(7,357):{'3_1':0.06,'4_1':0.0},(7,356):{'3_1':0.06,'5_2':0.0},(7,355):{'3_1':0.03,'4_1':0.0,'8_8':0.0,'-3':0.0},(7,354):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(7,353):{'3_1':0.06,'5_2':0.0,'7_4':0.0},(7,352):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(7,351):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(7,350):{'4_1':0.0,'5_1':0.0},(7,349):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0},(7,348):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(7,347):{'3_1':0.0},(7,346):{'3_1':0.06},(7,345):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(7,344):{'3_1':0.03,'4_1':0.0},(7,343):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(7,342):{'3_1':0.03,'4_1':0.0},(7,341):{'3_1':0.06,'6_2':0.0},(7,340):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(7,339):{'3_1':0.03,'5_1':0.0},(7,338):{'3_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(7,337):{'3_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(7,336):{'3_1':0.03,'6_2':0.0},(7,335):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(7,334):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(7,333):{'3_1':0.0,'4_1':0.0},(7,332):{'3_1':0.06,'5_2':0.0},(7,331):{'3_1':0.09,'8_20|3_1#3_1':0.0},(7,330):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_7':0.0},(7,329):{'3_1':0.06,'4_1':0.0},(7,328):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(7,327):{'3_1':0.0,'5_1':0.0},(7,326):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(7,325):{'3_1':0.03},(7,324):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(7,323):{'4_1':0.0,'3_1':0.0},(7,322):{'3_1':0.0,'4_1':0.0},(7,321):{'3_1':0.03,'7_5':0.0,'5_1':0.0},(7,320):{'3_1':0.0},(7,319):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(7,318):{'3_1':0.03,'5_2':0.0},(7,317):{'3_1':0.03,'4_1':0.0},(7,316):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(7,315):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(7,314):{'3_1':0.0,'5_2':0.0},(7,313):{'3_1':0.03,'5_1':0.0},(7,312):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(7,311):{'3_1':0.0,'5_2':0.0},(7,310):{'3_1':0.0,'5_2':0.0},(7,309):{'3_1':0.0,'4_1':0.0},(7,308):{'3_1':0.0},(7,307):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(7,306):{'3_1':0.03,'5_2':0.0},(7,305):{'3_1':0.0,'5_2':0.0},(7,304):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(7,303):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0},(7,302):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(7,301):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(7,300):{'3_1':0.06,'5_2':0.0},(7,299):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(7,298):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(7,297):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(7,296):{'3_1':0.0,'4_1':0.0},(7,295):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(7,294):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(7,293):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'4_1':0.0},(7,292):{'3_1':0.03,'4_1':0.0},(7,291):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(7,290):{'3_1':0.03},(7,289):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(7,288):{'3_1':0.06,'4_1':0.0},(7,287):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(7,286):{'3_1':0.03,'4_1':0.0},(7,285):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(7,284):{'3_1':0.03,'4_1':0.0},(7,283):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(7,282):{'3_1':0.03,'4_1':0.0},(7,281):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(7,280):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(7,279):{'3_1':0.06},(7,278):{'3_1':0.03,'5_2':0.0},(7,277):{'3_1':0.03,'4_1':0.0},(7,276):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(7,275):{'3_1':0.03},(7,274):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(7,273):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(7,272):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(7,271):{'3_1':0.0,'4_1':0.0},(7,270):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(7,269):{'3_1':0.06,'4_1':0.0},(7,268):{'3_1':0.0,'5_1':0.0},(7,267):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(7,266):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(7,265):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(7,264):{'3_1':0.03,'5_2':0.0},(7,263):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(7,262):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(7,261):{'3_1':0.09,'8_20|3_1#3_1':0.0},(7,260):{'3_1':0.06,'4_1':0.0},(7,259):{'3_1':0.06,'4_1':0.0},(7,258):{'3_1':0.03,'5_1':0.0},(7,257):{'3_1':0.06,'4_1':0.0},(7,256):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0},(7,255):{'3_1':0.03,'5_2':0.0},(7,254):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(7,253):{'3_1':0.03},(7,252):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(7,251):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(7,250):{'3_1':0.03},(7,249):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(7,248):{'3_1':0.03,'4_1':0.0},(7,247):{'3_1':0.0},(7,246):{'3_1':0.03},(7,245):{'3_1':0.06},(7,244):{'3_1':0.03,'5_2':0.0},(7,243):{'3_1':0.06,'5_2':0.0},(7,242):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(7,241):{'3_1':0.03},(7,240):{'3_1':0.0},(7,239):{'4_1':0.0},(7,238):{'3_1':0.03,'4_1':0.0},(7,237):{'3_1':0.03,'4_1':0.0},(7,236):{'3_1':0.0,'4_1':0.0},(7,235):{'3_1':0.0},(7,234):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(7,233):{'3_1':0.0,'4_1':0.0},(7,232):{'3_1':0.0,'4_1':0.0},(7,231):{'3_1':0.0},(7,230):{'3_1':0.0},(7,229):{'3_1':0.0},(7,228):{'3_1':0.03,'4_1':0.0},(7,227):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(7,226):{'3_1':0.0,'5_2':0.0},(7,225):{'3_1':0.03},(7,224):{'3_1':0.0,'6_2':0.0,'5_2':0.0},(7,223):{'3_1':0.06},(7,222):{'3_1':0.03},(7,221):{'3_1':0.06,'4_1':0.0},(7,220):{'3_1':0.06,'5_2':0.0},(7,219):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(7,218):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(7,217):{'3_1':0.0,'5_1':0.0},(7,216):{'3_1':0.0,'4_1':0.0},(7,215):{'3_1':0.0,'4_1':0.0},(7,214):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(7,213):{'3_1':0.06,'5_1':0.0},(7,212):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(7,211):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(7,210):{'3_1':0.06,'4_1':0.0},(7,209):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(7,208):{'3_1':0.09,'4_1':0.0},(7,207):{'3_1':0.0},(7,206):{'3_1':0.0,'4_1':0.0},(7,205):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(7,204):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(7,203):{'3_1':0.03},(7,202):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(7,201):{'3_1':0.06},(7,200):{'3_1':0.0,'4_1':0.0},(7,199):{'3_1':0.06},(7,198):{'3_1':0.0},(7,197):{'3_1':0.03,'5_2':0.0},(7,196):{'3_1':0.03},(7,195):{'3_1':0.03,'4_1':0.0},(7,194):{'3_1':0.03,'5_2':0.0},(7,193):{'3_1':0.06,'4_1':0.0},(7,192):{'3_1':0.06,'4_1':0.0},(7,191):{'3_1':0.03},(7,190):{'3_1':0.06},(7,189):{'3_1':0.06},(7,188):{'3_1':0.0},(7,187):{'3_1':0.06},(7,186):{'3_1':0.12},(7,185):{'3_1':0.03},(7,184):{'3_1':0.0,'4_1':0.0},(7,183):{'3_1':0.03,'4_1':0.0},(7,182):{'3_1':0.03,'4_1':0.0},(7,181):{'3_1':0.03,'4_1':0.0},(7,180):{'3_1':0.03,'4_1':0.0},(7,179):{'3_1':0.03,'4_1':0.0},(7,178):{'3_1':0.06,'4_1':0.0},(7,177):{'3_1':0.03},(7,176):{'3_1':0.0,'4_1':0.0},(7,175):{'3_1':0.0,'4_1':0.0},(7,174):{'3_1':0.0},(7,173):{'3_1':0.0},(7,172):{'3_1':0.03,'4_1':0.0},(7,171):{'3_1':0.0,'4_1':0.0},(7,170):{'3_1':0.06},(7,169):{'3_1':0.03},(7,168):{'3_1':0.03,'4_1':0.0},(7,167):{'3_1':0.03},(7,166):{'4_1':0.0,'3_1':0.0},(7,165):{'3_1':0.03,'4_1':0.0},(7,164):{'3_1':0.03,'4_1':0.0},(7,163):{'3_1':0.0,'4_1':0.0},(7,162):{'3_1':0.03},(7,161):{'3_1':0.0,'4_1':0.0},(7,160):{'3_1':0.03,'4_1':0.0},(7,159):{'3_1':0.0},(7,158):{'3_1':0.0},(7,157):{'3_1':0.0,'4_1':0.0},(7,156):{'3_1':0.0,'4_1':0.0},(7,155):{'3_1':0.0},(7,154):{'3_1':0.03,'4_1':0.0},(7,153):{'3_1':0.03},(7,152):{'3_1':0.0},(7,151):{'3_1':0.0,'4_1':0.0},(7,150):{'3_1':0.0},(7,149):{'3_1':0.03},(7,148):{'3_1':0.0},(7,147):{'3_1':0.0},(7,146):{'3_1':0.0},(7,145):{'3_1':0.0,'4_1':0.0},(7,144):{'3_1':0.0},(7,143):{'3_1':0.03},(7,142):{'3_1':0.0},(7,141):{'3_1':0.03,'4_1':0.0},(7,140):{'4_1':0.0},(7,139):{'3_1':0.0},(7,138):{'3_1':0.0},(7,137):{'3_1':0.0},(7,136):{'3_1':0.0},(7,135):{'3_1':0.03},(7,134):{'3_1':0.0},(7,133):{'3_1':0.03},(7,132):{'3_1':0.03,'4_1':0.0},(7,131):{'3_1':0.0},(7,130):{'3_1':0.0,'4_1':0.0},(7,129):{'3_1':0.0},(7,128):{'3_1':0.03},(7,127):{'3_1':0.0,'4_1':0.0},(7,126):{'3_1':0.0},(7,125):{'3_1':0.0,'4_1':0.0},(7,124):{'3_1':0.0},(7,123):{'3_1':0.0},(7,122):{'3_1':0.0},(7,121):{'3_1':0.03},(7,120):{'3_1':0.0},(7,119):{'3_1':0.0},(7,118):{'3_1':0.0},(7,117):{'3_1':0.0},(7,116):{'3_1':0.0},(7,115):{'3_1':0.0},(7,114):{'3_1':0.0},(7,113):{'3_1':0.0},(7,112):{'3_1':0.0},(7,111):{'3_1':0.0},(7,109):{'3_1':0.0},(7,108):{'3_1':0.0},(7,107):{'3_1':0.03},(7,106):{'3_1':0.0},(7,105):{'3_1':0.0},(7,104):{'3_1':0.0},(7,103):{'3_1':0.03},(7,102):{'3_1':0.03},(7,101):{'3_1':0.0},(7,100):{'3_1':0.0},(7,98):{'3_1':0.0},(7,95):{'3_1':0.0},(7,94):{'3_1':0.0},(7,90):{'3_1':0.0},(8,459):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0},(8,458):{'3_1':0.9,'5_1':0.03},(8,457):{'3_1':0.9,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0},(8,456):{'3_1':0.9,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(8,455):{'3_1':0.84,'7_1':0.0,'5_1':0.0,'5_2':0.0},(8,454):{'3_1':0.9,'7_5':0.0,'6_2':0.0},(8,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(8,452):{'3_1':0.9,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(8,451):{'3_1':0.9,'5_2':0.0,'7_1':0.0,'7_5':0.0},(8,450):{'3_1':0.84,'4_1':0.0,'5_2':0.0,'7_5':0.0,'5_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(8,449):{'3_1':0.9,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(8,448):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(8,447):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_5':0.0},(8,446):{'3_1':0.9,'6_2':0.0,'7_5':0.0},(8,445):{'3_1':0.87,'7_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(8,444):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(8,443):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(8,442):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(8,441):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(8,440):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'1':-0.03},(8,439):{'3_1':0.87,'5_2':0.0,'7_3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(8,438):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(8,437):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(8,436):{'3_1':0.87,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(8,435):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(8,434):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0},(8,433):{'3_1':0.84,'8_10':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(8,432):{'3_1':0.84,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_4':0.0},(8,431):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_2':0.0,'5_1':0.0,'8_2':0.0,'8_5':0.0,'8_21|3_1#4_1':0.0},(8,430):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_5':0.0},(8,429):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(8,428):{'3_1':0.84,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_2':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(8,427):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(8,426):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'8_2':0.0,'5_2':0.0},(8,425):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_10':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(8,424):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(8,423):{'3_1':0.78,'5_1':0.03,'8_21|3_1#4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'8_10':0.0,'-3':0.0},(8,422):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_2':0.0,'5_2':0.0},(8,421):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'8_19':0.0},(8,420):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(8,419):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0},(8,418):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.03,'7_3':0.0,'8_2':0.0,'5_2':0.0,'7_2':0.0},(8,417):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'7_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(8,416):{'3_1':0.72,'5_1':0.06,'8_20|3_1#3_1':0.03,'8_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_10':0.0},(8,415):{'3_1':0.69,'5_1':0.03,'6_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(8,414):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(8,413):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_2':0.0,'5_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(8,412):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'8_2':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'6_3':0.0,'8_6':0.0},(8,411):{'3_1':0.6,'5_1':0.18,'8_20|3_1#3_1':0.03,'6_2':0.03,'5_2':0.0,'-3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(8,410):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(8,409):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(8,408):{'3_1':0.72,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(8,407):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(8,406):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_2':0.0},(8,405):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(8,404):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(8,403):{'3_1':0.81,'8_20|3_1#3_1':0.0,'6_1':0.0,'5_1':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(8,402):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(8,401):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'8_10':0.0,'-3':0.0},(8,400):{'3_1':0.81,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'8_10':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(8,399):{'3_1':0.75,'8_20|3_1#3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_10':0.0},(8,398):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_10':0.0},(8,397):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(8,396):{'3_1':0.69,'8_20|3_1#3_1':0.03,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(8,395):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'6_2':0.0,'-3':0.0},(8,394):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_14':0.0},(8,393):{'3_1':0.66,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(8,392):{'3_1':0.51,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_2':0.0,'8_10':0.0,'3_1#5_1':0.0,'-3':0.0},(8,391):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_3':0.0},(8,390):{'3_1':0.54,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(8,389):{'3_1':0.51,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(8,388):{'3_1':0.33,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(8,387):{'3_1':0.36,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_8':0.0,'-3':0.0},(8,386):{'3_1':0.21,'4_1':0.06,'5_1':0.06,'6_2':0.03,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(8,385):{'3_1':0.18,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_1':0.0},(8,384):{'3_1':0.15,'4_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(8,383):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(8,382):{'4_1':0.09,'3_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(8,381):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0},(8,380):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_8':0.0},(8,379):{'5_2':0.03,'3_1':0.0,'4_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(8,378):{'3_1':0.06,'5_2':0.06,'4_1':0.0},(8,377):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0},(8,376):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(8,375):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(8,374):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(8,373):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(8,372):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(8,371):{'3_1':0.03},(8,370):{'3_1':0.03,'5_2':0.0,'7_5':0.0,'-3':0.0},(8,369):{'3_1':0.06,'5_2':0.0},(8,368):{'3_1':0.03,'5_2':0.0},(8,367):{'3_1':0.12,'4_1':0.0},(8,366):{'3_1':0.12,'5_1':0.0},(8,365):{'3_1':0.03,'5_2':0.0},(8,364):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(8,363):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(8,362):{'3_1':0.03,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(8,361):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,360):{'3_1':0.06,'5_2':0.0},(8,359):{'3_1':0.09,'4_1':0.0},(8,358):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,357):{'4_1':0.0,'5_2':0.0},(8,356):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(8,355):{'3_1':0.03},(8,354):{'3_1':0.03,'4_1':0.0},(8,353):{'3_1':0.03},(8,352):{'3_1':0.03,'5_2':0.0},(8,351):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,350):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,349):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(8,348):{'3_1':0.0,'4_1':0.0},(8,347):{'3_1':0.06},(8,346):{'3_1':0.03},(8,345):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,344):{'3_1':0.0,'4_1':0.0},(8,343):{'4_1':0.0,'3_1':0.0},(8,342):{'3_1':0.03},(8,341):{'3_1':0.0,'4_1':0.0},(8,340):{'3_1':0.0},(8,339):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(8,338):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(8,337):{'3_1':0.06},(8,336):{'3_1':0.03,'4_1':0.0,'-3':0.0},(8,335):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(8,334):{'3_1':0.0,'5_2':0.0},(8,333):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(8,332):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(8,331):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(8,330):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(8,329):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(8,328):{'3_1':0.06,'5_2':0.0,'7_6':0.0},(8,327):{'3_1':0.0,'5_1':0.0},(8,326):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(8,325):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(8,324):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,323):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(8,322):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,321):{'3_1':0.06,'5_2':0.0},(8,320):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(8,319):{'3_1':0.0,'5_2':0.0},(8,318):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(8,317):{'3_1':0.0,'4_1':0.0},(8,316):{'3_1':0.03,'4_1':0.0},(8,315):{'3_1':0.06,'5_2':0.03},(8,314):{'3_1':0.0},(8,313):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(8,312):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(8,311):{'3_1':0.03,'4_1':0.0},(8,310):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(8,309):{'3_1':0.0,'5_2':0.0},(8,308):{'3_1':0.0,'4_1':0.0},(8,307):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(8,306):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,305):{'3_1':0.03},(8,304):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(8,303):{'3_1':0.0,'5_2':0.0,'7_3':0.0},(8,302):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(8,301):{'3_1':0.03},(8,300):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(8,299):{'3_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(8,298):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(8,297):{'3_1':0.06,'5_2':0.0},(8,296):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,295):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(8,294):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(8,293):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,292):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(8,291):{'3_1':0.0},(8,290):{'3_1':0.06,'4_1':0.0},(8,289):{'3_1':0.0,'4_1':0.0},(8,288):{'3_1':0.03,'5_1':0.0},(8,287):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(8,286):{'3_1':0.03},(8,285):{'3_1':0.06,'4_1':0.0},(8,284):{'3_1':0.0,'5_2':0.0},(8,283):{'3_1':0.0,'5_2':0.0},(8,282):{'3_1':0.0,'5_2':0.0},(8,281):{'3_1':0.06,'4_1':0.0},(8,280):{'5_2':0.03,'4_1':0.0,'3_1':0.0},(8,279):{'3_1':0.03,'5_2':0.0},(8,278):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(8,277):{'3_1':0.06,'5_2':0.03},(8,276):{'3_1':0.06,'5_2':0.0},(8,275):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,274):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(8,273):{'3_1':0.03},(8,272):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(8,271):{'3_1':0.03,'5_1':0.0},(8,270):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(8,269):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(8,268):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(8,267):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(8,266):{'3_1':0.0,'4_1':0.0},(8,265):{'3_1':0.06},(8,264):{'3_1':0.03},(8,263):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(8,262):{'3_1':0.06,'5_1':0.0},(8,261):{'3_1':0.03,'4_1':0.0},(8,260):{'3_1':0.03,'4_1':0.0},(8,259):{'3_1':0.09,'4_1':0.0},(8,258):{'3_1':0.06},(8,257):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(8,256):{'3_1':0.06,'5_2':0.0},(8,255):{'3_1':0.06,'5_2':0.0},(8,254):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(8,253):{'3_1':0.06,'4_1':0.0},(8,252):{'3_1':0.03},(8,251):{'3_1':0.06},(8,250):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(8,249):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,248):{'3_1':0.0,'4_1':0.0},(8,247):{'3_1':0.0,'5_2':0.0},(8,246):{'3_1':0.0,'5_2':0.0},(8,245):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,244):{'3_1':0.0,'4_1':0.0},(8,243):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(8,242):{'3_1':0.03,'5_2':0.0},(8,241):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(8,240):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,239):{'3_1':0.0,'5_2':0.0},(8,238):{'3_1':0.03},(8,237):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,236):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,235):{'3_1':0.03,'4_1':0.0},(8,234):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(8,233):{'3_1':0.03},(8,232):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(8,231):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(8,230):{'3_1':0.06},(8,229):{'3_1':0.0,'5_2':0.0},(8,228):{'3_1':0.0},(8,227):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(8,226):{'3_1':0.0,'4_1':0.0},(8,225):{'3_1':0.03},(8,224):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(8,223):{'3_1':0.03,'4_1':0.0},(8,222):{'3_1':0.0},(8,221):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(8,220):{'3_1':0.03,'5_1':0.0},(8,219):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(8,218):{'3_1':0.0,'5_1':0.0},(8,217):{'3_1':0.03,'4_1':0.0},(8,216):{'3_1':0.03,'4_1':0.0},(8,215):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(8,214):{'3_1':0.03,'5_1':0.0},(8,213):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(8,212):{'3_1':0.06},(8,211):{'3_1':0.06,'5_1':0.0},(8,210):{'3_1':0.06},(8,209):{'3_1':0.09},(8,208):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(8,207):{'3_1':0.06,'4_1':0.0},(8,206):{'3_1':0.03,'4_1':0.0},(8,205):{'3_1':0.06},(8,204):{'3_1':0.03},(8,203):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(8,202):{'3_1':0.06},(8,201):{'3_1':0.03,'4_1':0.0},(8,200):{'3_1':0.0},(8,199):{'3_1':0.03},(8,198):{'3_1':0.0},(8,197):{'3_1':0.06,'5_2':0.0},(8,196):{'3_1':0.0},(8,195):{'3_1':0.03},(8,194):{'3_1':0.03,'4_1':0.0},(8,193):{'3_1':0.06},(8,192):{'3_1':0.03,'4_1':0.0},(8,191):{'3_1':0.03,'4_1':0.0},(8,190):{'3_1':0.0,'4_1':0.0},(8,189):{'3_1':0.06,'4_1':0.0},(8,188):{'3_1':0.03},(8,187):{'3_1':0.03,'4_1':0.0},(8,186):{'3_1':0.03,'4_1':0.0},(8,185):{'3_1':0.0,'4_1':0.0},(8,184):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(8,183):{'3_1':0.03},(8,182):{'3_1':0.06},(8,181):{'3_1':0.03,'4_1':0.0},(8,180):{'3_1':0.0},(8,179):{'3_1':0.0,'4_1':0.0},(8,178):{'3_1':0.06},(8,177):{'3_1':0.03,'4_1':0.0},(8,176):{'3_1':0.06},(8,175):{'3_1':0.03},(8,174):{'3_1':0.03},(8,173):{'3_1':0.06},(8,172):{'3_1':0.03},(8,171):{'3_1':0.03},(8,170):{'3_1':0.03},(8,169):{'3_1':0.0},(8,168):{'3_1':0.03,'4_1':0.0},(8,167):{'3_1':0.06},(8,166):{'3_1':0.03,'4_1':0.0},(8,165):{'3_1':0.03},(8,164):{'3_1':0.03,'4_1':0.0},(8,163):{'4_1':0.0,'3_1':0.0},(8,162):{'3_1':0.03,'4_1':0.0},(8,161):{'3_1':0.0,'4_1':0.0},(8,160):{'3_1':0.03,'4_1':0.0},(8,159):{'3_1':0.03,'4_1':0.0},(8,158):{'3_1':0.0},(8,157):{'3_1':0.0},(8,156):{'3_1':0.03,'4_1':0.0},(8,155):{'3_1':0.06},(8,154):{'3_1':0.0},(8,153):{'3_1':0.0,'4_1':0.0},(8,152):{'3_1':0.0},(8,151):{'3_1':0.0},(8,150):{'3_1':0.0,'4_1':0.0},(8,149):{'3_1':0.03,'4_1':0.03},(8,148):{'3_1':0.06},(8,147):{'3_1':0.0,'4_1':0.0},(8,146):{'4_1':0.0},(8,145):{'3_1':0.03,'4_1':0.0},(8,144):{'3_1':0.0,'4_1':0.0},(8,143):{'3_1':0.0,'4_1':0.0},(8,142):{'3_1':0.0},(8,141):{'3_1':0.0,'4_1':0.0},(8,140):{'3_1':0.0},(8,139):{'3_1':0.0},(8,138):{'3_1':0.0},(8,137):{'3_1':0.03},(8,136):{'3_1':0.0},(8,135):{'3_1':0.0},(8,134):{'3_1':0.0},(8,133):{'3_1':0.0},(8,132):{'3_1':0.0},(8,131):{'3_1':0.0},(8,130):{'3_1':0.0},(8,129):{'3_1':0.0},(8,128):{'3_1':0.0},(8,127):{'3_1':0.0},(8,126):{'3_1':0.0},(8,124):{'3_1':0.0},(8,123):{'3_1':0.0},(8,122):{'3_1':0.0},(8,121):{'3_1':0.0},(8,120):{'3_1':0.0},(8,119):{'3_1':0.0},(8,118):{'3_1':0.0},(8,117):{'3_1':0.03},(8,116):{'3_1':0.03},(8,115):{'3_1':0.0},(8,114):{'3_1':0.03},(8,113):{'3_1':0.0},(8,112):{'3_1':0.0},(8,111):{'3_1':0.03},(8,110):{'3_1':0.0},(8,109):{'3_1':0.0},(8,108):{'3_1':0.03},(8,107):{'3_1':0.0},(8,106):{'3_1':0.03},(8,105):{'3_1':0.0},(8,104):{'3_1':0.0},(8,102):{'3_1':0.0},(8,101):{'3_1':0.0},(8,100):{'3_1':0.0},(8,99):{'3_1':0.0},(8,96):{'3_1':0.0},(8,95):{'3_1':0.0},(8,91):{'3_1':0.0},(9,459):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(9,458):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(9,457):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(9,456):{'3_1':0.9,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(9,455):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(9,454):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'6_2':0.0},(9,453):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(9,452):{'3_1':0.9,'7_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(9,451):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(9,450):{'3_1':0.9,'5_2':0.0,'7_2':0.0},(9,449):{'3_1':0.9,'8_20|3_1#3_1':0.03,'6_2':0.0},(9,448):{'3_1':0.9,'4_1':0.0,'7_1':0.0,'-3':0.0},(9,447):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(9,446):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0},(9,445):{'3_1':0.84,'5_1':0.03,'7_1':0.03,'7_3':0.0},(9,444):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(9,443):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(9,442):{'3_1':0.87,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(9,441):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(9,440):{'3_1':0.87,'5_1':0.0,'6_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(9,439):{'3_1':0.84,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(9,438):{'3_1':0.84,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'8_2':0.0,'8_10':0.0},(9,437):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(9,436):{'3_1':0.87,'7_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(9,435):{'3_1':0.87,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(9,434):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(9,433):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(9,432):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_2':0.0},(9,431):{'3_1':0.81,'5_1':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(9,430):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(9,429):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0,'8_4':0.0},(9,428):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0},(9,427):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(9,426):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(9,425):{'3_1':0.84,'5_1':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(9,424):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_1':0.0},(9,423):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'4_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(9,422):{'3_1':0.75,'8_20|3_1#3_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0,'8_11':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(9,421):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(9,420):{'3_1':0.84,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(9,419):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0},(9,418):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_10':0.0,'8_7':0.0},(9,417):{'3_1':0.72,'5_1':0.06,'8_20|3_1#3_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'8_13':0.0,'3_1#5_1':0.0,'-3':0.0},(9,416):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(9,415):{'3_1':0.66,'5_1':0.09,'6_2':0.03,'5_2':0.0,'-3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_4':0.0,'8_10':0.0,'8_19':0.0},(9,414):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.03,'8_2':0.0,'7_3':0.0,'8_4':0.0,'8_10':0.0},(9,413):{'3_1':0.69,'5_1':0.09,'8_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_7':0.0,'3_1#5_2':0.0},(9,412):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(9,411):{'3_1':0.63,'5_1':0.12,'6_2':0.03,'8_21|3_1#4_1':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0},(9,410):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'1':-0.03},(9,409):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'8_4':0.0,'3_1#5_1':0.0,'-3':0.0,'2':-0.03},(9,408):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'7_3':0.0},(9,407):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_3':0.0},(9,406):{'3_1':0.84,'5_1':0.03,'8_21|3_1#4_1':0.0,'5_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(9,405):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_2':0.0,'-3':0.0},(9,404):{'3_1':0.69,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(9,403):{'3_1':0.84,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(9,402):{'3_1':0.84,'4_1':0.0,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(9,401):{'3_1':0.81,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(9,400):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'8_10':0.0,'5_2':0.0,'6_3':0.0,'3_1#5_1':0.0},(9,399):{'3_1':0.81,'8_20|3_1#3_1':0.03,'4_1':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(9,398):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0},(9,397):{'3_1':0.81,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(9,396):{'3_1':0.78,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0},(9,395):{'3_1':0.78,'8_21|3_1#4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_10':0.0},(9,394):{'3_1':0.69,'8_20|3_1#3_1':0.06,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_10':0.0},(9,393):{'3_1':0.66,'8_20|3_1#3_1':0.03,'8_10':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(9,392):{'3_1':0.69,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(9,391):{'3_1':0.69,'5_1':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(9,390):{'3_1':0.69,'8_20|3_1#3_1':0.03,'5_1':0.03,'4_1':0.0},(9,389):{'3_1':0.45,'4_1':0.06,'6_2':0.03,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(9,388):{'3_1':0.45,'8_20|3_1#3_1':0.03,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0,'8_9':0.0},(9,387):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(9,386):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'8_16':0.0,'-3':0.0},(9,385):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(9,384):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'3_1#5_1':0.0},(9,383):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(9,382):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0},(9,381):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(9,380):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0},(9,379):{'3_1':0.06,'5_1':0.03,'5_2':0.03,'4_1':0.0,'3_1#5_2':0.0},(9,378):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(9,377):{'3_1':0.03,'4_1':0.0},(9,376):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(9,375):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'-3':0.0},(9,374):{'3_1':0.06,'4_1':0.0},(9,373):{'3_1':0.06,'4_1':0.0},(9,372):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(9,371):{'3_1':0.06,'5_2':0.0},(9,370):{'3_1':0.03},(9,369):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(9,368):{'3_1':0.06},(9,367):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_2':0.0},(9,366):{'3_1':0.09,'5_2':0.0,'8_8':0.0},(9,365):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(9,364):{'3_1':0.06,'4_1':0.0},(9,363):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(9,362):{'3_1':0.03},(9,361):{'3_1':0.06},(9,360):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,359):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_2':0.0},(9,358):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(9,357):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(9,356):{'3_1':0.09,'5_2':0.03,'5_1':0.0},(9,355):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(9,354):{'3_1':0.03,'5_2':0.0},(9,353):{'3_1':0.06,'4_1':0.0},(9,352):{'3_1':0.03,'5_1':0.0},(9,351):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(9,350):{'3_1':0.03,'5_2':0.0},(9,349):{'3_1':0.03,'4_1':0.0},(9,348):{'3_1':0.03,'4_1':0.0},(9,347):{'3_1':0.0,'4_1':0.0},(9,346):{'3_1':0.0,'5_2':0.0},(9,345):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(9,344):{'3_1':0.06,'7_2':0.0,'7_5':0.0},(9,343):{'3_1':0.06,'4_1':0.0},(9,342):{'3_1':0.03},(9,341):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(9,340):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(9,339):{'3_1':0.0},(9,338):{'3_1':0.06,'8_4':0.0},(9,337):{'3_1':0.03,'5_2':0.0},(9,336):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(9,335):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,334):{'3_1':0.06},(9,333):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,332):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(9,331):{'3_1':0.06,'4_1':0.0},(9,330):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(9,329):{'3_1':0.06,'6_1':0.0},(9,328):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(9,327):{'3_1':0.0},(9,326):{'3_1':0.03,'5_2':0.0},(9,325):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(9,324):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(9,323):{'3_1':0.03,'5_2':0.0},(9,322):{'3_1':0.03,'4_1':0.0},(9,321):{'3_1':0.0,'5_2':0.0},(9,320):{'3_1':0.06,'4_1':0.0},(9,319):{'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(9,318):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,317):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(9,316):{'3_1':0.0,'4_1':0.0},(9,315):{'3_1':0.06},(9,314):{'3_1':0.03,'5_2':0.0},(9,313):{'3_1':0.06,'4_1':0.0},(9,312):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(9,311):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(9,310):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(9,309):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(9,308):{'3_1':0.03,'5_2':0.0,'7_2':0.0,'-3':0.0},(9,307):{'3_1':0.06,'4_1':0.0},(9,306):{'3_1':0.03,'5_2':0.0},(9,305):{'3_1':0.06,'4_1':0.0},(9,304):{'3_1':0.0},(9,303):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(9,302):{'3_1':0.09,'4_1':0.03,'7_3':0.0},(9,301):{'3_1':0.06},(9,300):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(9,299):{'3_1':0.06,'5_2':0.0},(9,298):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(9,297):{'5_1':0.0,'3_1':0.0,'5_2':0.0},(9,296):{'3_1':0.03,'4_1':0.0,'8_19':0.0},(9,295):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_2':0.0},(9,294):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(9,293):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,292):{'3_1':0.06,'4_1':0.0},(9,291):{'3_1':0.03,'5_2':0.0},(9,290):{'3_1':0.06,'4_1':0.0},(9,289):{'3_1':0.06,'5_2':0.0},(9,288):{'3_1':0.06,'5_2':0.0},(9,287):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(9,286):{'3_1':0.06,'4_1':0.0},(9,285):{'3_1':0.03,'5_2':0.0},(9,284):{'3_1':0.03,'5_2':0.0},(9,283):{'5_2':0.03,'3_1':0.0,'4_1':0.0},(9,282):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(9,281):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(9,280):{'3_1':0.0,'5_2':0.0},(9,279):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(9,278):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(9,277):{'3_1':0.03,'4_1':0.0},(9,276):{'4_1':0.03,'3_1':0.0},(9,275):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(9,274):{'3_1':0.0,'5_1':0.0},(9,273):{'3_1':0.03},(9,272):{'3_1':0.06,'5_2':0.0},(9,271):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(9,270):{'3_1':0.09,'4_1':0.0},(9,269):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(9,268):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(9,267):{'3_1':0.03,'4_1':0.0},(9,266):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(9,265):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(9,264):{'3_1':0.12,'4_1':0.0},(9,263):{'3_1':0.09,'5_1':0.0},(9,262):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(9,261):{'3_1':0.09,'4_1':0.0},(9,260):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(9,259):{'3_1':0.06},(9,258):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(9,257):{'3_1':0.06,'4_1':0.0},(9,256):{'3_1':0.09},(9,255):{'3_1':0.06},(9,254):{'3_1':0.06,'4_1':0.0},(9,253):{'3_1':0.0,'4_1':0.0},(9,252):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(9,251):{'3_1':0.03,'4_1':0.0},(9,250):{'3_1':0.03},(9,249):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(9,248):{'5_2':0.0,'3_1':0.0},(9,247):{'3_1':0.0,'5_2':0.0},(9,246):{'3_1':0.03},(9,245):{'3_1':0.03,'4_1':0.0},(9,244):{'3_1':0.06},(9,243):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(9,242):{'3_1':0.0},(9,241):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,240):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(9,239):{'3_1':0.0},(9,238):{'3_1':0.03,'4_1':0.0},(9,237):{'3_1':0.03,'5_2':0.0},(9,236):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,235):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,234):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(9,233):{'3_1':0.06,'4_1':0.0},(9,232):{'3_1':0.0,'4_1':0.0},(9,231):{'3_1':0.0,'4_1':0.0},(9,230):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(9,229):{'3_1':0.03,'5_2':0.0},(9,228):{'5_2':0.0,'3_1':0.0},(9,227):{'3_1':0.06,'4_1':0.0},(9,226):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(9,225):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,224):{'3_1':0.03,'4_1':0.0},(9,223):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(9,222):{'3_1':0.06,'4_1':0.0},(9,221):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(9,220):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(9,219):{'3_1':0.03,'4_1':0.0},(9,218):{'4_1':0.0},(9,217):{'3_1':0.03,'5_1':0.0},(9,216):{'3_1':0.06,'5_1':0.0},(9,215):{'3_1':0.03,'4_1':0.0},(9,214):{'3_1':0.03,'5_1':0.0},(9,213):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(9,212):{'3_1':0.09},(9,211):{'3_1':0.03,'4_1':0.0},(9,210):{'3_1':0.06,'4_1':0.0},(9,209):{'3_1':0.09,'5_2':0.0},(9,208):{'3_1':0.06,'5_1':0.0},(9,207):{'3_1':0.06},(9,206):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(9,205):{'3_1':0.06},(9,204):{'3_1':0.06,'5_2':0.0},(9,203):{'3_1':0.0,'4_1':0.0},(9,202):{'3_1':0.03},(9,201):{'3_1':0.03,'4_1':0.0},(9,200):{'3_1':0.0,'5_2':0.0},(9,199):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,198):{'3_1':0.06},(9,197):{'3_1':0.03,'5_2':0.0},(9,196):{'3_1':0.03},(9,195):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,194):{'3_1':0.0},(9,193):{'3_1':0.06},(9,192):{'3_1':0.03},(9,191):{'3_1':0.03,'4_1':0.0},(9,190):{'3_1':0.03,'4_1':0.0},(9,189):{'3_1':0.0,'4_1':0.0},(9,188):{'3_1':0.03,'4_1':0.0},(9,187):{'3_1':0.06,'4_1':0.0},(9,186):{'3_1':0.09,'4_1':0.0},(9,185):{'3_1':0.03},(9,184):{'3_1':0.06,'4_1':0.0},(9,183):{'3_1':0.03},(9,182):{'3_1':0.06},(9,181):{'3_1':0.03,'4_1':0.0},(9,180):{'3_1':0.06},(9,179):{'3_1':0.0,'4_1':0.0},(9,178):{'3_1':0.03,'4_1':0.0},(9,177):{'3_1':0.09,'4_1':0.0},(9,176):{'4_1':0.0,'3_1':0.0},(9,175):{'3_1':0.0,'4_1':0.0},(9,174):{'3_1':0.0},(9,173):{'3_1':0.03,'4_1':0.0},(9,172):{'3_1':0.0},(9,171):{'3_1':0.0},(9,170):{'3_1':0.03},(9,169):{'3_1':0.03,'4_1':0.0},(9,168):{'3_1':0.0},(9,167):{'3_1':0.06,'4_1':0.0},(9,166):{'3_1':0.0,'4_1':0.0},(9,165):{'3_1':0.06},(9,164):{'3_1':0.0},(9,163):{'3_1':0.03,'4_1':0.0},(9,162):{'3_1':0.0,'4_1':0.0},(9,161):{'4_1':0.0},(9,160):{'3_1':0.0,'4_1':0.0},(9,159):{'4_1':0.0},(9,158):{'4_1':0.0,'3_1':0.0},(9,157):{'3_1':0.03},(9,156):{'3_1':0.0},(9,155):{'3_1':0.06},(9,154):{'3_1':0.03},(9,153):{'3_1':0.0,'4_1':0.0},(9,152):{'4_1':0.0},(9,151):{'4_1':0.0},(9,150):{'3_1':0.03},(9,149):{'3_1':0.0,'4_1':0.0},(9,148):{'3_1':0.03,'4_1':0.0},(9,147):{'3_1':0.0},(9,146):{'3_1':0.03},(9,145):{'4_1':0.0,'3_1':0.0},(9,144):{'3_1':0.0},(9,143):{'3_1':0.0},(9,142):{'3_1':0.0,'4_1':0.0},(9,141):{'3_1':0.0,'4_1':0.0},(9,140):{'3_1':0.03},(9,139):{'3_1':0.0},(9,138):{'3_1':0.03},(9,136):{'3_1':0.03},(9,135):{'3_1':0.0},(9,134):{'3_1':0.0},(9,133):{'3_1':0.0},(9,132):{'3_1':0.0},(9,131):{'3_1':0.0,'4_1':0.0},(9,130):{'3_1':0.0},(9,129):{'3_1':0.0},(9,128):{'3_1':0.0},(9,127):{'3_1':0.0},(9,125):{'3_1':0.0},(9,124):{'3_1':0.0},(9,123):{'3_1':0.0},(9,122):{'3_1':0.0,'4_1':0.0},(9,121):{'3_1':0.0},(9,120):{'3_1':0.03},(9,119):{'3_1':0.06},(9,118):{'3_1':0.03},(9,117):{'3_1':0.03},(9,116):{'3_1':0.0},(9,115):{'3_1':0.03},(9,114):{'3_1':0.0},(9,113):{'3_1':0.0},(9,112):{'3_1':0.0},(9,111):{'3_1':0.0},(9,110):{'3_1':0.03},(9,109):{'3_1':0.0},(9,108):{'3_1':0.0},(9,107):{'3_1':0.0},(9,106):{'3_1':0.0},(9,104):{'3_1':0.0},(9,103):{'3_1':0.0},(9,102):{'3_1':0.03},(9,100):{'3_1':0.0},(9,99):{'3_1':0.0},(9,97):{'3_1':0.0},(9,96):{'3_1':0.0},(10,459):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0},(10,458):{'3_1':0.9,'5_1':0.0},(10,457):{'3_1':0.9},(10,456):{'3_1':0.9},(10,455):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(10,454):{'3_1':0.9,'4_1':0.0,'5_2':0.0},(10,453):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'7_2':0.0},(10,452):{'3_1':0.9,'4_1':0.0},(10,451):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(10,450):{'3_1':0.9,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(10,449):{'3_1':0.9,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(10,448):{'3_1':0.9,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0},(10,447):{'3_1':0.87,'5_2':0.0,'7_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(10,446):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(10,445):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(10,444):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'8_10':0.0},(10,443):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'6_2':0.0},(10,442):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(10,441):{'3_1':0.84,'8_2':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(10,440):{'3_1':0.81,'8_20|3_1#3_1':0.03,'7_1':0.0,'8_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(10,439):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(10,438):{'3_1':0.87,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(10,437):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0},(10,436):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(10,435):{'3_1':0.84,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(10,434):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'3_1#5_2':0.0},(10,433):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(10,432):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0},(10,431):{'3_1':0.84,'5_2':0.0,'7_5':0.0,'8_10':0.0,'5_1':0.0,'6_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(10,430):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'-3':0.0},(10,429):{'3_1':0.84,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(10,428):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(10,427):{'3_1':0.87,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_2':0.0,'-3':0.0},(10,426):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'7_5':0.0,'8_10':0.0},(10,425):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'3_1#5_2':0.0},(10,424):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.03,'-3':0.0,'5_2':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0},(10,423):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(10,422):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0},(10,421):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'8_4':0.0},(10,420):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(10,419):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_5':0.0,'-3':0.0},(10,418):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0},(10,417):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(10,416):{'3_1':0.72,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'8_2':0.0,'3_1#5_1':0.0},(10,415):{'3_1':0.75,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_10':0.0,'3_1#5_2':0.0,'-3':0.0},(10,414):{'3_1':0.72,'5_1':0.09,'8_2':0.0,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0},(10,413):{'3_1':0.81,'8_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'3_1#5_1':0.0},(10,412):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(10,411):{'3_1':0.6,'5_1':0.12,'5_2':0.06,'6_2':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(10,410):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(10,409):{'3_1':0.75,'5_2':0.06,'5_1':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0},(10,408):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(10,407):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(10,406):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_10':0.0,'-3':0.0},(10,405):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(10,404):{'3_1':0.84,'8_20|3_1#3_1':0.03,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0},(10,403):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_3':0.0,'8_10':0.0},(10,402):{'3_1':0.81,'4_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_13':0.0},(10,401):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0},(10,400):{'3_1':0.75,'8_20|3_1#3_1':0.03,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0,'8_18':0.0,'-3':0.0},(10,399):{'3_1':0.84,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(10,398):{'3_1':0.81,'8_20|3_1#3_1':0.03,'8_10':0.0,'6_1':0.0,'6_2':0.0},(10,397):{'3_1':0.75,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_10':0.0,'1':-0.03},(10,396):{'3_1':0.75,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_10':0.0,'-3':0.0},(10,395):{'3_1':0.78,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(10,394):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_3':0.0},(10,393):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_10':0.0},(10,392):{'3_1':0.69,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(10,391):{'3_1':0.66,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'4_1':0.0},(10,390):{'3_1':0.69,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_8':0.0},(10,389):{'3_1':0.45,'4_1':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'6_3':0.0},(10,388):{'3_1':0.42,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_7':0.0},(10,387):{'3_1':0.33,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_8':0.0},(10,386):{'3_1':0.24,'5_1':0.03,'7_6':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'8_21|3_1#4_1':0.0},(10,385):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_8':0.0,'5_1':0.0,'-3':0.0},(10,384):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(10,383):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(10,382):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_1':0.0,'-3':0.0},(10,381):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(10,380):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_2':0.0,'8_6':0.0,'3_1#5_1':0.0},(10,379):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'5_2':0.0,'7_4':0.0,'-3':0.0},(10,378):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(10,377):{'3_1':0.03,'5_2':0.03,'4_1':0.0,'7_6':0.0,'8_8':0.0},(10,376):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_8':0.0},(10,375):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(10,374):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0},(10,373):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(10,372):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(10,371):{'3_1':0.03},(10,370):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(10,369):{'3_1':0.0,'6_1':0.0,'-3':0.0},(10,368):{'3_1':0.03,'5_2':0.0},(10,367):{'3_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_8':0.0},(10,366):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(10,365):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0},(10,364):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0},(10,363):{'3_1':0.06,'5_2':0.0},(10,362):{'3_1':0.03,'7_5':0.0},(10,361):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0},(10,360):{'3_1':0.03,'5_2':0.0,'8_8':0.0},(10,359):{'3_1':0.03,'5_2':0.0},(10,358):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,357):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(10,356):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'7_4':0.0},(10,355):{'3_1':0.03,'4_1':0.0},(10,354):{'3_1':0.06},(10,353):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(10,352):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(10,351):{'3_1':0.09,'5_2':0.0},(10,350):{'3_1':0.03,'4_1':0.0},(10,349):{'3_1':0.03,'4_1':0.0},(10,348):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(10,347):{'3_1':0.0,'4_1':0.0},(10,346):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(10,345):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(10,344):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(10,343):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_7':0.0},(10,342):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(10,341):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(10,340):{'3_1':0.03},(10,339):{'3_1':0.03,'4_1':0.0},(10,338):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(10,337):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(10,336):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,335):{'3_1':0.06,'6_2':0.0},(10,334):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(10,333):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(10,332):{'3_1':0.0,'4_1':0.0},(10,331):{'3_1':0.03,'4_1':0.0},(10,330):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(10,329):{'3_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(10,328):{'3_1':0.06,'4_1':0.0},(10,327):{'3_1':0.03,'5_2':0.0},(10,326):{'3_1':0.03,'4_1':0.0},(10,325):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(10,324):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(10,323):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,322):{'3_1':0.03,'4_1':0.0},(10,321):{'3_1':0.06,'7_5':0.0},(10,320):{'3_1':0.09},(10,319):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(10,318):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(10,317):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,316):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,315):{'3_1':0.03,'4_1':0.0},(10,314):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(10,313):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(10,312):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(10,311):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(10,310):{'3_1':0.03,'4_1':0.0},(10,309):{'3_1':0.03,'4_1':0.0},(10,308):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(10,307):{'3_1':0.03,'5_2':0.0},(10,306):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(10,305):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(10,304):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,303):{'3_1':0.03},(10,302):{'3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(10,301):{'3_1':0.06},(10,300):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(10,299):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(10,298):{'3_1':0.06,'5_2':0.0},(10,297):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(10,296):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(10,295):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(10,294):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(10,293):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(10,292):{'3_1':0.03,'5_2':0.0},(10,291):{'3_1':0.06,'4_1':0.0},(10,290):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,289):{'3_1':0.03,'5_2':0.0},(10,288):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,287):{'3_1':0.06,'5_1':0.0},(10,286):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(10,285):{'3_1':0.0,'5_2':0.0},(10,284):{'3_1':0.06},(10,283):{'3_1':0.03},(10,282):{'3_1':0.06,'4_1':0.0},(10,281):{'3_1':0.0},(10,280):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(10,279):{'3_1':0.03,'5_2':0.0},(10,278):{'3_1':0.06,'4_1':0.0},(10,277):{'3_1':0.03},(10,276):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,275):{'3_1':0.0},(10,274):{'3_1':0.0},(10,273):{'3_1':0.06},(10,272):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(10,271):{'3_1':0.03,'5_1':0.0},(10,270):{'3_1':0.06,'5_2':0.0},(10,269):{'3_1':0.03,'5_2':0.0},(10,268):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(10,267):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(10,266):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,265):{'3_1':0.06,'5_1':0.0},(10,264):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(10,263):{'3_1':0.06,'5_1':0.0},(10,262):{'3_1':0.0,'5_1':0.0,'6_3':0.0},(10,261):{'3_1':0.03},(10,260):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(10,259):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(10,258):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(10,257):{'3_1':0.03,'5_1':0.0},(10,256):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,255):{'3_1':0.06,'5_2':0.0},(10,254):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(10,253):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(10,252):{'3_1':0.03},(10,251):{'3_1':0.0,'4_1':0.0},(10,250):{'3_1':0.03,'5_2':0.0},(10,249):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,248):{'3_1':0.0,'5_2':0.0},(10,247):{'4_1':0.0,'5_2':0.0},(10,246):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,245):{'3_1':0.03,'4_1':0.0},(10,244):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(10,243):{'3_1':0.0,'5_2':0.0},(10,242):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(10,241):{'3_1':0.0},(10,240):{'3_1':0.03,'4_1':0.0},(10,239):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(10,238):{'3_1':0.03},(10,237):{'3_1':0.03,'5_1':0.0},(10,236):{'3_1':0.0},(10,235):{'3_1':0.03,'5_2':0.0},(10,234):{'3_1':0.06},(10,233):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(10,232):{'3_1':0.03,'5_2':0.0},(10,231):{'3_1':0.0,'5_2':0.0},(10,230):{'3_1':0.03,'4_1':0.0},(10,229):{'3_1':0.0,'5_2':0.0},(10,228):{'3_1':0.0,'4_1':0.0},(10,227):{'3_1':0.0},(10,226):{'3_1':0.03,'4_1':0.0},(10,225):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,224):{'3_1':0.0,'4_1':0.0},(10,223):{'3_1':0.06},(10,222):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(10,221):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(10,220):{'3_1':0.03,'4_1':0.0},(10,219):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(10,218):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(10,217):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(10,216):{'3_1':0.06,'4_1':0.0},(10,215):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(10,214):{'3_1':0.06,'5_2':0.0},(10,213):{'3_1':0.0},(10,212):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(10,211):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(10,210):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(10,209):{'3_1':0.03},(10,208):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(10,207):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(10,206):{'3_1':0.06,'5_2':0.0},(10,205):{'3_1':0.03},(10,204):{'3_1':0.06,'5_1':0.0},(10,203):{'3_1':0.0,'5_1':0.0},(10,202):{'3_1':0.03},(10,201):{'3_1':0.03,'4_1':0.0},(10,200):{'3_1':0.03},(10,199):{'3_1':0.03,'5_2':0.0},(10,198):{'3_1':0.0,'5_2':0.0},(10,196):{'3_1':0.03},(10,195):{'3_1':0.03,'4_1':0.0},(10,194):{'3_1':0.03,'4_1':0.0},(10,193):{'3_1':0.03,'5_2':0.0},(10,192):{'3_1':0.0},(10,191):{'3_1':0.03,'4_1':0.0},(10,190):{'3_1':0.0},(10,189):{'3_1':0.0},(10,188):{'3_1':0.03,'4_1':0.0},(10,187):{'3_1':0.03,'4_1':0.0},(10,186):{'3_1':0.03},(10,185):{'3_1':0.06,'4_1':0.0},(10,184):{'3_1':0.03},(10,183):{'3_1':0.0},(10,182):{'3_1':0.06,'4_1':0.0},(10,181):{'3_1':0.03,'4_1':0.0},(10,180):{'3_1':0.03},(10,179):{'3_1':0.03},(10,178):{'3_1':0.0},(10,177):{'3_1':0.03,'4_1':0.0},(10,176):{'3_1':0.03},(10,175):{'3_1':0.03,'4_1':0.0},(10,174):{'3_1':0.03},(10,173):{'3_1':0.03,'4_1':0.0},(10,172):{'3_1':0.03,'4_1':0.0},(10,171):{'3_1':0.03},(10,170):{'3_1':0.03},(10,169):{'3_1':0.06},(10,168):{'3_1':0.03,'4_1':0.0},(10,167):{'3_1':0.0,'4_1':0.0},(10,166):{'3_1':0.0,'4_1':0.0},(10,165):{'3_1':0.03},(10,164):{'3_1':0.0},(10,163):{'3_1':0.03},(10,162):{'3_1':0.03,'4_1':0.0},(10,161):{'3_1':0.03,'4_1':0.0},(10,160):{'3_1':0.03},(10,159):{'3_1':0.0},(10,158):{'3_1':0.0,'4_1':0.0},(10,157):{'3_1':0.03,'4_1':0.0},(10,156):{'3_1':0.0},(10,155):{'3_1':0.03,'4_1':0.0},(10,154):{'3_1':0.0,'4_1':0.0},(10,153):{'3_1':0.03,'4_1':0.0},(10,152):{'3_1':0.03},(10,151):{'3_1':0.03,'4_1':0.0},(10,150):{'3_1':0.0},(10,149):{'3_1':0.06},(10,148):{'3_1':0.0},(10,147):{'3_1':0.03},(10,146):{'3_1':0.03,'4_1':0.0},(10,145):{'3_1':0.0,'4_1':0.0},(10,144):{'3_1':0.0,'4_1':0.0},(10,143):{'3_1':0.03},(10,142):{'3_1':0.03,'4_1':0.0},(10,141):{'4_1':0.0},(10,140):{'3_1':0.0,'4_1':0.0},(10,139):{'3_1':0.0,'4_1':0.0},(10,138):{'3_1':0.0},(10,137):{'4_1':0.0,'3_1':0.0},(10,136):{'3_1':0.0},(10,135):{'3_1':0.0},(10,134):{'3_1':0.0},(10,132):{'3_1':0.0},(10,131):{'3_1':0.0},(10,130):{'3_1':0.0},(10,129):{'3_1':0.0},(10,128):{'3_1':0.0},(10,126):{'3_1':0.0,'4_1':0.0},(10,125):{'3_1':0.0},(10,124):{'3_1':0.0},(10,123):{'3_1':0.03},(10,122):{'3_1':0.0},(10,121):{'3_1':0.03},(10,120):{'3_1':0.0},(10,119):{'3_1':0.0},(10,118):{'3_1':0.03},(10,116):{'3_1':0.0},(10,115):{'3_1':0.03},(10,114):{'3_1':0.0},(10,113):{'3_1':0.0},(10,112):{'3_1':0.0},(10,111):{'3_1':0.0},(10,110):{'3_1':0.0},(10,109):{'3_1':0.0},(10,108):{'3_1':0.03},(10,107):{'3_1':0.0},(10,106):{'3_1':0.0},(10,105):{'3_1':0.0},(10,104):{'3_1':0.0},(10,103):{'3_1':0.0},(10,102):{'3_1':0.0},(10,101):{'3_1':0.03},(10,100):{'3_1':0.0},(10,99):{'3_1':0.0},(10,98):{'3_1':0.0},(10,97):{'3_1':0.0},(10,96):{'3_1':0.0},(10,90):{'3_1':0.0},(11,459):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(11,458):{'3_1':0.87,'8_20|3_1#3_1':0.0,'8_2':0.0,'4_1':0.0},(11,457):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(11,456):{'3_1':0.9,'5_2':0.0,'8_2':0.0,'8_6':0.0},(11,455):{'3_1':0.9,'5_1':0.0},(11,454):{'3_1':0.9,'8_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(11,453):{'3_1':0.87,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(11,452):{'3_1':0.9,'5_1':0.0},(11,451):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(11,450):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(11,449):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(11,448):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0},(11,447):{'3_1':0.9,'5_1':0.0},(11,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0},(11,445):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(11,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(11,443):{'3_1':0.87,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(11,442):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(11,441):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(11,440):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(11,439):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0},(11,438):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0},(11,437):{'3_1':0.84,'5_1':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(11,436):{'3_1':0.87,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(11,435):{'3_1':0.87,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(11,434):{'3_1':0.81,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(11,433):{'3_1':0.84,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(11,432):{'3_1':0.81,'7_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(11,431):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(11,430):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(11,429):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0},(11,428):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(11,427):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'5_2':0.0,'-3':0.0},(11,426):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0,'4_1':0.0},(11,425):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(11,424):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(11,423):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(11,422):{'3_1':0.75,'5_1':0.03,'8_2':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(11,421):{'3_1':0.72,'5_1':0.06,'8_20|3_1#3_1':0.06,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0,'1':-0.03},(11,420):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_10':0.0,'8_19':0.0,'-3':0.0},(11,419):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(11,418):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'8_10':0.0,'6_2':0.0,'7_1':0.0},(11,417):{'3_1':0.72,'5_1':0.12,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'3_1#5_1':0.0},(11,416):{'3_1':0.87,'8_2':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(11,415):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_3':0.0,'8_10':0.0,'8_18':0.0},(11,414):{'3_1':0.72,'5_1':0.12,'8_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_1':0.0},(11,413):{'3_1':0.72,'5_1':0.03,'8_2':0.03,'6_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'-3':0.0},(11,412):{'3_1':0.69,'5_1':0.09,'8_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(11,411):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'7_5':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(11,410):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_10':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'1':-0.03},(11,409):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'6_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'8_2':0.0},(11,408):{'3_1':0.6,'5_1':0.06,'8_20|3_1#3_1':0.06,'6_2':0.03,'5_2':0.0,'6_3':0.0,'8_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(11,407):{'3_1':0.78,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(11,406):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_10':0.0},(11,405):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'-3':0.0},(11,404):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'8_10':0.0},(11,403):{'3_1':0.78,'8_20|3_1#3_1':0.06,'8_10':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(11,402):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(11,401):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_10':0.0,'3_1#5_1':0.0,'-3':0.0},(11,400):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'8_4':0.0,'8_10':0.0,'8_11':0.0},(11,399):{'3_1':0.81,'5_2':0.03,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(11,398):{'3_1':0.75,'8_20|3_1#3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_8':0.0,'8_10':0.0},(11,397):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0},(11,396):{'3_1':0.69,'8_20|3_1#3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0,'8_10':0.0},(11,395):{'3_1':0.72,'4_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(11,394):{'3_1':0.78,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_7':0.0,'8_10':0.0,'-3':0.0},(11,393):{'3_1':0.66,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(11,392):{'3_1':0.66,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(11,391):{'3_1':0.6,'5_1':0.0,'4_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(11,390):{'3_1':0.63,'8_20|3_1#3_1':0.06,'5_1':0.0,'4_1':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(11,389):{'3_1':0.39,'5_1':0.03,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'-3':0.0},(11,388):{'3_1':0.48,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_9':0.0},(11,387):{'3_1':0.3,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0},(11,386):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(11,385):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(11,384):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(11,383):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(11,382):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(11,381):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0},(11,380):{'3_1':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_8':0.0},(11,379):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'5_1':0.0,'6_1':0.0,'8_6':0.0},(11,378):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(11,377):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_8':0.0},(11,376):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(11,375):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(11,374):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_10':0.0},(11,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(11,372):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,371):{'3_1':0.03,'5_2':0.0},(11,370):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_15':0.0,'-3':0.0},(11,369):{'3_1':0.06,'8_9':0.0,'8_21|3_1#4_1':0.0},(11,368):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(11,367):{'3_1':0.06,'3_1#5_2':0.0},(11,366):{'3_1':0.15},(11,365):{'3_1':0.06,'5_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(11,364):{'3_1':0.06,'4_1':0.0},(11,363):{'3_1':0.03,'5_2':0.0},(11,362):{'3_1':0.06,'8_8':0.0,'8_21|3_1#4_1':0.0},(11,361):{'3_1':0.06},(11,360):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(11,359):{'3_1':0.03,'6_3':0.0,'7_4':0.0},(11,358):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(11,357):{'3_1':0.0,'5_2':0.0},(11,356):{'3_1':0.0,'8_20|3_1#3_1':0.0},(11,355):{'3_1':0.0},(11,354):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(11,353):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(11,352):{'3_1':0.03,'4_1':0.0},(11,351):{'3_1':0.06,'5_1':0.0},(11,350):{'3_1':0.06,'7_3':0.0},(11,349):{'3_1':0.06},(11,348):{'3_1':0.03,'6_1':0.0},(11,347):{'5_2':0.03,'3_1':0.0},(11,346):{'3_1':0.09,'4_1':0.0},(11,345):{'3_1':0.06,'4_1':0.0},(11,344):{'3_1':0.06,'6_2':0.0,'5_2':0.0},(11,343):{'5_2':0.03,'3_1':0.0,'5_1':0.0},(11,342):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(11,341):{'3_1':0.06,'5_2':0.0},(11,340):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(11,339):{'3_1':0.09,'5_1':0.0},(11,338):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0},(11,337):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(11,336):{'3_1':0.09,'6_2':0.0},(11,335):{'3_1':0.06,'5_2':0.0,'-3':0.0},(11,334):{'3_1':0.03,'4_1':0.0},(11,333):{'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(11,332):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(11,331):{'3_1':0.06,'5_2':0.0,'8_8':0.0},(11,330):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,329):{'3_1':0.0,'6_3':0.0},(11,328):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(11,327):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(11,326):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(11,325):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(11,324):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(11,323):{'3_1':0.03,'5_2':0.0},(11,322):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(11,321):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,320):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(11,319):{'3_1':0.0},(11,318):{'5_2':0.0,'3_1':0.0},(11,317):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,316):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,315):{'3_1':0.06},(11,314):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(11,313):{'3_1':0.03,'5_2':0.0},(11,312):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(11,311):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(11,310):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(11,309):{'3_1':0.03},(11,308):{'3_1':0.0,'5_2':0.0},(11,307):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,306):{'3_1':0.03,'5_1':0.0},(11,305):{'3_1':0.03,'4_1':0.0},(11,304):{'3_1':0.03,'5_2':0.0},(11,303):{'3_1':0.0,'4_1':0.0},(11,302):{'3_1':0.06,'5_2':0.0},(11,301):{'3_1':0.03},(11,300):{'3_1':0.0,'5_2':0.0},(11,299):{'3_1':0.06,'4_1':0.0},(11,298):{'3_1':0.0,'5_2':0.0},(11,297):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(11,296):{'3_1':0.03,'5_2':0.0},(11,295):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,294):{'3_1':0.03,'4_1':0.0},(11,293):{'3_1':0.03,'5_1':0.0},(11,292):{'3_1':0.0,'6_2':0.0},(11,291):{'3_1':0.06,'5_2':0.0},(11,290):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(11,289):{'3_1':0.03,'5_2':0.0},(11,288):{'3_1':0.03,'5_2':0.0},(11,287):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,286):{'3_1':0.03,'5_2':0.0},(11,285):{'3_1':0.0,'5_2':0.0},(11,284):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(11,283):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(11,282):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(11,281):{'3_1':0.0,'5_2':0.0},(11,280):{'3_1':0.03,'5_2':0.0},(11,279):{'3_1':0.03},(11,278):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(11,277):{'3_1':0.03},(11,276):{'3_1':0.03,'4_1':0.0},(11,275):{'3_1':0.03,'4_1':0.0},(11,274):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(11,273):{'3_1':0.0,'5_1':0.0},(11,272):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(11,271):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(11,270):{'5_1':0.0,'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(11,269):{'3_1':0.03},(11,268):{'3_1':0.03,'4_1':0.0},(11,267):{'3_1':0.06,'5_2':0.0},(11,266):{'3_1':0.06},(11,265):{'3_1':0.03,'5_2':0.0},(11,264):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(11,263):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(11,262):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(11,261):{'3_1':0.06,'5_1':0.0},(11,260):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(11,259):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(11,258):{'3_1':0.15},(11,257):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(11,256):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(11,255):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(11,254):{'3_1':0.09,'5_2':0.0},(11,253):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(11,252):{'3_1':0.03,'5_2':0.0},(11,251):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(11,250):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(11,249):{'3_1':0.0,'4_1':0.0},(11,248):{'3_1':0.06,'5_2':0.0},(11,247):{'3_1':0.0,'4_1':0.0},(11,246):{'3_1':0.0,'5_2':0.0},(11,245):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(11,244):{'4_1':0.0,'3_1':0.0},(11,243):{'3_1':0.03},(11,242):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(11,241):{'3_1':0.03,'5_2':0.0},(11,240):{'3_1':0.03,'5_2':0.0},(11,239):{'3_1':0.03},(11,238):{'3_1':0.0,'4_1':0.0},(11,237):{'3_1':0.03,'5_2':0.0},(11,236):{'3_1':0.03},(11,235):{'3_1':0.0,'4_1':0.0},(11,234):{'5_2':0.0},(11,233):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(11,232):{'3_1':0.03,'4_1':0.0},(11,231):{'3_1':0.03,'4_1':0.0},(11,230):{'3_1':0.03,'5_2':0.0},(11,229):{'4_1':0.0,'5_2':0.0},(11,228):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(11,227):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,226):{'3_1':0.03},(11,225):{'3_1':0.0},(11,224):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(11,223):{'3_1':0.03,'4_1':0.0},(11,222):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(11,221):{'3_1':0.0},(11,220):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(11,219):{'3_1':0.03,'4_1':0.0},(11,218):{'3_1':0.03},(11,217):{'3_1':0.06,'4_1':0.0},(11,216):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(11,215):{'3_1':0.03,'5_1':0.03,'4_1':0.0},(11,214):{'3_1':0.03,'4_1':0.0},(11,213):{'3_1':0.0},(11,212):{'3_1':0.06,'4_1':0.0},(11,211):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(11,210):{'3_1':0.09,'4_1':0.0},(11,209):{'3_1':0.06,'4_1':0.0},(11,208):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_5':0.0},(11,207):{'3_1':0.06},(11,206):{'3_1':0.06,'4_1':0.0},(11,205):{'3_1':0.03,'4_1':0.0},(11,204):{'3_1':0.03},(11,203):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(11,202):{'3_1':0.03,'5_2':0.0},(11,201):{'3_1':0.03,'5_2':0.0},(11,200):{'3_1':0.03,'5_2':0.0},(11,199):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(11,198):{'3_1':0.0,'4_1':0.0},(11,197):{'3_1':0.03,'4_1':0.0},(11,196):{'3_1':0.03,'4_1':0.0},(11,195):{'3_1':0.0,'5_2':0.0},(11,194):{'3_1':0.03,'5_2':0.0},(11,193):{'3_1':0.06,'4_1':0.0},(11,192):{'3_1':0.0},(11,191):{'3_1':0.03,'4_1':0.0},(11,190):{'3_1':0.03,'4_1':0.0},(11,189):{'3_1':0.03},(11,188):{'3_1':0.06},(11,187):{'3_1':0.03},(11,186):{'3_1':0.03,'4_1':0.0},(11,185):{'3_1':0.03},(11,184):{'3_1':0.03,'4_1':0.0},(11,183):{'3_1':0.03},(11,182):{'3_1':0.06},(11,181):{'3_1':0.06},(11,180):{'3_1':0.06,'4_1':0.03},(11,179):{'3_1':0.03,'4_1':0.0},(11,178):{'3_1':0.06},(11,177):{'3_1':0.06},(11,176):{'3_1':0.03},(11,175):{'3_1':0.0},(11,174):{'3_1':0.0,'4_1':0.0},(11,173):{'3_1':0.03,'4_1':0.0},(11,172):{'3_1':0.06},(11,171):{'3_1':0.0},(11,170):{'3_1':0.06,'4_1':0.0},(11,169):{'3_1':0.0,'4_1':0.0},(11,168):{'3_1':0.0,'4_1':0.0},(11,167):{'3_1':0.0,'4_1':0.0},(11,166):{'3_1':0.06,'4_1':0.0},(11,165):{'3_1':0.06,'4_1':0.0},(11,164):{'3_1':0.0,'4_1':0.0},(11,163):{'3_1':0.0},(11,162):{'3_1':0.03},(11,161):{'3_1':0.03},(11,160):{'3_1':0.06,'4_1':0.0},(11,159):{'3_1':0.0,'4_1':0.0},(11,158):{'3_1':0.0},(11,157):{'3_1':0.0},(11,156):{'3_1':0.03},(11,155):{'3_1':0.03},(11,154):{'3_1':0.03,'4_1':0.0},(11,153):{'3_1':0.0,'4_1':0.0},(11,152):{'3_1':0.0,'4_1':0.0},(11,151):{'3_1':0.03},(11,150):{'3_1':0.03},(11,149):{'3_1':0.03},(11,148):{'3_1':0.0},(11,147):{'3_1':0.03},(11,146):{'3_1':0.0,'4_1':0.0},(11,145):{'3_1':0.03},(11,144):{'3_1':0.0,'4_1':0.0},(11,143):{'3_1':0.0},(11,142):{'3_1':0.0,'4_1':0.0},(11,141):{'4_1':0.0,'3_1':0.0},(11,140):{'3_1':0.0},(11,139):{'3_1':0.03},(11,138):{'3_1':0.0,'4_1':0.0},(11,137):{'3_1':0.0,'4_1':0.0},(11,136):{'3_1':0.0},(11,135):{'3_1':0.0},(11,133):{'3_1':0.03},(11,132):{'3_1':0.03},(11,131):{'3_1':0.0,'4_1':0.0},(11,130):{'3_1':0.0},(11,129):{'3_1':0.0},(11,128):{'3_1':0.03},(11,127):{'3_1':0.0},(11,126):{'3_1':0.06},(11,125):{'3_1':0.03},(11,124):{'3_1':0.03},(11,123):{'3_1':0.0},(11,122):{'3_1':0.03},(11,121):{'3_1':0.03},(11,120):{'3_1':0.03},(11,119):{'3_1':0.0},(11,118):{'3_1':0.03},(11,117):{'3_1':0.03},(11,116):{'3_1':0.0},(11,115):{'3_1':0.03},(11,114):{'3_1':0.0},(11,113):{'3_1':0.0},(11,112):{'3_1':0.03},(11,111):{'3_1':0.0},(11,110):{'3_1':0.0},(11,109):{'3_1':0.0},(11,108):{'3_1':0.06},(11,107):{'3_1':0.0},(11,106):{'3_1':0.0},(11,105):{'3_1':0.03},(11,104):{'3_1':0.0},(11,103):{'3_1':0.0},(11,102):{'3_1':0.0},(11,101):{'3_1':0.0},(11,100):{'3_1':0.0},(11,99):{'3_1':0.0},(11,98):{'3_1':0.0},(11,94):{'3_1':0.0},(12,459):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0},(12,458):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_2':0.0},(12,457):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(12,456):{'3_1':0.9,'8_2':0.0},(12,455):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(12,454):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(12,453):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(12,452):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(12,451):{'3_1':0.9,'4_1':0.0,'5_1':0.0},(12,450):{'3_1':0.9,'6_2':0.0,'8_20|3_1#3_1':0.0},(12,449):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(12,448):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(12,447):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(12,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(12,445):{'3_1':0.81,'5_2':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(12,444):{'3_1':0.9,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(12,443):{'3_1':0.81,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(12,442):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_1':0.0},(12,441):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0},(12,440):{'3_1':0.87,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(12,439):{'3_1':0.84,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(12,438):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_2':0.0,'5_2':0.0},(12,437):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(12,436):{'3_1':0.9,'5_1':0.0},(12,435):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(12,434):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(12,433):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0,'8_2':0.0},(12,432):{'3_1':0.81,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(12,431):{'3_1':0.84,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(12,430):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(12,429):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(12,428):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(12,427):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(12,426):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_19':0.0},(12,425):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(12,424):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(12,423):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0},(12,422):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(12,421):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0},(12,420):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0},(12,419):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(12,418):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(12,417):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0,'3_1#5_1':0.0,'-3':0.0},(12,416):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(12,415):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'8_2':0.0,'5_2':0.0,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(12,414):{'3_1':0.63,'5_1':0.12,'5_2':0.06,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(12,413):{'3_1':0.81,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(12,412):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(12,411):{'3_1':0.6,'5_1':0.09,'8_2':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'8_9':0.0,'8_10':0.0,'3_1#5_1':0.0},(12,410):{'3_1':0.69,'5_1':0.12,'8_20|3_1#3_1':0.03,'3_1#5_2':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(12,409):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0},(12,408):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0},(12,407):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.06,'5_2':0.0,'4_1':0.0,'8_2':0.0,'3_1#5_1':0.0},(12,406):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(12,405):{'3_1':0.9,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(12,404):{'3_1':0.87,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(12,403):{'3_1':0.84,'5_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'6_1':0.0},(12,402):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'6_2':0.0,'8_10':0.0,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(12,401):{'3_1':0.81,'5_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(12,400):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0,'7_6':0.0,'8_10':0.0},(12,399):{'3_1':0.9,'6_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(12,398):{'3_1':0.84,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(12,397):{'3_1':0.84,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'8_10':0.0},(12,396):{'3_1':0.84,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_15':0.0,'-3':0.0},(12,395):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(12,394):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0,'4_1':0.0,'8_15':0.0},(12,393):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_8':0.0,'8_10':0.0},(12,392):{'3_1':0.6,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'8_10':0.0,'-3':0.0},(12,391):{'3_1':0.6,'5_1':0.03,'5_2':0.0,'8_10':0.0,'4_1':0.0},(12,390):{'3_1':0.57,'5_1':0.06,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(12,389):{'3_1':0.45,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(12,388):{'3_1':0.36,'8_20|3_1#3_1':0.06,'5_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(12,387):{'3_1':0.27,'4_1':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(12,386):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(12,385):{'3_1':0.18,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(12,384):{'3_1':0.06,'4_1':0.06,'5_1':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0},(12,383):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0,'5_2':0.0,'7_2':0.0,'7_6':0.0},(12,382):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(12,381):{'3_1':0.09,'8_20|3_1#3_1':0.0,'4_1':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(12,380):{'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(12,379):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(12,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_8':0.0},(12,377):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(12,376):{'3_1':0.09,'4_1':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(12,375):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_3':0.0,'-3':0.0},(12,374):{'3_1':0.06,'4_1':0.0},(12,373):{'3_1':0.03,'4_1':0.03,'8_8':0.0,'8_20|3_1#3_1':0.0},(12,372):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(12,371):{'3_1':0.06,'7_2':0.0},(12,370):{'3_1':0.03,'6_3':0.0,'8_8':0.0},(12,369):{'3_1':0.03,'4_1':0.0,'-3':0.0},(12,368):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(12,367):{'3_1':0.06,'5_2':0.0},(12,366):{'3_1':0.03,'5_2':0.0,'8_8':0.0},(12,365):{'3_1':0.03,'4_1':0.0,'8_8':0.0,'8_19':0.0},(12,364):{'3_1':0.0},(12,363):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(12,362):{'3_1':0.0,'5_2':0.0},(12,361):{'3_1':0.03,'5_2':0.0},(12,360):{'3_1':0.03},(12,359):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(12,358):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(12,357):{'3_1':0.0,'5_2':0.0},(12,356):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0},(12,355):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(12,354):{'3_1':0.03},(12,353):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(12,352):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(12,351):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(12,350):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(12,349):{'3_1':0.03},(12,348):{'3_1':0.0,'5_2':0.0,'7_5':0.0},(12,347):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(12,346):{'3_1':0.06,'5_2':0.0},(12,345):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(12,344):{'3_1':0.06,'7_5':0.0},(12,343):{'3_1':0.06,'6_3':0.0,'7_2':0.0},(12,342):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'7_7':0.0},(12,341):{'3_1':0.06,'4_1':0.0},(12,340):{'3_1':0.03,'6_1':0.0},(12,339):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(12,338):{'3_1':0.03},(12,337):{'3_1':0.03},(12,336):{'3_1':0.03},(12,335):{'3_1':0.03,'5_1':0.0},(12,334):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(12,333):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(12,332):{'3_1':0.03,'5_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(12,331):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(12,330):{'3_1':0.09},(12,329):{'3_1':0.03,'6_2':0.0,'-3':0.0},(12,328):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(12,327):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(12,326):{'3_1':0.03,'4_1':0.0,'8_4':0.0},(12,325):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(12,324):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,323):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,322):{'3_1':0.0,'5_2':0.0},(12,321):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(12,320):{'3_1':0.06,'4_1':0.0},(12,319):{'3_1':0.03,'5_2':0.0},(12,318):{'3_1':0.0,'4_1':0.0},(12,317):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,316):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(12,315):{'3_1':0.03,'4_1':0.0},(12,314):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(12,313):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(12,312):{'3_1':0.06,'4_1':0.0},(12,311):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(12,310):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(12,309):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(12,308):{'3_1':0.03,'4_1':0.0},(12,307):{'3_1':0.0},(12,306):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(12,305):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(12,304):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,303):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(12,302):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(12,301):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(12,300):{'3_1':0.06,'4_1':0.0},(12,299):{'3_1':0.09,'5_2':0.0},(12,298):{'3_1':0.09,'5_2':0.0},(12,297):{'3_1':0.0,'5_2':0.0},(12,296):{'3_1':0.03,'5_2':0.0},(12,295):{'3_1':0.03,'5_2':0.0},(12,294):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(12,293):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(12,292):{'3_1':0.03,'5_2':0.0},(12,291):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(12,290):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(12,289):{'3_1':0.03,'4_1':0.0},(12,288):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(12,287):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(12,286):{'3_1':0.03,'5_2':0.0},(12,285):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,284):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(12,283):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0},(12,282):{'3_1':0.0,'5_2':0.0},(12,281):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(12,280):{'3_1':0.03},(12,279):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(12,278):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(12,277):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(12,276):{'3_1':0.0,'4_1':0.0},(12,275):{'3_1':0.0,'5_1':0.0},(12,274):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(12,273):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(12,272):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,271):{'3_1':0.03,'4_1':0.0},(12,270):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(12,269):{'3_1':0.06,'4_1':0.0},(12,268):{'3_1':0.06},(12,267):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(12,266):{'3_1':0.03,'4_1':0.0},(12,265):{'3_1':0.06,'4_1':0.0},(12,264):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(12,263):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,262):{'3_1':0.03,'5_2':0.0},(12,261):{'3_1':0.03},(12,260):{'3_1':0.09,'4_1':0.0},(12,259):{'3_1':0.09,'4_1':0.0},(12,258):{'3_1':0.06,'5_1':0.0,'-3':0.0},(12,257):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(12,256):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(12,255):{'3_1':0.0},(12,254):{'3_1':0.03,'5_1':0.0},(12,253):{'3_1':0.03},(12,252):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(12,251):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0},(12,250):{'3_1':0.0},(12,249):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(12,248):{'4_1':0.0},(12,247):{'3_1':0.03,'4_1':0.0},(12,246):{'3_1':0.0},(12,245):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(12,244):{'4_1':0.0,'3_1':0.0},(12,243):{'3_1':0.0,'5_2':0.0},(12,242):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,241):{'3_1':0.03},(12,240):{'3_1':0.03},(12,239):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(12,237):{'3_1':0.0,'4_1':0.0},(12,236):{'3_1':0.09,'5_2':0.0},(12,235):{'3_1':0.0},(12,234):{'3_1':0.03,'5_2':0.0},(12,233):{'3_1':0.0,'5_2':0.0},(12,232):{'3_1':0.0,'5_2':0.0},(12,231):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(12,230):{'3_1':0.03,'5_2':0.0},(12,229):{'3_1':0.0},(12,228):{'3_1':0.09,'5_1':0.0},(12,227):{'3_1':0.03},(12,226):{'3_1':0.0},(12,225):{'3_1':0.0},(12,224):{'3_1':0.03,'5_2':0.0},(12,223):{'3_1':0.03},(12,222):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,221):{'3_1':0.09,'5_1':0.0},(12,220):{'3_1':0.03,'4_1':0.0},(12,219):{'3_1':0.0},(12,218):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(12,217):{'3_1':0.06},(12,216):{'3_1':0.0},(12,215):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(12,214):{'3_1':0.03,'5_1':0.0},(12,213):{'3_1':0.06,'5_1':0.0},(12,212):{'3_1':0.06,'5_2':0.0},(12,211):{'3_1':0.03,'5_1':0.0},(12,210):{'3_1':0.06,'4_1':0.0},(12,209):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(12,208):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(12,207):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(12,206):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(12,205):{'3_1':0.03,'5_1':0.0},(12,204):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(12,203):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,202):{'3_1':0.03,'5_2':0.0},(12,201):{'3_1':0.0},(12,200):{'3_1':0.06,'5_2':0.0},(12,199):{'3_1':0.06},(12,198):{'3_1':0.03},(12,197):{'3_1':0.06,'5_2':0.0},(12,196):{'3_1':0.06,'4_1':0.0},(12,195):{'3_1':0.0,'4_1':0.0},(12,194):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(12,193):{'3_1':0.03},(12,192):{'3_1':0.0},(12,191):{'3_1':0.03},(12,190):{'3_1':0.09},(12,189):{'3_1':0.06,'4_1':0.0},(12,188):{'3_1':0.06,'4_1':0.0},(12,187):{'3_1':0.0},(12,186):{'3_1':0.09},(12,185):{'3_1':0.06},(12,184):{'3_1':0.03,'4_1':0.0},(12,183):{'3_1':0.0},(12,182):{'3_1':0.03,'4_1':0.0},(12,181):{'3_1':0.03},(12,180):{'3_1':0.06,'4_1':0.0},(12,179):{'3_1':0.0},(12,178):{'3_1':0.03,'4_1':0.0},(12,177):{'3_1':0.03},(12,176):{'3_1':0.03,'4_1':0.0},(12,175):{'3_1':0.03},(12,174):{'3_1':0.06},(12,173):{'3_1':0.03},(12,172):{'3_1':0.06},(12,171):{'3_1':0.0,'4_1':0.0},(12,170):{'3_1':0.0},(12,169):{'3_1':0.0},(12,168):{'3_1':0.03},(12,167):{'3_1':0.0},(12,166):{'4_1':0.0,'3_1':0.0},(12,165):{'3_1':0.03,'4_1':0.0},(12,164):{'3_1':0.03},(12,163):{'3_1':0.06},(12,162):{'3_1':0.03,'4_1':0.0},(12,161):{'3_1':0.03,'4_1':0.0},(12,160):{'3_1':0.0},(12,159):{'3_1':0.0},(12,158):{'3_1':0.0},(12,157):{'3_1':0.03},(12,156):{'3_1':0.03,'4_1':0.0},(12,155):{'3_1':0.03},(12,154):{'3_1':0.03},(12,153):{'3_1':0.0,'4_1':0.0},(12,152):{'4_1':0.0,'3_1':0.0},(12,151):{'3_1':0.03},(12,150):{'3_1':0.03},(12,149):{'3_1':0.0},(12,148):{'3_1':0.0},(12,147):{'3_1':0.0,'4_1':0.0},(12,146):{'3_1':0.0},(12,145):{'3_1':0.0,'4_1':0.0},(12,144):{'3_1':0.0},(12,143):{'3_1':0.0},(12,142):{'3_1':0.0,'4_1':0.0},(12,141):{'3_1':0.03},(12,140):{'3_1':0.0},(12,139):{'3_1':0.03},(12,138):{'3_1':0.03},(12,137):{'3_1':0.0},(12,136):{'3_1':0.0},(12,135):{'3_1':0.0,'4_1':0.0},(12,134):{'3_1':0.0},(12,133):{'3_1':0.0},(12,132):{'3_1':0.0,'4_1':0.0},(12,131):{'3_1':0.03},(12,130):{'3_1':0.0,'4_1':0.0},(12,129):{'3_1':0.03,'4_1':0.0},(12,128):{'3_1':0.0},(12,127):{'3_1':0.0},(12,126):{'3_1':0.0},(12,125):{'3_1':0.06},(12,124):{'3_1':0.03},(12,123):{'3_1':0.0},(12,122):{'3_1':0.0},(12,121):{'3_1':0.03},(12,120):{'3_1':0.0},(12,119):{'3_1':0.03},(12,118):{'3_1':0.03},(12,117):{'3_1':0.03},(12,116):{'3_1':0.0},(12,115):{'3_1':0.0},(12,114):{'3_1':0.0},(12,113):{'3_1':0.0},(12,112):{'3_1':0.0},(12,111):{'3_1':0.0},(12,110):{'3_1':0.0},(12,109):{'3_1':0.03},(12,108):{'3_1':0.0},(12,107):{'3_1':0.0},(12,106):{'3_1':0.0},(12,105):{'3_1':0.03},(12,104):{'3_1':0.0},(12,103):{'3_1':0.0},(12,102):{'3_1':0.03},(12,100):{'3_1':0.0},(12,99):{'3_1':0.0},(12,98):{'3_1':0.03},(12,97):{'3_1':0.0},(12,96):{'3_1':0.0},(12,94):{'3_1':0.0},(13,459):{'3_1':0.9,'5_1':0.03},(13,458):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(13,457):{'3_1':0.9,'5_2':0.0},(13,456):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_2':0.0},(13,455):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(13,454):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(13,453):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(13,452):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(13,451):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(13,450):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0},(13,449):{'3_1':0.9,'4_1':0.0,'6_2':0.0},(13,448):{'3_1':0.87,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0},(13,447):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_2':0.0},(13,446):{'3_1':0.87,'6_2':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(13,445):{'3_1':0.9,'7_5':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_2':0.0},(13,444):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(13,443):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(13,442):{'3_1':0.84,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(13,441):{'3_1':0.87,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(13,440):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(13,439):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_14':0.0},(13,438):{'3_1':0.87,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0},(13,437):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(13,436):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'1':-0.03},(13,435):{'3_1':0.84,'5_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0},(13,434):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_10':0.0,'8_19':0.0},(13,433):{'3_1':0.87,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(13,432):{'3_1':0.81,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_2':0.0,'8_10':0.0},(13,431):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0,'8_2':0.0,'8_10':0.0},(13,430):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(13,429):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.03,'6_2':0.0,'8_2':0.0,'7_3':0.0},(13,428):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(13,427):{'3_1':0.84,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(13,426):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(13,425):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_1':0.0,'7_2':0.0,'8_19':0.0},(13,424):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(13,423):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'1':-0.03},(13,422):{'3_1':0.75,'8_20|3_1#3_1':0.06,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'-3':0.0},(13,421):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'8_2':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(13,420):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'8_2':0.0},(13,419):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(13,418):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(13,417):{'3_1':0.75,'5_1':0.03,'8_2':0.0,'8_21|3_1#4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'-3':0.0},(13,416):{'3_1':0.81,'8_2':0.03,'5_1':0.03,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(13,415):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(13,414):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(13,413):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(13,412):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'6_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'8_7':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(13,411):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'3_1#5_1':0.0,'-3':0.0,'8_5':0.0,'8_10':0.0},(13,410):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'3_1#5_2':0.0,'-3':0.0},(13,409):{'3_1':0.69,'5_2':0.06,'5_1':0.03,'8_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'3_1#5_1':0.0,'-3':0.0},(13,408):{'3_1':0.69,'5_1':0.12,'6_2':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'5_2':0.0},(13,407):{'3_1':0.75,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(13,406):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'7_5':0.0,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(13,405):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(13,404):{'3_1':0.81,'4_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(13,403):{'3_1':0.81,'8_20|3_1#3_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(13,402):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'8_4':0.0,'3_1#5_1':0.0,'-3':0.0},(13,401):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_4':0.0,'8_10':0.0},(13,400):{'3_1':0.81,'8_20|3_1#3_1':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(13,399):{'3_1':0.81,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'-3':0.0},(13,398):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(13,397):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(13,396):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(13,395):{'3_1':0.72,'8_20|3_1#3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(13,394):{'3_1':0.69,'8_20|3_1#3_1':0.06,'5_2':0.0,'8_10':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(13,393):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(13,392):{'3_1':0.63,'8_20|3_1#3_1':0.06,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_16':0.0},(13,391):{'3_1':0.63,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'-3':0.0,'5_2':0.0,'3_1#5_1':0.0},(13,390):{'3_1':0.51,'8_20|3_1#3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(13,389):{'3_1':0.48,'4_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_1':0.0},(13,388):{'3_1':0.48,'8_20|3_1#3_1':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_9':0.0,'8_16':0.0,'-3':0.0},(13,387):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_10':0.0},(13,386):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(13,385):{'3_1':0.12,'6_2':0.0,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0},(13,384):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(13,383):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(13,382):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(13,381):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(13,380):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'3_1#5_2':0.0},(13,379):{'3_1':0.03,'-3':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(13,378):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(13,377):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(13,376):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(13,375):{'3_1':0.12,'5_2':0.0,'3_1#5_2':0.0},(13,374):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_8':0.0},(13,373):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_8':0.0},(13,372):{'3_1':0.03,'8_20|3_1#3_1':0.0},(13,371):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(13,370):{'3_1':0.03,'6_3':0.0},(13,369):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(13,368):{'3_1':0.03,'5_2':0.0},(13,367):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(13,366):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_5':0.0},(13,365):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(13,364):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(13,363):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'8_13':0.0},(13,362):{'3_1':0.06,'6_3':0.0},(13,361):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(13,360):{'3_1':0.03,'4_1':0.0},(13,359):{'3_1':0.03,'4_1':0.0,'8_8':0.0,'-3':0.0},(13,358):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,357):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(13,356):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(13,355):{'3_1':0.06,'5_2':0.0},(13,354):{'3_1':0.03,'5_2':0.0},(13,353):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(13,352):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(13,351):{'5_2':0.03,'3_1':0.0,'4_1':0.0,'6_1':0.0},(13,350):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(13,349):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(13,348):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(13,347):{'3_1':0.03,'5_1':0.0},(13,346):{'3_1':0.06},(13,345):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(13,344):{'3_1':0.03,'5_1':0.0},(13,343):{'3_1':0.06,'5_1':0.0},(13,342):{'4_1':0.0,'5_1':0.0,'5_2':0.0},(13,341):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(13,340):{'3_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0},(13,339):{'3_1':0.06,'5_1':0.0},(13,338):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(13,337):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(13,336):{'3_1':0.03,'4_1':0.0,'3_1#5_2':0.0},(13,335):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(13,334):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(13,333):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(13,332):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(13,331):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(13,330):{'3_1':0.06},(13,329):{'3_1':0.0,'5_2':0.0},(13,328):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0},(13,327):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(13,326):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(13,325):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(13,324):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(13,323):{'3_1':0.03,'5_2':0.0},(13,322):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(13,321):{'3_1':0.03,'4_1':0.0},(13,320):{'3_1':0.0,'4_1':0.0},(13,319):{'3_1':0.03,'4_1':0.0},(13,318):{'3_1':0.03,'4_1':0.0},(13,317):{'3_1':0.03,'4_1':0.0},(13,316):{'3_1':0.0,'5_2':0.0},(13,315):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(13,314):{'3_1':0.06,'5_2':0.0},(13,313):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(13,312):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(13,311):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(13,310):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,309):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(13,308):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,307):{'3_1':0.06},(13,306):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(13,305):{'3_1':0.03,'5_2':0.0},(13,304):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(13,303):{'3_1':0.06,'5_2':0.0},(13,302):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0},(13,301):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(13,300):{'3_1':0.03,'5_2':0.03},(13,299):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(13,298):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(13,297):{'3_1':0.03,'4_1':0.0},(13,296):{'3_1':0.03},(13,295):{'3_1':0.03},(13,294):{'3_1':0.03,'5_2':0.0},(13,293):{'3_1':0.09,'4_1':0.0},(13,292):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,291):{'3_1':0.03,'4_1':0.0},(13,290):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(13,289):{'3_1':0.03},(13,288):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,287):{'5_2':0.0},(13,286):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,285):{'3_1':0.0,'4_1':0.0},(13,284):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(13,283):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(13,282):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(13,281):{'3_1':0.06,'4_1':0.0},(13,280):{'3_1':0.03,'4_1':0.0},(13,279):{'3_1':0.0,'5_2':0.0},(13,278):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(13,277):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(13,276):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,275):{'3_1':0.03,'4_1':0.0},(13,274):{'3_1':0.03},(13,273):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,272):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,271):{'3_1':0.03},(13,270):{'3_1':0.06,'5_1':0.0},(13,269):{'4_1':0.0,'5_1':0.0},(13,268):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(13,267):{'3_1':0.03},(13,266):{'3_1':0.03},(13,265):{'3_1':0.12,'5_1':0.0},(13,264):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(13,263):{'3_1':0.09,'4_1':0.0},(13,262):{'3_1':0.03},(13,261):{'3_1':0.06},(13,260):{'3_1':0.09},(13,259):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(13,258):{'3_1':0.03,'5_1':0.0},(13,257):{'3_1':0.0,'4_1':0.0},(13,256):{'3_1':0.03},(13,255):{'3_1':0.03},(13,254):{'3_1':0.06,'5_2':0.0},(13,253):{'3_1':0.06,'4_1':0.0},(13,252):{'3_1':0.03,'6_2':0.0,'4_1':0.0},(13,251):{'3_1':0.03,'4_1':0.0},(13,250):{'3_1':0.0,'5_2':0.0},(13,249):{'3_1':0.0,'5_2':0.0},(13,248):{'5_2':0.0,'3_1':0.0},(13,247):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,246):{'3_1':0.06},(13,245):{'3_1':0.03},(13,244):{'3_1':0.03,'5_2':0.0},(13,243):{'3_1':0.03},(13,242):{'3_1':0.0,'4_1':0.0},(13,241):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,240):{'3_1':0.0,'4_1':0.0},(13,239):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,238):{'3_1':0.03,'5_2':0.0},(13,237):{'3_1':0.03},(13,236):{'3_1':0.03},(13,235):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(13,234):{'3_1':0.0},(13,233):{'3_1':0.03},(13,232):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,231):{'3_1':0.03,'5_2':0.0},(13,230):{'3_1':0.03,'5_2':0.0},(13,229):{'3_1':0.0,'4_1':0.0},(13,228):{'3_1':0.06},(13,227):{'3_1':0.0},(13,226):{'3_1':0.03,'4_1':0.0},(13,225):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,224):{'3_1':0.06,'5_1':0.0},(13,223):{'3_1':0.0,'5_2':0.0},(13,222):{'3_1':0.03},(13,221):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(13,220):{'3_1':0.06,'5_1':0.0},(13,219):{'3_1':0.03,'5_1':0.0},(13,218):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(13,217):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(13,216):{'3_1':0.03,'5_1':0.0},(13,215):{'3_1':0.03,'5_1':0.0},(13,214):{'3_1':0.06,'5_2':0.0},(13,213):{'3_1':0.03},(13,212):{'3_1':0.03,'4_1':0.0},(13,211):{'3_1':0.09,'5_2':0.0},(13,210):{'3_1':0.06},(13,209):{'3_1':0.06,'4_1':0.0},(13,208):{'3_1':0.03},(13,207):{'3_1':0.03,'4_1':0.0},(13,206):{'3_1':0.0},(13,205):{'3_1':0.03},(13,204):{'3_1':0.06},(13,203):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(13,202):{'3_1':0.03,'5_2':0.0},(13,201):{'3_1':0.03,'4_1':0.0},(13,200):{'3_1':0.0,'5_2':0.0},(13,199):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,198):{'3_1':0.06,'4_1':0.0},(13,197):{'3_1':0.06},(13,196):{'3_1':0.06,'4_1':0.0},(13,195):{'3_1':0.03,'4_1':0.0},(13,194):{'3_1':0.0,'4_1':0.0},(13,193):{'3_1':0.06},(13,192):{'3_1':0.03,'4_1':0.0},(13,191):{'3_1':0.06,'4_1':0.0},(13,190):{'3_1':0.06},(13,189):{'3_1':0.03,'4_1':0.0},(13,188):{'3_1':0.0},(13,187):{'3_1':0.03},(13,186):{'3_1':0.09},(13,185):{'3_1':0.03},(13,184):{'3_1':0.03},(13,183):{'3_1':0.0},(13,182):{'3_1':0.03},(13,181):{'3_1':0.03},(13,180):{'3_1':0.03,'4_1':0.0},(13,179):{'3_1':0.06,'4_1':0.0},(13,178):{'3_1':0.03,'4_1':0.0},(13,177):{'3_1':0.06,'4_1':0.0},(13,176):{'3_1':0.03},(13,175):{'3_1':0.06,'4_1':0.0},(13,174):{'3_1':0.03,'4_1':0.0},(13,173):{'3_1':0.0},(13,172):{'3_1':0.06},(13,171):{'3_1':0.03,'4_1':0.0},(13,170):{'3_1':0.03,'4_1':0.0},(13,169):{'3_1':0.03,'4_1':0.0},(13,168):{'3_1':0.0,'4_1':0.0},(13,167):{'3_1':0.03,'4_1':0.0},(13,166):{'3_1':0.0},(13,164):{'3_1':0.0,'4_1':0.0},(13,163):{'3_1':0.03},(13,162):{'3_1':0.0},(13,161):{'3_1':0.03},(13,160):{'3_1':0.0},(13,159):{'3_1':0.0},(13,158):{'3_1':0.03,'4_1':0.0},(13,157):{'3_1':0.03,'4_1':0.0},(13,156):{'3_1':0.03},(13,155):{'3_1':0.0,'4_1':0.0},(13,154):{'3_1':0.03},(13,153):{'3_1':0.03,'4_1':0.0},(13,152):{'3_1':0.0},(13,151):{'4_1':0.03,'3_1':0.0},(13,150):{'3_1':0.0},(13,149):{'3_1':0.0},(13,148):{'3_1':0.03},(13,147):{'3_1':0.03},(13,146):{'3_1':0.0},(13,145):{'3_1':0.0,'4_1':0.0},(13,144):{'3_1':0.0},(13,143):{'3_1':0.0,'4_1':0.0},(13,142):{'4_1':0.0},(13,141):{'3_1':0.0},(13,140):{'3_1':0.0,'4_1':0.0},(13,139):{'3_1':0.0},(13,138):{'3_1':0.03,'4_1':0.0},(13,137):{'3_1':0.03},(13,136):{'3_1':0.0},(13,135):{'3_1':0.0},(13,134):{'3_1':0.0},(13,132):{'4_1':0.0},(13,131):{'3_1':0.0,'4_1':0.0},(13,130):{'3_1':0.0},(13,129):{'3_1':0.03},(13,128):{'3_1':0.0},(13,127):{'3_1':0.0},(13,125):{'3_1':0.03},(13,124):{'3_1':0.03},(13,123):{'3_1':0.0},(13,122):{'3_1':0.0},(13,121):{'3_1':0.0},(13,120):{'3_1':0.03},(13,119):{'3_1':0.06},(13,118):{'3_1':0.03},(13,117):{'3_1':0.0},(13,116):{'3_1':0.0},(13,115):{'3_1':0.03},(13,114):{'3_1':0.0},(13,113):{'3_1':0.0},(13,112):{'3_1':0.03},(13,111):{'3_1':0.0},(13,110):{'3_1':0.0},(13,109):{'3_1':0.0},(13,108):{'3_1':0.0},(13,107):{'3_1':0.0,'4_1':0.0},(13,106):{'3_1':0.0},(13,105):{'3_1':0.0},(13,104):{'3_1':0.0},(13,103):{'3_1':0.03},(13,101):{'3_1':0.0},(13,100):{'3_1':0.0},(13,99):{'3_1':0.0},(13,98):{'3_1':0.0},(14,459):{'3_1':0.9,'6_2':0.0},(14,458):{'3_1':0.9,'4_1':0.0,'8_20|3_1#3_1':0.0},(14,457):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(14,456):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(14,455):{'3_1':0.9,'5_2':0.0,'5_1':0.0},(14,454):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_2':0.0},(14,453):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(14,452):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(14,451):{'3_1':0.9,'6_2':0.0,'5_1':0.0},(14,450):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(14,449):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'-3':0.0},(14,448):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(14,447):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(14,446):{'3_1':0.87,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_4':0.0},(14,445):{'3_1':0.9,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0},(14,444):{'3_1':0.81,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,443):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(14,442):{'3_1':0.9,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(14,441):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0},(14,440):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'8_2':0.0},(14,439):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(14,438):{'3_1':0.84,'8_2':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,437):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(14,436):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(14,435):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(14,434):{'3_1':0.81,'6_2':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0},(14,433):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'8_10':0.0,'1':-0.03},(14,432):{'3_1':0.81,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_2':0.0,'6_3':0.0,'8_2':0.0},(14,431):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0},(14,430):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(14,429):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_2':0.0},(14,428):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(14,427):{'3_1':0.84,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(14,426):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'8_10':0.0,'6_3':0.0},(14,425):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,424):{'3_1':0.84,'5_1':0.09,'8_20|3_1#3_1':0.0,'5_2':0.0},(14,423):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'-3':0.0},(14,422):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'4_1':0.0,'6_3':0.0},(14,421):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.03,'5_2':0.0,'8_19':0.0,'3_1#5_1':0.0},(14,420):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0,'8_19':0.0,'-3':0.0},(14,419):{'3_1':0.72,'5_1':0.06,'6_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_4':0.0,'-3':0.0},(14,418):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,417):{'3_1':0.72,'5_1':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'8_19':0.0},(14,416):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'8_7':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(14,415):{'3_1':0.69,'8_20|3_1#3_1':0.06,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0},(14,414):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'8_20|3_1#3_1':0.03,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0},(14,413):{'3_1':0.66,'5_1':0.12,'8_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_1':0.0},(14,412):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'8_2':0.03,'6_2':0.03,'8_20|3_1#3_1':0.03},(14,411):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_8':0.0},(14,410):{'3_1':0.69,'5_1':0.09,'8_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_18':0.0},(14,409):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0},(14,408):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0,'1':-0.03},(14,407):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(14,406):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0},(14,405):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,404):{'3_1':0.75,'8_20|3_1#3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(14,403):{'3_1':0.84,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'8_3':0.0},(14,402):{'3_1':0.87,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(14,401):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_10':0.0,'-3':0.0},(14,400):{'3_1':0.78,'5_1':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,399):{'3_1':0.75,'8_20|3_1#3_1':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'1':-0.03},(14,398):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(14,397):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(14,396):{'3_1':0.81,'8_20|3_1#3_1':0.03,'6_3':0.0,'5_2':0.0,'-3':0.0},(14,395):{'3_1':0.69,'8_20|3_1#3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(14,394):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(14,393):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,392):{'3_1':0.66,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_4':0.0,'-3':0.0},(14,391):{'3_1':0.63,'8_20|3_1#3_1':0.09,'5_1':0.03,'7_4':0.0},(14,390):{'3_1':0.51,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0},(14,389):{'3_1':0.51,'4_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0},(14,388):{'3_1':0.48,'6_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(14,387):{'3_1':0.39,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0},(14,386):{'3_1':0.24,'8_20|3_1#3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_17':0.0},(14,385):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(14,384):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(14,383):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(14,382):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(14,381):{'4_1':0.09,'3_1':0.09,'5_2':0.0},(14,380):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0},(14,379):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(14,378):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(14,377):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0},(14,376):{'3_1':0.06,'4_1':0.03,'8_8':0.0,'5_2':0.0,'6_2':0.0},(14,375):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_8':0.0,'-3':0.0},(14,374):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(14,373):{'3_1':0.06,'8_21|3_1#4_1':0.0},(14,372):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(14,371):{'3_1':0.03,'4_1':0.0},(14,370):{'3_1':0.06},(14,369):{'3_1':0.06,'5_1':0.0},(14,368):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_4':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(14,367):{'3_1':0.03,'5_2':0.0,'8_13':0.0},(14,366):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(14,365):{'3_1':0.03,'5_2':0.0},(14,364):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(14,363):{'3_1':0.06,'7_4':0.0,'8_19':0.0},(14,362):{'3_1':0.0,'4_1':0.0},(14,361):{'5_2':0.03,'3_1':0.03,'4_1':0.0},(14,360):{'3_1':0.03},(14,359):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(14,358):{'3_1':0.06,'5_2':0.0},(14,357):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(14,356):{'3_1':0.09,'4_1':0.0},(14,355):{'3_1':0.09,'6_1':0.0},(14,354):{'3_1':0.06,'5_1':0.0,'8_8':0.0},(14,353):{'3_1':0.06,'5_1':0.0},(14,352):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_8':0.0},(14,351):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(14,350):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(14,349):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(14,348):{'3_1':0.0,'6_2':0.0},(14,347):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(14,346):{'3_1':0.0},(14,345):{'3_1':0.09,'4_1':0.0},(14,344):{'3_1':0.03},(14,343):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(14,342):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(14,341):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(14,340):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(14,339):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(14,338):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(14,337):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(14,336):{'3_1':0.06,'6_2':0.0,'5_2':0.0,'8_11':0.0},(14,335):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(14,334):{'3_1':0.06,'5_1':0.0,'8_21|3_1#4_1':0.0},(14,333):{'3_1':0.03,'5_2':0.0},(14,332):{'3_1':0.03,'4_1':0.0},(14,331):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(14,330):{'3_1':0.03,'4_1':0.0},(14,329):{'3_1':0.03,'5_1':0.0},(14,328):{'3_1':0.09,'4_1':0.0},(14,327):{'3_1':0.03},(14,326):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(14,325):{'3_1':0.06,'5_2':0.03},(14,324):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(14,323):{'3_1':0.03,'4_1':0.0},(14,322):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(14,321):{'3_1':0.0,'5_2':0.0},(14,320):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(14,319):{'3_1':0.09},(14,318):{'3_1':0.06,'5_1':0.0},(14,317):{'3_1':0.06},(14,316):{'3_1':0.06},(14,315):{'3_1':0.06,'5_2':0.0},(14,314):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(14,313):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(14,312):{'3_1':0.06},(14,311):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(14,310):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(14,309):{'3_1':0.0},(14,308):{'3_1':0.03,'4_1':0.0},(14,307):{'3_1':0.09,'5_2':0.0},(14,306):{'4_1':0.03,'3_1':0.0},(14,305):{'5_2':0.03,'3_1':0.0},(14,304):{'3_1':0.03,'5_2':0.0},(14,303):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(14,302):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(14,301):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_2':0.0},(14,300):{'3_1':0.06,'5_2':0.0},(14,299):{'3_1':0.03},(14,298):{'3_1':0.0},(14,297):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(14,296):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(14,295):{'3_1':0.03,'4_1':0.0},(14,294):{'3_1':0.03},(14,293):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(14,292):{'3_1':0.06,'5_2':0.0,'8_19':0.0},(14,291):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(14,290):{'3_1':0.06,'5_2':0.03},(14,289):{'3_1':0.0,'5_2':0.0},(14,288):{'3_1':0.03,'5_2':0.0},(14,287):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(14,286):{'3_1':0.0},(14,285):{'3_1':0.03,'5_2':0.0},(14,284):{'3_1':0.06,'5_2':0.0},(14,283):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(14,282):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(14,281):{'3_1':0.0},(14,280):{'3_1':0.03,'5_2':0.0},(14,279):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(14,278):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(14,277):{'3_1':0.03},(14,276):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(14,275):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(14,274):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(14,273):{'3_1':0.03},(14,272):{'3_1':0.0,'4_1':0.0},(14,271):{'3_1':0.06},(14,270):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(14,269):{'3_1':0.06},(14,268):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(14,267):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(14,266):{'3_1':0.0},(14,265):{'3_1':0.03,'4_1':0.0},(14,264):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(14,263):{'3_1':0.06},(14,262):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(14,261):{'3_1':0.09},(14,260):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(14,259):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(14,258):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(14,257):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(14,256):{'3_1':0.09,'5_2':0.0},(14,255):{'3_1':0.0,'5_1':0.0},(14,254):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(14,253):{'3_1':0.06,'5_2':0.0},(14,252):{'3_1':0.06,'6_2':0.0},(14,251):{'3_1':0.06},(14,250):{'3_1':0.03,'5_2':0.0},(14,249):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(14,248):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(14,247):{'3_1':0.03,'4_1':0.0},(14,246):{'3_1':0.03,'4_1':0.0},(14,245):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(14,244):{'3_1':0.03,'4_1':0.0},(14,243):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(14,242):{'3_1':0.03,'5_2':0.0},(14,241):{'3_1':0.03,'5_2':0.0},(14,240):{'4_1':0.0,'5_2':0.0},(14,239):{'3_1':0.03,'5_1':0.0},(14,238):{'3_1':0.0},(14,237):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(14,236):{'3_1':0.06},(14,235):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(14,234):{'3_1':0.03},(14,233):{'3_1':0.03},(14,232):{'3_1':0.03},(14,231):{'3_1':0.06},(14,230):{'3_1':0.03,'5_2':0.0},(14,229):{'3_1':0.03,'4_1':0.0},(14,228):{'3_1':0.03,'4_1':0.0},(14,227):{'3_1':0.09},(14,226):{'3_1':0.03},(14,225):{'3_1':0.03,'4_1':0.0},(14,224):{'3_1':0.06,'4_1':0.0},(14,223):{'3_1':0.06,'4_1':0.0},(14,222):{'3_1':0.09},(14,221):{'3_1':0.06},(14,220):{'3_1':0.06,'4_1':0.0},(14,219):{'3_1':0.03},(14,218):{'3_1':0.03,'4_1':0.0},(14,217):{'3_1':0.03,'5_1':0.0},(14,216):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(14,215):{'3_1':0.03},(14,214):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(14,213):{'3_1':0.03,'4_1':0.0},(14,212):{'3_1':0.03,'5_2':0.0},(14,211):{'3_1':0.09,'4_1':0.0},(14,210):{'3_1':0.06,'4_1':0.0},(14,209):{'3_1':0.09},(14,208):{'3_1':0.09,'5_1':0.0},(14,207):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(14,206):{'3_1':0.06,'4_1':0.0},(14,205):{'3_1':0.03,'4_1':0.0},(14,204):{'3_1':0.06,'4_1':0.0},(14,203):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(14,202):{'3_1':0.0,'5_1':0.0},(14,201):{'3_1':0.03,'5_2':0.0},(14,200):{'3_1':0.03,'5_2':0.0},(14,199):{'3_1':0.03,'5_2':0.0},(14,198):{'3_1':0.03,'5_2':0.0},(14,197):{'3_1':0.03,'5_1':0.0},(14,196):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(14,195):{'3_1':0.03,'4_1':0.0},(14,194):{'3_1':0.03,'5_1':0.0},(14,193):{'3_1':0.09,'4_1':0.0},(14,192):{'3_1':0.09},(14,191):{'3_1':0.06},(14,190):{'3_1':0.12},(14,189):{'3_1':0.03},(14,188):{'3_1':0.09},(14,187):{'3_1':0.03},(14,186):{'3_1':0.06},(14,185):{'3_1':0.03},(14,184):{'3_1':0.06,'4_1':0.0},(14,183):{'3_1':0.06,'4_1':0.0},(14,182):{'3_1':0.06},(14,181):{'3_1':0.06},(14,180):{'3_1':0.03},(14,179):{'3_1':0.06},(14,178):{'3_1':0.03},(14,177):{'3_1':0.0,'4_1':0.0},(14,176):{'3_1':0.03,'4_1':0.0},(14,175):{'3_1':0.03,'4_1':0.0},(14,174):{'3_1':0.0},(14,173):{'3_1':0.03},(14,172):{'3_1':0.12},(14,171):{'3_1':0.03},(14,170):{'3_1':0.06,'4_1':0.0},(14,169):{'3_1':0.06,'4_1':0.0},(14,168):{'3_1':0.0},(14,167):{'3_1':0.03,'4_1':0.0},(14,166):{'3_1':0.06,'4_1':0.0},(14,165):{'3_1':0.03},(14,164):{'3_1':0.0},(14,163):{'3_1':0.03},(14,162):{'3_1':0.0},(14,161):{'3_1':0.03,'4_1':0.0},(14,160):{'3_1':0.03},(14,159):{'3_1':0.03},(14,158):{'3_1':0.03},(14,157):{'3_1':0.0,'4_1':0.0},(14,156):{'3_1':0.06},(14,155):{'3_1':0.03,'4_1':0.0},(14,154):{'3_1':0.06},(14,153):{'3_1':0.03},(14,152):{'3_1':0.03,'4_1':0.0},(14,151):{'3_1':0.03},(14,150):{'3_1':0.0},(14,149):{'3_1':0.0},(14,148):{'3_1':0.0,'4_1':0.0},(14,147):{'3_1':0.0},(14,146):{'3_1':0.0},(14,145):{'3_1':0.0,'4_1':0.0},(14,144):{'3_1':0.0},(14,143):{'3_1':0.0},(14,142):{'3_1':0.03,'4_1':0.0},(14,141):{'3_1':0.06},(14,140):{'3_1':0.0},(14,139):{'3_1':0.0},(14,138):{'3_1':0.0},(14,136):{'3_1':0.0},(14,135):{'3_1':0.03,'4_1':0.0},(14,134):{'3_1':0.03},(14,133):{'3_1':0.0},(14,132):{'3_1':0.06},(14,131):{'3_1':0.0},(14,130):{'3_1':0.03},(14,129):{'3_1':0.0},(14,128):{'3_1':0.0},(14,127):{'3_1':0.0},(14,126):{'3_1':0.0,'4_1':0.0},(14,125):{'3_1':0.03},(14,124):{'3_1':0.03},(14,123):{'3_1':0.03},(14,122):{'3_1':0.03},(14,121):{'3_1':0.03},(14,120):{'3_1':0.0},(14,119):{'3_1':0.0},(14,118):{'3_1':0.03},(14,117):{'3_1':0.03},(14,116):{'3_1':0.03},(14,115):{'3_1':0.0},(14,114):{'3_1':0.0},(14,113):{'3_1':0.03},(14,112):{'3_1':0.0},(14,111):{'3_1':0.0},(14,110):{'3_1':0.03},(14,109):{'3_1':0.03},(14,108):{'3_1':0.0},(14,107):{'3_1':0.03},(14,106):{'3_1':0.0},(14,105):{'3_1':0.0},(14,104):{'3_1':0.0},(14,103):{'3_1':0.0},(14,102):{'3_1':0.0},(14,101):{'3_1':0.03},(14,99):{'3_1':0.0},(14,96):{'3_1':0.0},(14,93):{'3_1':0.0},(15,459):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(15,458):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0},(15,457):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(15,456):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(15,455):{'3_1':0.9,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(15,454):{'3_1':0.9,'5_2':0.0,'4_1':0.0},(15,453):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(15,452):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0},(15,451):{'3_1':0.9,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'8_19':0.0},(15,450):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(15,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(15,448):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(15,447):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(15,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_2':0.0},(15,445):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(15,444):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(15,443):{'3_1':0.87,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0},(15,442):{'3_1':0.81,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0},(15,441):{'3_1':0.9,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(15,440):{'3_1':0.9,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'1':-0.03},(15,439):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_2':0.0,'8_2':0.0},(15,438):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(15,437):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'8_10':0.0},(15,436):{'3_1':0.81,'5_1':0.0,'7_2':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(15,435):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'-3':0.0},(15,434):{'3_1':0.81,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0,'8_5':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(15,433):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(15,432):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0,'8_2':0.0},(15,431):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(15,430):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0},(15,429):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_2':0.0,'8_10':0.0,'1':-0.03},(15,428):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_2':0.0},(15,427):{'3_1':0.78,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'-3':0.0,'8_6':0.0},(15,426):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(15,425):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(15,424):{'3_1':0.84,'5_1':0.03,'-3':0.0,'5_2':0.0,'8_2':0.0,'8_13':0.0},(15,423):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_3':0.0,'7_3':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(15,422):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.03,'8_2':0.0,'5_2':0.0,'6_3':0.0,'8_10':0.0},(15,421):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'5_2':0.0,'8_6':0.0,'-3':0.0},(15,420):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(15,419):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'8_4':0.0,'8_6':0.0},(15,418):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0,'4_1':0.0,'8_2':0.0,'8_6':0.0,'3_1#5_1':0.0,'-3':0.0},(15,417):{'3_1':0.72,'5_1':0.09,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'8_6':0.0,'8_7':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(15,416):{'3_1':0.69,'5_1':0.06,'8_20|3_1#3_1':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_10':0.0},(15,415):{'3_1':0.72,'5_1':0.12,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_5':0.0,'8_6':0.0},(15,414):{'3_1':0.72,'5_1':0.09,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_2':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0,'-3':0.0},(15,413):{'3_1':0.66,'5_1':0.12,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(15,412):{'3_1':0.66,'5_1':0.09,'8_20|3_1#3_1':0.03,'6_2':0.03,'8_2':0.03,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0},(15,411):{'3_1':0.66,'5_1':0.12,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0,'8_7':0.0,'8_10':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(15,410):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'8_10':0.0,'6_2':0.0,'7_5':0.0,'8_5':0.0,'3_1#5_1':0.0},(15,409):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'7_2':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(15,408):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0,'8_10':0.0,'-3':0.0},(15,407):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(15,406):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(15,405):{'3_1':0.75,'8_20|3_1#3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_3':0.0},(15,404):{'3_1':0.81,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_9':0.0,'8_10':0.0},(15,403):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_10':0.0,'4_1':0.0,'7_2':0.0,'-3':0.0},(15,402):{'3_1':0.84,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'8_10':0.0},(15,401):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(15,400):{'3_1':0.81,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(15,399):{'3_1':0.81,'8_20|3_1#3_1':0.06,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(15,398):{'3_1':0.75,'8_20|3_1#3_1':0.03,'6_3':0.0,'5_1':0.0,'6_1':0.0,'8_10':0.0},(15,397):{'3_1':0.78,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(15,396):{'3_1':0.72,'8_20|3_1#3_1':0.06,'4_1':0.0,'6_2':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(15,395):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(15,394):{'3_1':0.66,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'4_1':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(15,393):{'3_1':0.69,'8_20|3_1#3_1':0.06,'6_2':0.0,'5_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(15,392):{'3_1':0.6,'5_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(15,391):{'3_1':0.66,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0,'8_10':0.0},(15,390):{'3_1':0.6,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0},(15,389):{'3_1':0.48,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(15,388):{'3_1':0.48,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0},(15,387):{'3_1':0.36,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_10':0.0},(15,386):{'3_1':0.3,'4_1':0.03,'5_1':0.0,'7_6':0.0,'6_2':0.0,'-3':0.0},(15,385):{'3_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0},(15,384):{'3_1':0.09,'4_1':0.03,'6_2':0.03,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(15,383):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'8_17':0.0,'3_1#5_1':0.0,'-3':0.0},(15,382):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_8':0.0},(15,381):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(15,380):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'8_8':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(15,379):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_10':0.0,'3_1#5_2':0.0,'-3':0.0},(15,378):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(15,377):{'3_1':0.12,'4_1':0.0},(15,376):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(15,375):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(15,374):{'3_1':0.03,'5_2':0.0},(15,373):{'3_1':0.09,'5_1':0.0,'6_3':0.0,'8_8':0.0},(15,372):{'3_1':0.09,'6_2':0.0,'8_14':0.0},(15,371):{'3_1':0.06,'5_1':0.0},(15,370):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(15,369):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,368):{'3_1':0.03,'6_3':0.0,'4_1':0.0,'5_2':0.0},(15,367):{'3_1':0.03,'5_2':0.0},(15,366):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(15,365):{'3_1':0.06,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(15,364):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_8':0.0,'8_14':0.0},(15,363):{'3_1':0.09,'8_20|3_1#3_1':0.0},(15,362):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(15,361):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(15,360):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(15,359):{'3_1':0.06,'4_1':0.0,'8_8':0.0},(15,358):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(15,357):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0},(15,356):{'3_1':0.03},(15,355):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(15,354):{'3_1':0.03,'5_2':0.0},(15,353):{'3_1':0.03,'4_1':0.0},(15,352):{'3_1':0.03,'5_2':0.0},(15,351):{'3_1':0.03,'5_2':0.0},(15,350):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(15,349):{'3_1':0.0,'4_1':0.0},(15,348):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(15,347):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(15,346):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(15,345):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,344):{'3_1':0.03,'4_1':0.0},(15,343):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(15,342):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(15,341):{'3_1':0.09,'4_1':0.0},(15,340):{'3_1':0.06,'5_1':0.0},(15,339):{'3_1':0.03,'4_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(15,338):{'3_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(15,337):{'3_1':0.09,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_2':0.0},(15,336):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(15,335):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_2':0.0},(15,334):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(15,333):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(15,332):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(15,331):{'3_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(15,330):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(15,329):{'3_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(15,328):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(15,327):{'3_1':0.0,'4_1':0.0},(15,326):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(15,325):{'3_1':0.03,'5_2':0.03,'4_1':0.0},(15,324):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(15,323):{'3_1':0.03,'4_1':0.0},(15,322):{'3_1':0.06,'5_2':0.0},(15,321):{'3_1':0.0,'5_2':0.0},(15,320):{'3_1':0.0},(15,319):{'3_1':0.03},(15,318):{'3_1':0.0,'4_1':0.0},(15,317):{'3_1':0.03,'5_2':0.0},(15,316):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(15,315):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(15,314):{'3_1':0.06,'4_1':0.0},(15,313):{'3_1':0.03},(15,312):{'3_1':0.09,'4_1':0.0},(15,311):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(15,310):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(15,309):{'3_1':0.03,'5_2':0.0},(15,308):{'3_1':0.09,'5_2':0.0},(15,307):{'3_1':0.03,'5_2':0.0},(15,306):{'3_1':0.0,'5_2':0.0},(15,305):{'3_1':0.0},(15,304):{'3_1':0.0,'5_1':0.0},(15,303):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(15,302):{'3_1':0.06,'5_2':0.0},(15,301):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(15,300):{'3_1':0.03},(15,299):{'3_1':0.09,'4_1':0.0},(15,298):{'3_1':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0},(15,297):{'3_1':0.03},(15,296):{'5_2':0.0,'3_1':0.0},(15,295):{'3_1':0.06,'5_2':0.0},(15,294):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(15,293):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(15,292):{'3_1':0.0},(15,291):{'3_1':0.0,'5_2':0.0,'8_14':0.0},(15,290):{'3_1':0.06,'5_2':0.0},(15,289):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,288):{'3_1':0.03,'5_1':0.0},(15,287):{'3_1':0.06,'5_2':0.0},(15,286):{'3_1':0.03,'4_1':0.0},(15,285):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(15,284):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(15,283):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(15,282):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,281):{'3_1':0.03,'5_2':0.0},(15,280):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(15,279):{'3_1':0.0,'8_14':0.0},(15,278):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,277):{'3_1':0.06,'5_2':0.0},(15,276):{'3_1':0.06,'4_1':0.0},(15,275):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(15,274):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(15,273):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_1':0.0},(15,272):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(15,271):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(15,270):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(15,269):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(15,268):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(15,267):{'3_1':0.06,'4_1':0.0},(15,266):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(15,265):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(15,264):{'3_1':0.12,'5_1':0.0,'6_3':0.0},(15,263):{'3_1':0.03,'4_1':0.0},(15,262):{'3_1':0.06,'5_1':0.0},(15,261):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(15,260):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(15,259):{'3_1':0.03,'5_2':0.0},(15,258):{'3_1':0.06},(15,257):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0},(15,256):{'3_1':0.03,'5_2':0.0},(15,255):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(15,254):{'3_1':0.03,'6_2':0.0},(15,253):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(15,252):{'3_1':0.03,'6_2':0.0},(15,251):{'3_1':0.03,'5_2':0.0},(15,250):{'3_1':0.0,'4_1':0.0},(15,249):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,248):{'3_1':0.03,'4_1':0.0},(15,247):{'3_1':0.03,'5_2':0.0},(15,246):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,245):{'3_1':0.0,'5_2':0.0,'8_14':0.0},(15,244):{'3_1':0.03,'8_14':0.0},(15,243):{'3_1':0.0,'5_2':0.0},(15,242):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,241):{'3_1':0.03,'4_1':0.0},(15,240):{'3_1':0.0,'6_2':0.0,'4_1':0.0},(15,239):{'3_1':0.03,'5_2':0.0},(15,238):{'3_1':0.0,'4_1':0.0},(15,237):{'3_1':0.0,'4_1':0.0},(15,236):{'3_1':0.03},(15,235):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(15,234):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(15,233):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_14':0.0},(15,232):{'3_1':0.0},(15,231):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(15,230):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(15,229):{'3_1':0.03},(15,228):{'3_1':0.03,'4_1':0.0},(15,227):{'3_1':0.06,'4_1':0.0},(15,226):{'3_1':0.0,'5_2':0.0},(15,225):{'3_1':0.06,'4_1':0.0},(15,224):{'3_1':0.03},(15,223):{'3_1':0.06},(15,222):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(15,221):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(15,220):{'3_1':0.03},(15,219):{'3_1':0.09,'4_1':0.0},(15,218):{'3_1':0.06,'5_2':0.0},(15,217):{'3_1':0.03,'5_1':0.0},(15,216):{'3_1':0.03,'5_1':0.0},(15,215):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,214):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(15,213):{'3_1':0.03,'5_1':0.0,'7_5':0.0},(15,212):{'3_1':0.03,'5_1':0.0},(15,211):{'3_1':0.03,'4_1':0.0},(15,210):{'3_1':0.03,'4_1':0.0},(15,209):{'3_1':0.03,'4_1':0.0},(15,208):{'3_1':0.09},(15,207):{'3_1':0.03,'4_1':0.0},(15,206):{'3_1':0.09},(15,205):{'3_1':0.03,'5_1':0.0},(15,204):{'3_1':0.03},(15,203):{'3_1':0.03,'5_2':0.0},(15,202):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(15,201):{'3_1':0.0},(15,200):{'3_1':0.03},(15,199):{'3_1':0.0,'5_1':0.0},(15,198):{'3_1':0.03},(15,197):{'3_1':0.0,'4_1':0.0},(15,196):{'3_1':0.09},(15,195):{'4_1':0.0,'3_1':0.0},(15,194):{'3_1':0.03,'4_1':0.0},(15,193):{'3_1':0.03,'4_1':0.0},(15,192):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(15,191):{'3_1':0.06},(15,190):{'3_1':0.06,'4_1':0.0},(15,189):{'3_1':0.03,'4_1':0.0},(15,188):{'3_1':0.06,'4_1':0.0},(15,187):{'3_1':0.06},(15,186):{'4_1':0.0},(15,185):{'3_1':0.06,'4_1':0.0},(15,184):{'3_1':0.06},(15,183):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(15,182):{'3_1':0.03,'4_1':0.0},(15,181):{'3_1':0.03,'4_1':0.0},(15,180):{'3_1':0.03},(15,179):{'3_1':0.09},(15,178):{'3_1':0.09},(15,177):{'3_1':0.0,'4_1':0.0},(15,176):{'3_1':0.06,'4_1':0.0},(15,175):{'3_1':0.03,'4_1':0.0},(15,174):{'3_1':0.0,'4_1':0.0},(15,173):{'3_1':0.0,'4_1':0.0},(15,172):{'3_1':0.03},(15,171):{'3_1':0.0,'4_1':0.0},(15,170):{'3_1':0.03,'4_1':0.0},(15,169):{'3_1':0.03},(15,168):{'3_1':0.03,'4_1':0.0},(15,167):{'3_1':0.03,'4_1':0.0},(15,166):{'3_1':0.03},(15,165):{'3_1':0.03},(15,164):{'3_1':0.03},(15,163):{'3_1':0.03},(15,162):{'3_1':0.0,'4_1':0.0},(15,161):{'3_1':0.03,'4_1':0.0},(15,160):{'3_1':0.03},(15,159):{'3_1':0.0},(15,158):{'3_1':0.0},(15,157):{'3_1':0.0},(15,156):{'3_1':0.0,'4_1':0.0},(15,155):{'3_1':0.03},(15,154):{'3_1':0.0},(15,152):{'3_1':0.03},(15,151):{'3_1':0.03},(15,150):{'3_1':0.0,'4_1':0.0},(15,149):{'3_1':0.0,'4_1':0.0},(15,148):{'3_1':0.03},(15,147):{'3_1':0.0},(15,146):{'3_1':0.03},(15,145):{'3_1':0.0},(15,144):{'3_1':0.0},(15,143):{'3_1':0.03},(15,142):{'3_1':0.0},(15,141):{'3_1':0.03,'4_1':0.0},(15,140):{'3_1':0.0},(15,139):{'3_1':0.0},(15,138):{'3_1':0.0},(15,137):{'3_1':0.0},(15,136):{'3_1':0.0,'4_1':0.0},(15,135):{'3_1':0.0,'4_1':0.0},(15,134):{'3_1':0.0,'4_1':0.0},(15,133):{'3_1':0.0},(15,132):{'3_1':0.03,'4_1':0.0},(15,131):{'3_1':0.03},(15,130):{'3_1':0.03,'4_1':0.0},(15,129):{'3_1':0.0},(15,128):{'3_1':0.0},(15,127):{'3_1':0.0},(15,126):{'3_1':0.03},(15,125):{'3_1':0.03,'4_1':0.0},(15,123):{'3_1':0.03},(15,122):{'3_1':0.0},(15,121):{'3_1':0.0},(15,120):{'3_1':0.0},(15,119):{'3_1':0.0},(15,118):{'3_1':0.0},(15,117):{'3_1':0.0},(15,116):{'3_1':0.03},(15,115):{'3_1':0.03},(15,114):{'3_1':0.06},(15,113):{'3_1':0.03},(15,112):{'3_1':0.0},(15,111):{'3_1':0.0},(15,110):{'3_1':0.0},(15,109):{'3_1':0.0},(15,108):{'3_1':0.03},(15,107):{'3_1':0.03},(15,104):{'3_1':0.0},(15,103):{'3_1':0.0},(15,102):{'3_1':0.0},(15,101):{'3_1':0.0},(15,100):{'3_1':0.0},(15,99):{'3_1':0.0},(15,98):{'3_1':0.0},(15,97):{'3_1':0.0},(15,94):{'3_1':0.0},(15,92):{'3_1':0.0},(15,63):{'3_1':0.0},(16,459):{'3_1':0.9,'5_1':0.03,'6_2':0.0},(16,458):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(16,457):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_19':0.0},(16,456):{'3_1':0.9,'5_2':0.0,'7_1':0.0},(16,455):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(16,454):{'3_1':0.9,'5_1':0.0,'8_19':0.0},(16,453):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0},(16,452):{'3_1':0.9,'5_2':0.0},(16,451):{'3_1':0.87,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(16,450):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_2':0.0},(16,449):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'8_18':0.0},(16,448):{'3_1':0.84,'6_2':0.0,'7_3':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(16,447):{'3_1':0.87,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(16,446):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0},(16,445):{'3_1':0.84,'8_20|3_1#3_1':0.0,'7_3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(16,444):{'3_1':0.87,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(16,443):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_5':0.0,'8_19':0.0,'-3':0.0},(16,442):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(16,441):{'3_1':0.87,'7_2':0.0,'8_2':0.0,'8_4':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(16,440):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(16,439):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(16,438):{'3_1':0.81,'4_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'-3':0.0},(16,437):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(16,436):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(16,435):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(16,434):{'3_1':0.78,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(16,433):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(16,432):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0},(16,431):{'3_1':0.81,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(16,430):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_4':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(16,429):{'3_1':0.84,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(16,428):{'3_1':0.78,'5_1':0.09,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(16,427):{'3_1':0.72,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.03,'4_1':0.0,'8_2':0.0,'7_3':0.0,'8_10':0.0,'-3':0.0},(16,426):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_2':0.0,'8_10':0.0},(16,425):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.03,'8_2':0.0,'5_2':0.0,'8_10':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_14':0.0},(16,424):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_5':0.0,'8_10':0.0},(16,423):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0},(16,422):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0,'8_6':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(16,421):{'3_1':0.69,'5_1':0.03,'6_2':0.03,'8_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'4_1':0.0},(16,420):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0},(16,419):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(16,418):{'3_1':0.72,'8_20|3_1#3_1':0.03,'8_2':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(16,417):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_10':0.0},(16,416):{'3_1':0.75,'5_1':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(16,415):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(16,414):{'3_1':0.63,'5_1':0.06,'8_20|3_1#3_1':0.06,'5_2':0.06,'8_2':0.03,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(16,413):{'3_1':0.6,'5_1':0.15,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_2':0.0,'5_2':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0,'1':-0.03},(16,412):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'-3':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0},(16,411):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_10':0.0,'3_1#5_1':0.0,'-3':0.0},(16,410):{'3_1':0.66,'5_1':0.12,'7_1':0.0,'8_2':0.0,'6_2':0.0,'7_2':0.0,'5_2':0.0,'7_5':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(16,409):{'3_1':0.63,'5_1':0.09,'6_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_4':0.0,'8_4':0.0,'8_10':0.0,'-3':0.0},(16,408):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0,'8_10':0.0,'3_1#5_1':0.0},(16,407):{'3_1':0.69,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'8_4':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(16,406):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(16,405):{'3_1':0.72,'5_2':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_10':0.0,'-3':0.0},(16,404):{'3_1':0.84,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_10':0.0},(16,403):{'3_1':0.84,'8_20|3_1#3_1':0.03,'8_10':0.0,'5_1':0.0,'4_1':0.0},(16,402):{'3_1':0.78,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_10':0.0,'5_1':0.0,'6_3':0.0},(16,401):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'8_10':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(16,400):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_3':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(16,399):{'3_1':0.84,'4_1':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_6':0.0,'8_9':0.0},(16,398):{'3_1':0.84,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'8_10':0.0,'-3':0.0},(16,397):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0,'6_1':0.0,'6_2':0.0,'8_10':0.0},(16,396):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(16,395):{'3_1':0.72,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_18':0.0,'-3':0.0},(16,394):{'3_1':0.66,'8_20|3_1#3_1':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0},(16,393):{'3_1':0.63,'8_20|3_1#3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(16,392):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(16,391):{'3_1':0.69,'5_1':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(16,390):{'3_1':0.63,'8_20|3_1#3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'-3':0.0},(16,389):{'3_1':0.51,'4_1':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'8_8':0.0,'8_10':0.0},(16,388):{'3_1':0.42,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'3_1#5_2':0.0},(16,387):{'3_1':0.39,'5_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(16,386):{'3_1':0.18,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'8_10':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_12':0.0,'-3':0.0},(16,385):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(16,384):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(16,383):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'-3':0.0},(16,382):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_18':0.0,'8_20|3_1#3_1':0.0},(16,381):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(16,380):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0},(16,379):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_8':0.0,'8_10':0.0,'3_1#5_2':0.0},(16,378):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(16,377):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(16,376):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_6':0.0},(16,375):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(16,374):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(16,373):{'3_1':0.06,'5_2':0.0},(16,372):{'3_1':0.03,'6_3':0.0,'4_1':0.0},(16,371):{'3_1':0.03,'6_3':0.0,'5_2':0.0},(16,370):{'3_1':0.06,'6_3':0.0},(16,369):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_2':0.0},(16,368):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_8':0.0},(16,367):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'8_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(16,366):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(16,365):{'3_1':0.06,'4_1':0.0},(16,364):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'8_8':0.0},(16,363):{'3_1':0.06,'5_2':0.0,'8_8':0.0},(16,362):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_9':0.0},(16,361):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(16,360):{'3_1':0.0,'6_3':0.0,'8_8':0.0},(16,359):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(16,358):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(16,357):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(16,356):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(16,355):{'3_1':0.03,'5_2':0.0,'8_8':0.0},(16,354):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(16,353):{'3_1':0.03,'6_3':0.0,'4_1':0.0},(16,352):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(16,351):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0},(16,350):{'3_1':0.03,'4_1':0.0},(16,349):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(16,348):{'3_1':0.0,'5_2':0.0},(16,347):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(16,346):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(16,345):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,344):{'3_1':0.06,'5_2':0.0},(16,343):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_14':0.0},(16,342):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(16,341):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'8_14':0.0},(16,340):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(16,339):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(16,338):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0},(16,337):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(16,336):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(16,335):{'3_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0},(16,334):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0},(16,333):{'3_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(16,332):{'3_1':0.06,'6_1':0.0},(16,331):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(16,330):{'3_1':0.09,'8_20|3_1#3_1':0.0},(16,329):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(16,328):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(16,327):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(16,326):{'3_1':0.03,'4_1':0.0},(16,325):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(16,324):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(16,323):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'5_2':0.0,'8_14':0.0},(16,322):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(16,321):{'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(16,320):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(16,319):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(16,318):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,317):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(16,316):{'3_1':0.06,'4_1':0.0},(16,315):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(16,314):{'3_1':0.06},(16,313):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(16,312):{'3_1':0.03,'4_1':0.0},(16,311):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(16,310):{'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(16,309):{'3_1':0.03,'4_1':0.0},(16,308):{'3_1':0.09,'5_2':0.0},(16,307):{'3_1':0.03,'4_1':0.0},(16,306):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(16,305):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(16,304):{'3_1':0.03,'5_2':0.0},(16,303):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(16,302):{'3_1':0.03,'5_2':0.0},(16,301):{'3_1':0.03,'4_1':0.0},(16,300):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(16,299):{'3_1':0.03,'6_1':0.0,'7_5':0.0},(16,298):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(16,297):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(16,296):{'3_1':0.03,'5_2':0.0},(16,295):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(16,294):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(16,293):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(16,292):{'3_1':0.03,'5_2':0.0},(16,291):{'3_1':0.03,'4_1':0.0},(16,290):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(16,289):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(16,288):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(16,287):{'3_1':0.03,'4_1':0.0},(16,286):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(16,285):{'3_1':0.03,'5_2':0.0,'8_14':0.0},(16,284):{'3_1':0.06,'4_1':0.0},(16,283):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_14':0.0},(16,282):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,281):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,280):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(16,279):{'3_1':0.03,'4_1':0.0},(16,278):{'3_1':0.03,'5_2':0.0},(16,277):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(16,276):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(16,275):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(16,274):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(16,273):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,272):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_1':0.0},(16,271):{'5_1':0.0,'3_1':0.0,'5_2':0.0},(16,270):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(16,269):{'3_1':0.03,'5_1':0.0},(16,268):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(16,267):{'3_1':0.03,'5_2':0.0},(16,266):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(16,265):{'3_1':0.06,'5_1':0.0,'-3':0.0},(16,264):{'3_1':0.09,'4_1':0.0},(16,263):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(16,262):{'3_1':0.03,'3_1#5_2':0.0,'-3':0.0},(16,261):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(16,260):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(16,259):{'3_1':0.09},(16,258):{'3_1':0.03},(16,257):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(16,256):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(16,255):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(16,254):{'3_1':0.0,'5_1':0.0},(16,253):{'3_1':0.0},(16,252):{'3_1':0.03},(16,251):{'3_1':0.0},(16,250):{'3_1':0.03,'4_1':0.0},(16,249):{'3_1':0.06,'4_1':0.0},(16,248):{'3_1':0.06},(16,247):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,246):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(16,245):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,244):{'3_1':0.03},(16,243):{'5_2':0.0,'4_1':0.0,'3_1':0.0},(16,242):{'3_1':0.0},(16,241):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(16,240):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(16,239):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,238):{'3_1':0.0,'5_2':0.0},(16,237):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(16,236):{'3_1':0.03,'6_2':0.0},(16,235):{'3_1':0.0,'5_2':0.0},(16,234):{'3_1':0.03},(16,233):{'3_1':0.0},(16,232):{'3_1':0.0},(16,231):{'3_1':0.0,'4_1':0.0},(16,229):{'3_1':0.03},(16,228):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,227):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(16,226):{'3_1':0.0,'5_2':0.0},(16,225):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(16,224):{'3_1':0.06,'4_1':0.0},(16,223):{'3_1':0.06,'4_1':0.0},(16,222):{'3_1':0.03,'4_1':0.0},(16,221):{'3_1':0.0},(16,220):{'3_1':0.0,'4_1':0.0},(16,219):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(16,218):{'3_1':0.03,'4_1':0.0},(16,217):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(16,216):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(16,215):{'3_1':0.06,'4_1':0.0},(16,214):{'3_1':0.03,'4_1':0.0},(16,213):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(16,212):{'3_1':0.03},(16,211):{'3_1':0.03,'4_1':0.0},(16,210):{'3_1':0.03},(16,209):{'3_1':0.06,'4_1':0.0},(16,208):{'3_1':0.06,'4_1':0.0},(16,207):{'3_1':0.06},(16,206):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(16,205):{'3_1':0.06,'5_2':0.0},(16,204):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(16,203):{'3_1':0.03,'5_2':0.0},(16,202):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(16,201):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,200):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(16,199):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(16,198):{'3_1':0.03},(16,197):{'3_1':0.03},(16,196):{'3_1':0.06,'5_2':0.03},(16,195):{'3_1':0.03,'4_1':0.0},(16,194):{'3_1':0.06,'4_1':0.0},(16,193):{'3_1':0.03,'4_1':0.0},(16,192):{'3_1':0.03},(16,191):{'3_1':0.06},(16,190):{'3_1':0.06},(16,189):{'3_1':0.06,'4_1':0.0},(16,188):{'3_1':0.12,'4_1':0.0},(16,187):{'3_1':0.03},(16,186):{'3_1':0.03,'4_1':0.0},(16,185):{'3_1':0.09},(16,184):{'3_1':0.06,'4_1':0.0},(16,183):{'3_1':0.0},(16,182):{'3_1':0.06},(16,181):{'3_1':0.06},(16,180):{'3_1':0.03,'4_1':0.0},(16,179):{'3_1':0.0},(16,178):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(16,177):{'3_1':0.0,'4_1':0.0},(16,176):{'3_1':0.03,'4_1':0.0},(16,175):{'3_1':0.06},(16,174):{'3_1':0.0},(16,173):{'3_1':0.03,'4_1':0.0},(16,172):{'3_1':0.06},(16,171):{'3_1':0.03},(16,170):{'3_1':0.03,'4_1':0.0},(16,169):{'3_1':0.03},(16,168):{'3_1':0.0},(16,167):{'3_1':0.06},(16,166):{'3_1':0.06},(16,165):{'3_1':0.03,'4_1':0.0},(16,164):{'3_1':0.03},(16,163):{'3_1':0.0,'4_1':0.0},(16,162):{'3_1':0.03},(16,161):{'3_1':0.03},(16,160):{'3_1':0.0},(16,159):{'3_1':0.03,'4_1':0.0},(16,158):{'3_1':0.03},(16,157):{'3_1':0.03},(16,156):{'3_1':0.06},(16,155):{'3_1':0.03},(16,154):{'4_1':0.0,'3_1':0.0},(16,153):{'3_1':0.0},(16,152):{'3_1':0.03},(16,151):{'3_1':0.03},(16,150):{'3_1':0.03},(16,149):{'3_1':0.0},(16,148):{'3_1':0.0,'4_1':0.0},(16,147):{'3_1':0.0,'4_1':0.0},(16,146):{'3_1':0.0},(16,145):{'3_1':0.0},(16,144):{'3_1':0.03},(16,143):{'3_1':0.03},(16,142):{'3_1':0.03},(16,141):{'3_1':0.03},(16,140):{'3_1':0.03,'4_1':0.0},(16,139):{'3_1':0.0,'4_1':0.0},(16,138):{'3_1':0.0},(16,137):{'4_1':0.0,'3_1':0.0},(16,135):{'3_1':0.03},(16,134):{'3_1':0.0},(16,133):{'3_1':0.0},(16,132):{'3_1':0.0,'4_1':0.0},(16,131):{'3_1':0.06},(16,130):{'3_1':0.03},(16,129):{'3_1':0.06,'4_1':0.0},(16,128):{'3_1':0.0},(16,127):{'3_1':0.0},(16,126):{'3_1':0.03},(16,125):{'3_1':0.0},(16,124):{'3_1':0.06},(16,123):{'3_1':0.0,'4_1':0.0},(16,122):{'3_1':0.03},(16,121):{'3_1':0.0},(16,120):{'3_1':0.0},(16,119):{'3_1':0.0},(16,118):{'3_1':0.0},(16,117):{'3_1':0.03},(16,116):{'3_1':0.03},(16,115):{'3_1':0.0},(16,114):{'3_1':0.0},(16,113):{'3_1':0.0},(16,112):{'3_1':0.0},(16,111):{'3_1':0.0},(16,110):{'3_1':0.0},(16,109):{'3_1':0.0},(16,108):{'3_1':0.03},(16,107):{'3_1':0.0},(16,106):{'3_1':0.0},(16,105):{'3_1':0.0},(16,104):{'3_1':0.0},(16,103):{'3_1':0.0},(16,102):{'3_1':0.0},(16,101):{'3_1':0.0},(16,100):{'3_1':0.0},(16,99):{'3_1':0.0},(16,98):{'3_1':0.03},(16,96):{'3_1':0.0},(16,94):{'3_1':0.0},(16,65):{'3_1':0.0},(16,64):{'3_1':0.0},(17,459):{'3_1':0.9,'8_19':0.0},(17,458):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(17,457):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_2':0.0},(17,456):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(17,455):{'3_1':0.9,'5_2':0.03,'5_1':0.0,'8_6':0.0},(17,454):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(17,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(17,452):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(17,451):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(17,450):{'3_1':0.9,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(17,449):{'3_1':0.9,'6_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(17,448):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_6':0.0,'5_1':0.0,'6_2':0.0},(17,447):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(17,446):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0},(17,445):{'3_1':0.87,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(17,444):{'3_1':0.87,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(17,443):{'3_1':0.84,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'-3':0.0},(17,442):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0,'7_2':0.0,'-3':0.0},(17,441):{'3_1':0.78,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(17,440):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(17,439):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(17,438):{'3_1':0.84,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0},(17,437):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(17,436):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(17,435):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_6':0.0,'-3':0.0},(17,434):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(17,433):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'8_18':0.0},(17,432):{'3_1':0.84,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(17,431):{'3_1':0.81,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0},(17,430):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'8_18':0.0},(17,429):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_18':0.0,'-3':0.0,'1':-0.03},(17,428):{'3_1':0.84,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(17,427):{'3_1':0.78,'8_20|3_1#3_1':0.06,'5_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0},(17,426):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_2':0.0,'8_5':0.0},(17,425):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(17,424):{'3_1':0.81,'5_1':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_6':0.0,'8_7':0.0,'-3':0.0},(17,423):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(17,422):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0},(17,421):{'3_1':0.69,'5_1':0.09,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_1':0.0,'-3':0.0},(17,420):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_3':0.0,'8_10':0.0,'-3':0.0},(17,419):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'8_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(17,418):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(17,417):{'3_1':0.69,'8_20|3_1#3_1':0.09,'5_1':0.06,'6_2':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'8_10':0.0,'-3':0.0},(17,416):{'3_1':0.78,'8_2':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(17,415):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(17,414):{'3_1':0.69,'5_1':0.03,'8_2':0.03,'5_2':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0,'8_10':0.0},(17,413):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(17,412):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(17,411):{'3_1':0.63,'5_1':0.12,'8_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(17,410):{'3_1':0.6,'5_1':0.15,'8_2':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(17,409):{'3_1':0.54,'5_1':0.15,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_10':0.0},(17,408):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(17,407):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(17,406):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_10':0.0,'8_4':0.0,'-3':0.0},(17,405):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_10':0.0,'3_1#5_1':0.0,'-3':0.0},(17,404):{'3_1':0.81,'8_20|3_1#3_1':0.06,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(17,403):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(17,402):{'3_1':0.81,'8_20|3_1#3_1':0.06,'6_2':0.0,'5_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(17,401):{'3_1':0.78,'8_20|3_1#3_1':0.03,'8_4':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(17,400):{'3_1':0.84,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(17,399):{'3_1':0.78,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_10':0.0},(17,398):{'3_1':0.75,'8_20|3_1#3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(17,397):{'3_1':0.72,'8_20|3_1#3_1':0.06,'4_1':0.0,'5_2':0.0,'7_2':0.0},(17,396):{'3_1':0.66,'8_20|3_1#3_1':0.06,'8_10':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(17,395):{'3_1':0.69,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(17,394):{'3_1':0.78,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'8_10':0.0},(17,393):{'3_1':0.66,'8_20|3_1#3_1':0.06,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_2':0.0,'8_4':0.0},(17,392):{'3_1':0.6,'8_20|3_1#3_1':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(17,391):{'3_1':0.6,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'4_1':0.0,'8_10':0.0},(17,390):{'3_1':0.54,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'8_10':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(17,389):{'3_1':0.57,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'8_10':0.0},(17,388):{'3_1':0.36,'8_20|3_1#3_1':0.06,'5_1':0.0,'8_10':0.0,'4_1':0.0,'5_2':0.0,'8_8':0.0,'-3':0.0},(17,387):{'3_1':0.27,'4_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(17,386):{'3_1':0.27,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'8_10':0.0,'-3':0.0},(17,385):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(17,384):{'3_1':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(17,383):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'-3':0.0,'5_2':0.0},(17,382):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(17,381):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_18':0.0,'-3':0.0},(17,380):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(17,379):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(17,378):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'-3':0.0},(17,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'-3':0.0},(17,376):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(17,375):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(17,374):{'3_1':0.03,'4_1':0.03,'8_8':0.0,'5_2':0.0,'7_2':0.0},(17,373):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_8':0.0},(17,372):{'3_1':0.06,'4_1':0.0},(17,371):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(17,370):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(17,369):{'3_1':0.12,'5_2':0.0},(17,368):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(17,367):{'3_1':0.06,'5_2':0.0},(17,366):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(17,365):{'3_1':0.03,'4_1':0.0},(17,364):{'3_1':0.09,'5_2':0.0},(17,363):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(17,362):{'3_1':0.03,'4_1':0.0,'8_8':0.0},(17,361):{'3_1':0.03,'5_2':0.0},(17,360):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(17,359):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,358):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(17,357):{'3_1':0.03,'4_1':0.0},(17,356):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(17,355):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(17,354):{'3_1':0.06,'5_2':0.0},(17,353):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(17,352):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(17,351):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_8':0.0},(17,350):{'3_1':0.03},(17,349):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(17,348):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(17,347):{'3_1':0.06,'5_2':0.0},(17,346):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,345):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(17,344):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(17,343):{'3_1':0.03,'5_2':0.0},(17,342):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(17,341):{'3_1':0.06,'4_1':0.0},(17,340):{'3_1':0.09,'4_1':0.0},(17,339):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(17,338):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0},(17,337):{'3_1':0.06,'4_1':0.0,'3_1#5_2':0.0},(17,336):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(17,335):{'3_1':0.06,'5_2':0.0},(17,334):{'3_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0},(17,333):{'3_1':0.06,'5_2':0.0},(17,332):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,331):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(17,330):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(17,329):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(17,328):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(17,327):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(17,326):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(17,325):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(17,324):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(17,323):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,322):{'3_1':0.06,'4_1':0.0},(17,321):{'3_1':0.06,'5_2':0.0},(17,320):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(17,319):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(17,318):{'3_1':0.03},(17,317):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(17,316):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(17,315):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(17,314):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(17,313):{'3_1':0.06,'5_2':0.0},(17,312):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(17,311):{'3_1':0.06,'4_1':0.0},(17,310):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(17,309):{'3_1':0.03,'5_2':0.03,'5_1':0.0},(17,308):{'3_1':0.06,'4_1':0.0,'3_1#5_1':0.0},(17,307):{'3_1':0.03,'4_1':0.0},(17,306):{'3_1':0.06,'5_2':0.0},(17,305):{'5_2':0.0,'3_1':0.0},(17,304):{'3_1':0.06,'5_2':0.0},(17,303):{'3_1':0.06},(17,302):{'3_1':0.03,'4_1':0.0},(17,301):{'3_1':0.03},(17,300):{'3_1':0.0,'5_2':0.0},(17,299):{'3_1':0.06},(17,298):{'3_1':0.03},(17,297):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(17,296):{'3_1':0.0},(17,295):{'3_1':0.0,'5_2':0.0},(17,294):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(17,293):{'3_1':0.03,'5_2':0.0},(17,292):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(17,291):{'3_1':0.03,'5_2':0.0},(17,290):{'3_1':0.03,'5_2':0.0},(17,289):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,288):{'3_1':0.03,'5_2':0.03},(17,287):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,286):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(17,285):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(17,284):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(17,283):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(17,282):{'3_1':0.03},(17,281):{'3_1':0.03,'5_2':0.0},(17,280):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(17,279):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,278):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(17,277):{'3_1':0.0,'5_2':0.0},(17,276):{'3_1':0.03,'4_1':0.0},(17,275):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(17,274):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(17,273):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(17,272):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(17,271):{'3_1':0.03,'5_1':0.0},(17,270):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(17,269):{'3_1':0.06},(17,268):{'3_1':0.03,'5_2':0.0},(17,267):{'3_1':0.03,'5_1':0.0},(17,266):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(17,265):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,264):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_5':0.0},(17,263):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(17,262):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(17,261):{'3_1':0.03},(17,260):{'3_1':0.06,'5_2':0.0},(17,259):{'3_1':0.12,'5_1':0.0},(17,258):{'3_1':0.06},(17,257):{'3_1':0.06,'4_1':0.0},(17,256):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(17,255):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(17,254):{'3_1':0.03},(17,253):{'3_1':0.0,'4_1':0.0},(17,252):{'3_1':0.03,'5_2':0.0},(17,251):{'3_1':0.06,'5_2':0.0},(17,250):{'3_1':0.0,'5_2':0.0},(17,249):{'3_1':0.06,'4_1':0.0},(17,248):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(17,247):{'3_1':0.06},(17,246):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(17,245):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(17,244):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(17,243):{'3_1':0.0},(17,242):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(17,241):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(17,240):{'3_1':0.06},(17,239):{'3_1':0.0,'5_2':0.0},(17,238):{'3_1':0.03},(17,237):{'3_1':0.0,'5_2':0.0},(17,236):{'3_1':0.0},(17,235):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(17,234):{'3_1':0.0,'5_2':0.0},(17,233):{'3_1':0.0,'4_1':0.0},(17,232):{'3_1':0.03,'5_2':0.0},(17,231):{'3_1':0.0},(17,230):{'3_1':0.03,'5_2':0.0},(17,229):{'3_1':0.03,'4_1':0.0},(17,228):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(17,227):{'3_1':0.06,'5_2':0.0},(17,226):{'3_1':0.03,'4_1':0.0},(17,225):{'3_1':0.0},(17,224):{'3_1':0.06,'5_2':0.0},(17,223):{'3_1':0.03,'5_2':0.0},(17,222):{'3_1':0.06,'4_1':0.0},(17,221):{'3_1':0.06},(17,220):{'3_1':0.03,'4_1':0.0},(17,219):{'3_1':0.03,'5_1':0.0},(17,218):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(17,217):{'3_1':0.03},(17,216):{'3_1':0.03,'5_1':0.0},(17,215):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,214):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(17,213):{'3_1':0.06},(17,212):{'3_1':0.03},(17,211):{'3_1':0.09,'4_1':0.0},(17,210):{'3_1':0.06},(17,209):{'3_1':0.03},(17,208):{'3_1':0.03,'5_1':0.0},(17,207):{'3_1':0.06,'5_1':0.0},(17,206):{'3_1':0.09,'5_2':0.0},(17,205):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(17,204):{'3_1':0.03,'5_2':0.0},(17,203):{'3_1':0.09,'5_2':0.0},(17,202):{'3_1':0.03,'5_2':0.0},(17,201):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(17,200):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(17,199):{'3_1':0.06},(17,198):{'3_1':0.06},(17,197):{'3_1':0.0,'4_1':0.0},(17,196):{'3_1':0.03},(17,195):{'3_1':0.03,'4_1':0.0},(17,194):{'3_1':0.06},(17,193):{'3_1':0.06},(17,192):{'3_1':0.06},(17,191):{'3_1':0.09,'4_1':0.0},(17,190):{'3_1':0.06,'4_1':0.0},(17,189):{'3_1':0.03},(17,188):{'3_1':0.03,'4_1':0.0},(17,187):{'3_1':0.0,'4_1':0.0},(17,186):{'3_1':0.06},(17,185):{'3_1':0.06,'4_1':0.0},(17,184):{'3_1':0.09,'4_1':0.0},(17,183):{'3_1':0.06},(17,182):{'3_1':0.03},(17,181):{'3_1':0.03,'4_1':0.0},(17,180):{'3_1':0.03,'5_1':0.0},(17,179):{'3_1':0.09},(17,178):{'3_1':0.06},(17,177):{'3_1':0.0},(17,176):{'3_1':0.03},(17,175):{'3_1':0.03,'4_1':0.0},(17,174):{'3_1':0.03},(17,173):{'3_1':0.06,'4_1':0.0},(17,172):{'3_1':0.06,'4_1':0.0},(17,171):{'3_1':0.06,'4_1':0.0},(17,170):{'3_1':0.03},(17,169):{'3_1':0.0,'4_1':0.0},(17,168):{'3_1':0.03,'4_1':0.0},(17,167):{'3_1':0.03},(17,166):{'3_1':0.03},(17,165):{'3_1':0.0},(17,164):{'3_1':0.03},(17,163):{'3_1':0.03},(17,162):{'3_1':0.0},(17,161):{'3_1':0.06},(17,160):{'3_1':0.03},(17,159):{'3_1':0.03},(17,158):{'3_1':0.0},(17,157):{'3_1':0.03,'4_1':0.0},(17,156):{'4_1':0.0,'3_1':0.0},(17,155):{'3_1':0.03},(17,154):{'3_1':0.0,'4_1':0.0},(17,153):{'3_1':0.0,'4_1':0.0},(17,152):{'3_1':0.0},(17,151):{'3_1':0.0},(17,150):{'3_1':0.0,'4_1':0.0},(17,149):{'3_1':0.03,'4_1':0.0},(17,148):{'3_1':0.0},(17,147):{'3_1':0.0,'4_1':0.0},(17,146):{'3_1':0.03,'4_1':0.0},(17,145):{'3_1':0.0},(17,144):{'3_1':0.0},(17,143):{'3_1':0.03},(17,142):{'3_1':0.0},(17,141):{'3_1':0.0},(17,140):{'3_1':0.0},(17,139):{'3_1':0.03},(17,138):{'3_1':0.0,'4_1':0.0},(17,137):{'3_1':0.0},(17,136):{'3_1':0.0},(17,135):{'3_1':0.03},(17,134):{'3_1':0.0,'5_2':0.0},(17,133):{'3_1':0.0,'4_1':0.0},(17,132):{'3_1':0.0},(17,131):{'3_1':0.03,'4_1':0.0},(17,130):{'3_1':0.03},(17,129):{'3_1':0.03},(17,128):{'3_1':0.0},(17,127):{'3_1':0.03},(17,126):{'3_1':0.0},(17,125):{'3_1':0.0},(17,124):{'3_1':0.03},(17,123):{'3_1':0.03},(17,122):{'3_1':0.0},(17,121):{'3_1':0.03},(17,120):{'3_1':0.0},(17,119):{'3_1':0.03},(17,118):{'3_1':0.0},(17,117):{'3_1':0.03},(17,116):{'3_1':0.0},(17,115):{'3_1':0.0},(17,114):{'3_1':0.0},(17,113):{'3_1':0.03},(17,112):{'3_1':0.03},(17,111):{'3_1':0.0},(17,110):{'3_1':0.03},(17,109):{'3_1':0.03},(17,108):{'3_1':0.0},(17,107):{'3_1':0.0},(17,106):{'3_1':0.0},(17,105):{'3_1':0.03},(17,103):{'3_1':0.0},(17,101):{'3_1':0.0},(17,100):{'3_1':0.0},(17,95):{'3_1':0.0},(17,92):{'3_1':0.0},(17,90):{'3_1':0.0},(17,66):{'3_1':0.0},(18,459):{'3_1':0.87,'4_1':0.03,'6_2':0.0,'5_1':0.0},(18,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0},(18,457):{'3_1':0.9,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(18,456):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(18,455):{'3_1':0.84,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(18,454):{'3_1':0.9,'5_2':0.0,'6_2':0.0},(18,453):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(18,452):{'3_1':0.81,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0},(18,451):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0},(18,450):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'7_1':0.0},(18,449):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(18,448):{'3_1':0.9,'8_20|3_1#3_1':0.0,'4_1':0.0},(18,447):{'3_1':0.9,'5_1':0.0,'7_1':0.0},(18,446):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0},(18,445):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(18,444):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'8_4':0.0,'8_10':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(18,443):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_2':0.0,'8_10':0.0,'-3':0.0},(18,442):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0,'8_2':0.0,'-3':0.0},(18,441):{'3_1':0.78,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_4':0.0,'8_10':0.0,'8_18':0.0,'-3':0.0},(18,440):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_10':0.0},(18,439):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(18,438):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(18,437):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(18,436):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0},(18,435):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(18,434):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0,'8_10':0.0},(18,433):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'3_1#5_2':0.0,'1':-0.03},(18,432):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_5':0.0,'7_1':0.0,'8_10':0.0,'3_1#5_2':0.0,'-3':0.0},(18,431):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_1':0.0,'8_2':0.0},(18,430):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_10':0.0,'7_1':0.0,'8_2':0.0,'8_5':0.0,'8_19':0.0},(18,429):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(18,428):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(18,427):{'3_1':0.81,'8_20|3_1#3_1':0.03,'8_19':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(18,426):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_7':0.0},(18,425):{'3_1':0.81,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(18,424):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0,'8_18':0.0,'8_19':0.0},(18,423):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(18,422):{'3_1':0.78,'5_1':0.03,'6_2':0.03,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(18,421):{'3_1':0.72,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_1':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_10':0.0},(18,420):{'3_1':0.72,'5_1':0.03,'7_3':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_8':0.0,'8_18':0.0,'-3':0.0},(18,419):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_2':0.0,'1':-0.03},(18,418):{'3_1':0.75,'5_1':0.09,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_3':0.0,'3_1#5_1':0.0},(18,417):{'3_1':0.69,'5_1':0.09,'8_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_18':0.0},(18,416):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_6':0.0,'8_15':0.0,'-3':0.0},(18,415):{'3_1':0.69,'5_1':0.06,'8_20|3_1#3_1':0.06,'8_2':0.03,'8_21|3_1#4_1':0.0,'5_2':0.0,'7_1':0.0,'8_10':0.0,'8_19':0.0,'-3':0.0},(18,414):{'3_1':0.78,'5_1':0.09,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0},(18,413):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_1':0.0,'8_7':0.0,'3_1#5_1':0.0,'-3':0.0},(18,412):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'8_19':0.0,'6_2':0.0,'3_1#5_2':0.0},(18,411):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_18':0.0,'3_1#5_2':0.0,'-3':0.0},(18,410):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.03,'7_5':0.0,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(18,409):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'3_1#5_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(18,408):{'3_1':0.72,'5_1':0.06,'6_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_9':0.0,'8_10':0.0,'8_19':0.0},(18,407):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(18,406):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_18':0.0},(18,405):{'3_1':0.72,'5_2':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.03,'8_18':0.0},(18,404):{'3_1':0.69,'8_20|3_1#3_1':0.09,'5_1':0.03,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_7':0.0},(18,403):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(18,402):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_10':0.0},(18,401):{'3_1':0.75,'8_20|3_1#3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(18,400):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0},(18,399):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(18,398):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0},(18,397):{'3_1':0.75,'8_20|3_1#3_1':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0,'8_10':0.0},(18,396):{'3_1':0.75,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_19':0.0},(18,395):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_10':0.0},(18,394):{'3_1':0.72,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0,'1':-0.03},(18,393):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'8_10':0.0,'8_18':0.0},(18,392):{'3_1':0.6,'8_20|3_1#3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0},(18,391):{'3_1':0.6,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_10':0.0,'6_3':0.0,'4_1':0.0,'6_2':0.0},(18,390):{'3_1':0.51,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'5_1':0.0,'6_3':0.0},(18,389):{'3_1':0.48,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_10':0.0,'8_18':0.0,'-3':0.0},(18,388):{'3_1':0.33,'4_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_1':0.0,'6_3':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(18,387):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(18,386):{'3_1':0.27,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_8':0.0,'-3':0.0},(18,385):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'8_14':0.0},(18,384):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_10':0.0,'3_1#5_1':0.0},(18,383):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(18,382):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_18':0.0,'8_21|3_1#4_1':0.0},(18,381):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'-3':0.0,'5_2':0.0,'3_1#5_2':0.0},(18,380):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(18,379):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_8':0.0},(18,378):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(18,377):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'8_20|3_1#3_1':0.0},(18,376):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(18,375):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(18,374):{'3_1':0.03,'4_1':0.0,'-3':0.0},(18,373):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'7_2':0.0},(18,372):{'3_1':0.0,'4_1':0.0},(18,371):{'3_1':0.06,'4_1':0.0,'8_2':0.0},(18,370):{'3_1':0.06,'4_1':0.0},(18,369):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(18,368):{'3_1':0.03,'4_1':0.0},(18,367):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(18,366):{'3_1':0.09,'5_2':0.0},(18,365):{'3_1':0.03,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(18,364):{'3_1':0.09,'5_2':0.0},(18,363):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(18,362):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(18,361):{'3_1':0.03,'4_1':0.0},(18,360):{'3_1':0.03,'4_1':0.0},(18,359):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,358):{'3_1':0.03,'5_2':0.0},(18,357):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_14':0.0},(18,356):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(18,355):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,354):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(18,353):{'3_1':0.06,'4_1':0.0},(18,352):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_2':0.0,'8_8':0.0,'8_14':0.0},(18,351):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(18,350):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(18,349):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(18,348):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_14':0.0},(18,347):{'3_1':0.06,'4_1':0.0},(18,346):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(18,345):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(18,344):{'3_1':0.0,'5_2':0.0},(18,343):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(18,342):{'3_1':0.09},(18,341):{'3_1':0.09},(18,340):{'3_1':0.03},(18,339):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(18,338):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(18,337):{'3_1':0.06,'5_2':0.0},(18,336):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(18,335):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(18,334):{'3_1':0.03,'6_3':0.0},(18,333):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,332):{'3_1':0.09,'4_1':0.0},(18,331):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(18,330):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(18,329):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(18,328):{'3_1':0.06,'4_1':0.0},(18,327):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(18,326):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(18,325):{'4_1':0.0,'3_1':0.0},(18,324):{'3_1':0.03,'4_1':0.0,'8_14':0.0},(18,323):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(18,322):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(18,321):{'3_1':0.06,'5_2':0.0},(18,320):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(18,319):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(18,318):{'3_1':0.06,'5_2':0.0},(18,317):{'3_1':0.03,'5_2':0.0},(18,316):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(18,315):{'3_1':0.06,'5_2':0.0},(18,314):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_14':0.0},(18,313):{'3_1':0.06,'5_2':0.0},(18,312):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(18,311):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0},(18,310):{'3_1':0.0,'6_2':0.0,'7_1':0.0},(18,309):{'3_1':0.0},(18,308):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(18,307):{'3_1':0.0,'5_2':0.0},(18,306):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(18,305):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(18,304):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(18,303):{'3_1':0.0},(18,302):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(18,301):{'3_1':0.03,'4_1':0.0},(18,300):{'3_1':0.0,'4_1':0.0},(18,299):{'3_1':0.03,'5_1':0.0},(18,298):{'3_1':0.03,'8_14':0.0},(18,297):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'7_5':0.0,'8_14':0.0},(18,296):{'3_1':0.03,'5_1':0.0},(18,295):{'3_1':0.0},(18,294):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(18,293):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_5':0.0},(18,292):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(18,291):{'3_1':0.03,'5_2':0.0},(18,290):{'3_1':0.06,'5_2':0.0},(18,289):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(18,288):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(18,287):{'3_1':0.06,'5_2':0.0,'7_5':0.0},(18,286):{'3_1':0.03,'4_1':0.0},(18,285):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(18,284):{'3_1':0.06,'5_2':0.0},(18,283):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_14':0.0},(18,282):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_14':0.0},(18,281):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,280):{'3_1':0.03},(18,279):{'3_1':0.03,'5_2':0.0},(18,278):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0},(18,277):{'3_1':0.03,'5_2':0.0,'8_2':0.0},(18,276):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(18,275):{'3_1':0.06,'4_1':0.0},(18,274):{'3_1':0.06},(18,273):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(18,272):{'3_1':0.03,'4_1':0.0},(18,271):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(18,270):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(18,269):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,268):{'3_1':0.03},(18,267):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(18,266):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(18,265):{'3_1':0.03,'4_1':0.0},(18,264):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,263):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(18,262):{'3_1':0.09,'5_2':0.0},(18,261):{'3_1':0.06,'5_2':0.0},(18,260):{'3_1':0.06,'5_1':0.0},(18,259):{'3_1':0.03},(18,258):{'3_1':0.09},(18,257):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(18,256):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(18,255):{'3_1':0.06,'4_1':0.0},(18,254):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,253):{'3_1':0.06,'4_1':0.0},(18,252):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,251):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(18,250):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,249):{'3_1':0.03,'5_2':0.0,'8_14':0.0},(18,248):{'3_1':0.03,'4_1':0.0},(18,247):{'3_1':0.03,'5_2':0.0},(18,246):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(18,245):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_14':0.0},(18,244):{'3_1':0.06,'4_1':0.0},(18,243):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(18,242):{'3_1':0.09,'4_1':0.0},(18,241):{'3_1':0.0,'5_2':0.0},(18,240):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(18,239):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(18,238):{'3_1':0.03,'5_2':0.0},(18,237):{'3_1':0.03,'6_3':0.0},(18,236):{'3_1':0.0},(18,235):{'3_1':0.03},(18,234):{'3_1':0.0,'5_2':0.0},(18,233):{'3_1':0.0},(18,232):{'3_1':0.06,'6_3':0.0},(18,231):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(18,230):{'3_1':0.0,'5_2':0.0},(18,229):{'3_1':0.03,'4_1':0.0},(18,228):{'3_1':0.03,'5_2':0.0},(18,227):{'3_1':0.06,'4_1':0.0},(18,226):{'4_1':0.0,'3_1':0.0},(18,225):{'3_1':0.03},(18,224):{'3_1':0.09,'5_2':0.0},(18,223):{'3_1':0.03},(18,222):{'3_1':0.12},(18,221):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(18,220):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(18,219):{'3_1':0.03},(18,218):{'3_1':0.0,'5_1':0.0},(18,217):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(18,216):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(18,215):{'3_1':0.06,'5_1':0.0},(18,214):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,213):{'3_1':0.09,'5_2':0.0},(18,212):{'3_1':0.03,'4_1':0.0},(18,211):{'3_1':0.06,'5_2':0.0},(18,210):{'3_1':0.06,'5_1':0.0},(18,209):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(18,208):{'3_1':0.06,'4_1':0.0},(18,207):{'3_1':0.06,'5_2':0.0},(18,206):{'3_1':0.0},(18,205):{'3_1':0.06},(18,204):{'3_1':0.03},(18,203):{'3_1':0.03,'5_2':0.0},(18,202):{'3_1':0.06,'5_2':0.0},(18,201):{'3_1':0.0},(18,200):{'3_1':0.0,'4_1':0.0},(18,199):{'3_1':0.03,'4_1':0.0},(18,198):{'3_1':0.03,'5_2':0.0},(18,197):{'3_1':0.0,'5_2':0.0},(18,196):{'3_1':0.03,'5_1':0.0,'7_2':0.0},(18,195):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(18,194):{'3_1':0.03},(18,193):{'3_1':0.06,'5_2':0.0},(18,192):{'3_1':0.0,'4_1':0.0},(18,191):{'3_1':0.06},(18,190):{'3_1':0.0},(18,189):{'3_1':0.06,'4_1':0.0},(18,188):{'3_1':0.03},(18,187):{'3_1':0.03},(18,186):{'3_1':0.06},(18,185):{'3_1':0.03,'4_1':0.0},(18,184):{'3_1':0.06},(18,183):{'3_1':0.03},(18,182):{'3_1':0.06,'4_1':0.0},(18,181):{'3_1':0.06},(18,180):{'3_1':0.03,'5_2':0.0},(18,179):{'3_1':0.06},(18,178):{'3_1':0.03,'4_1':0.0},(18,177):{'3_1':0.03},(18,176):{'3_1':0.03,'4_1':0.0},(18,175):{'3_1':0.0,'4_1':0.0},(18,174):{'3_1':0.06},(18,173):{'3_1':0.06},(18,172):{'3_1':0.03},(18,171):{'3_1':0.06},(18,170):{'3_1':0.0},(18,169):{'3_1':0.03},(18,168):{'3_1':0.03,'4_1':0.0},(18,167):{'3_1':0.03},(18,166):{'3_1':0.06},(18,165):{'3_1':0.03},(18,164):{'3_1':0.03},(18,163):{'3_1':0.0},(18,162):{'3_1':0.06},(18,161):{'3_1':0.03},(18,160):{'3_1':0.0},(18,159):{'3_1':0.0},(18,158):{'3_1':0.03},(18,157):{'3_1':0.0,'4_1':0.0},(18,156):{'3_1':0.03},(18,155):{'3_1':0.03},(18,154):{'3_1':0.0},(18,153):{'3_1':0.03},(18,151):{'3_1':0.03,'4_1':0.0},(18,150):{'3_1':0.06,'4_1':0.0},(18,149):{'3_1':0.0},(18,148):{'3_1':0.0},(18,147):{'3_1':0.03},(18,146):{'3_1':0.0},(18,145):{'3_1':0.06},(18,144):{'3_1':0.0},(18,143):{'3_1':0.0},(18,142):{'3_1':0.03,'4_1':0.0},(18,141):{'3_1':0.03,'4_1':0.0},(18,140):{'3_1':0.0},(18,139):{'3_1':0.03},(18,138):{'3_1':0.0,'4_1':0.0},(18,137):{'3_1':0.0,'4_1':0.0},(18,136):{'3_1':0.0,'4_1':0.0},(18,135):{'3_1':0.0},(18,134):{'3_1':0.0,'4_1':0.0},(18,133):{'3_1':0.0},(18,132):{'3_1':0.03,'4_1':0.0},(18,131):{'3_1':0.03},(18,130):{'3_1':0.0},(18,129):{'3_1':0.03},(18,128):{'3_1':0.03},(18,126):{'3_1':0.06},(18,124):{'3_1':0.0,'4_1':0.0},(18,123):{'3_1':0.0},(18,122):{'3_1':0.03},(18,121):{'3_1':0.0},(18,120):{'3_1':0.0},(18,119):{'3_1':0.06},(18,118):{'3_1':0.0},(18,117):{'3_1':0.0},(18,116):{'3_1':0.0},(18,115):{'3_1':0.09},(18,114):{'3_1':0.0},(18,113):{'3_1':0.0},(18,112):{'3_1':0.0},(18,111):{'3_1':0.03},(18,110):{'3_1':0.0},(18,109):{'3_1':0.0},(18,108):{'3_1':0.0},(18,107):{'3_1':0.0},(18,106):{'3_1':0.03},(18,105):{'3_1':0.03},(18,104):{'3_1':0.0},(18,103):{'3_1':0.0},(18,102):{'3_1':0.0},(18,99):{'3_1':0.0},(18,98):{'3_1':0.0},(18,97):{'3_1':0.0},(18,96):{'3_1':0.0},(18,95):{'3_1':0.0},(18,94):{'3_1':0.0},(19,459):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'7_5':0.0},(19,458):{'3_1':0.9,'6_2':0.0,'8_20|3_1#3_1':0.0},(19,457):{'3_1':0.9,'5_2':0.0,'8_5':0.0},(19,456):{'3_1':0.87,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0},(19,455):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(19,454):{'3_1':0.87,'5_1':0.0,'7_5':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(19,453):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(19,452):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(19,451):{'3_1':0.9,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(19,450):{'3_1':0.9,'7_3':0.0},(19,449):{'3_1':0.84,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(19,448):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(19,447):{'3_1':0.81,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(19,446):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(19,445):{'3_1':0.81,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_19':0.0},(19,444):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(19,443):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(19,442):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(19,441):{'3_1':0.84,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0},(19,440):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_18':0.0,'-3':0.0},(19,439):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(19,438):{'3_1':0.87,'7_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(19,437):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_2':0.0},(19,436):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(19,435):{'3_1':0.69,'6_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0,'7_3':0.0,'8_5':0.0,'-3':0.0},(19,434):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(19,433):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'8_2':0.0,'8_19':0.0},(19,432):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0,'8_19':0.0,'-3':0.0},(19,431):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0},(19,430):{'3_1':0.81,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(19,429):{'3_1':0.75,'8_20|3_1#3_1':0.06,'5_1':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(19,428):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0},(19,427):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_6':0.0},(19,426):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(19,425):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(19,424):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0,'8_18':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(19,423):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_2':0.0,'3_1#5_2':0.0,'6_2':0.0,'6_3':0.0},(19,422):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0,'3_1#5_1':0.0},(19,421):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(19,420):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_10':0.0},(19,419):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_2':0.0,'8_19':0.0,'3_1#5_1':0.0},(19,418):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(19,417):{'3_1':0.72,'5_1':0.12,'8_2':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(19,416):{'3_1':0.72,'8_20|3_1#3_1':0.06,'5_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_1':0.0,'1':-0.03},(19,415):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'5_2':0.0,'8_2':0.0,'8_10':0.0},(19,414):{'3_1':0.66,'5_1':0.12,'8_2':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_10':0.0,'3_1#5_1':0.0,'-3':0.0},(19,413):{'3_1':0.75,'5_1':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_9':0.0,'-3':0.0},(19,412):{'3_1':0.63,'5_1':0.09,'8_2':0.06,'-3':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'8_8':0.0},(19,411):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'7_5':0.0},(19,410):{'3_1':0.69,'5_1':0.09,'8_20|3_1#3_1':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_4':0.0,'-3':0.0},(19,409):{'3_1':0.66,'5_1':0.15,'5_2':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'6_2':0.0,'3_1#5_1':0.0},(19,408):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'8_20|3_1#3_1':0.0,'8_2':0.0},(19,407):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'8_2':0.0,'7_3':0.0,'8_10':0.0},(19,406):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(19,405):{'3_1':0.75,'8_20|3_1#3_1':0.09,'5_1':0.03,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'8_2':0.0},(19,404):{'3_1':0.78,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'8_10':0.0,'-3':0.0},(19,403):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_10':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(19,402):{'3_1':0.78,'8_20|3_1#3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(19,401):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'8_10':0.0},(19,400):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'6_1':0.0},(19,399):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_10':0.0},(19,398):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'8_10':0.0},(19,397):{'3_1':0.78,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(19,396):{'3_1':0.66,'8_20|3_1#3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(19,395):{'3_1':0.66,'8_20|3_1#3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_10':0.0},(19,394):{'3_1':0.66,'8_20|3_1#3_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'1':-0.03},(19,393):{'3_1':0.72,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(19,392):{'3_1':0.69,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_10':0.0,'3_1#5_1':0.0},(19,391):{'3_1':0.6,'5_1':0.03,'8_10':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_8':0.0,'8_14':0.0},(19,390):{'3_1':0.54,'5_1':0.06,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_10':0.0},(19,389):{'3_1':0.51,'8_10':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(19,388):{'3_1':0.45,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'8_9':0.0},(19,387):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_2':0.0,'8_10':0.0,'8_11':0.0},(19,386):{'3_1':0.33,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(19,385):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(19,384):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_8':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(19,383):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(19,382):{'3_1':0.06,'4_1':0.03,'6_2':0.03,'8_8':0.0,'5_1':0.0,'7_2':0.0,'8_16':0.0},(19,381):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(19,380):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(19,379):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'8_8':0.0,'-3':0.0},(19,378):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'3_1#5_2':0.0,'-3':0.0},(19,377):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_8':0.0,'8_16':0.0,'-3':0.0},(19,376):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(19,375):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(19,374):{'3_1':0.09,'4_1':0.0},(19,373):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(19,372):{'3_1':0.09,'4_1':0.0},(19,371):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(19,370):{'3_1':0.09,'6_3':0.0,'8_20|3_1#3_1':0.0},(19,369):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(19,368):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(19,367):{'3_1':0.03,'8_14':0.0},(19,366):{'3_1':0.06},(19,365):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(19,364):{'3_1':0.09,'8_20|3_1#3_1':0.0},(19,363):{'3_1':0.09},(19,362):{'3_1':0.06,'6_3':0.0},(19,361):{'3_1':0.0,'6_3':0.0,'5_1':0.0,'5_2':0.0},(19,360):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(19,359):{'3_1':0.06},(19,358):{'3_1':0.03,'6_3':0.0},(19,357):{'3_1':0.06},(19,356):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(19,355):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(19,354):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,353):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,352):{'3_1':0.0,'5_2':0.0},(19,351):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(19,350):{'3_1':0.03,'5_2':0.0,'8_14':0.0},(19,349):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(19,348):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(19,347):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(19,346):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0},(19,345):{'3_1':0.03,'5_1':0.0,'8_14':0.0},(19,344):{'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(19,343):{'3_1':0.03,'6_3':0.0},(19,342):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,341):{'3_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(19,340):{'3_1':0.06,'5_2':0.0},(19,339):{'3_1':0.03},(19,338):{'3_1':0.06},(19,337):{'3_1':0.06,'5_2':0.0},(19,336):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(19,335):{'3_1':0.03,'8_20|3_1#3_1':0.0},(19,334):{'3_1':0.03,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(19,333):{'3_1':0.09,'4_1':0.0,'8_14':0.0},(19,332):{'3_1':0.06},(19,331):{'3_1':0.06},(19,330):{'3_1':0.06,'4_1':0.03,'7_1':0.0},(19,329):{'3_1':0.03,'6_2':0.0},(19,328):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(19,327):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_2':0.0},(19,326):{'3_1':0.06,'7_1':0.0,'4_1':0.0,'7_5':0.0},(19,325):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0},(19,324):{'3_1':0.03,'8_14':0.0,'4_1':0.0,'5_2':0.0},(19,323):{'3_1':0.06},(19,322):{'5_1':0.0,'5_2':0.0,'3_1':0.0,'6_2':0.0},(19,321):{'3_1':0.09},(19,320):{'3_1':0.03,'4_1':0.0},(19,319):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(19,318):{'3_1':0.06},(19,317):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(19,316):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,315):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_2':0.0},(19,314):{'3_1':0.06},(19,313):{'3_1':0.03,'8_19':0.0},(19,312):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(19,311):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(19,310):{'3_1':0.03,'5_2':0.0},(19,309):{'3_1':0.03},(19,308):{'3_1':0.03,'8_14':0.0},(19,307):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(19,306):{'3_1':0.06},(19,305):{'3_1':0.03},(19,304):{'3_1':0.03,'4_1':0.0},(19,303):{'3_1':0.0},(19,302):{'3_1':0.06,'7_5':0.0,'8_14':0.0},(19,301):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(19,300):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,299):{'3_1':0.09,'5_2':0.0},(19,298):{'3_1':0.03,'5_2':0.0,'-3':0.0},(19,297):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(19,296):{'3_1':0.03},(19,295):{'3_1':0.03,'5_2':0.0},(19,294):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(19,293):{'3_1':0.06,'5_2':0.03,'5_1':0.0},(19,292):{'3_1':0.06,'5_2':0.0},(19,291):{'3_1':0.03},(19,290):{'3_1':0.03,'5_2':0.0},(19,289):{'3_1':0.03,'5_2':0.0},(19,288):{'3_1':0.03,'4_1':0.0},(19,287):{'3_1':0.03},(19,285):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(19,284):{'3_1':0.0,'5_2':0.0},(19,283):{'3_1':0.03,'5_2':0.03,'4_1':0.0},(19,282):{'5_2':0.03,'3_1':0.0},(19,281):{'3_1':0.03},(19,280):{'3_1':0.0,'5_2':0.0},(19,279):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(19,278):{'3_1':0.0,'4_1':0.0},(19,277):{'3_1':0.0,'5_1':0.0},(19,276):{'3_1':0.09,'4_1':0.0,'8_2':0.0,'8_14':0.0},(19,275):{'3_1':0.09,'5_2':0.0},(19,274):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(19,273):{'3_1':0.06,'5_2':0.0},(19,272):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(19,271):{'3_1':0.03},(19,270):{'3_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0},(19,269):{'3_1':0.06,'5_1':0.0},(19,268):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(19,267):{'3_1':0.06},(19,266):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(19,265):{'3_1':0.03},(19,264):{'3_1':0.09,'5_1':0.0},(19,263):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(19,262):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(19,261):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_14':0.0},(19,260):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(19,259):{'3_1':0.06,'5_1':0.0},(19,258):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(19,257):{'3_1':0.03},(19,256):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(19,255):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(19,254):{'3_1':0.0,'5_2':0.0},(19,253):{'3_1':0.03,'5_2':0.0},(19,252):{'3_1':0.03,'5_1':0.0},(19,251):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(19,250):{'3_1':0.03},(19,249):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,248):{'3_1':0.0,'5_2':0.0},(19,247):{'3_1':0.0,'5_2':0.0},(19,246):{'3_1':0.03},(19,245):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,244):{'3_1':0.03,'5_2':0.0},(19,243):{'5_2':0.0,'3_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(19,242):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(19,241):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(19,240):{'3_1':0.03,'5_1':0.0},(19,239):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(19,238):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(19,237):{'3_1':0.03},(19,236):{'5_2':0.0,'3_1':0.0},(19,235):{'3_1':0.03,'5_2':0.0,'8_14':0.0},(19,234):{'3_1':0.03,'5_2':0.0},(19,233):{'3_1':0.03,'4_1':0.0},(19,232):{'3_1':0.0,'5_2':0.0},(19,231):{'3_1':0.0,'5_2':0.0},(19,230):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(19,229):{'3_1':0.03,'5_2':0.0},(19,228):{'3_1':0.03,'5_2':0.0},(19,227):{'3_1':0.03,'5_2':0.0},(19,226):{'3_1':0.0},(19,225):{'3_1':0.06},(19,224):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(19,223):{'3_1':0.09},(19,222):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(19,221):{'3_1':0.06},(19,220):{'3_1':0.06},(19,219):{'3_1':0.06},(19,218):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(19,217):{'3_1':0.03,'5_1':0.0},(19,216):{'3_1':0.03,'4_1':0.0},(19,215):{'3_1':0.0,'4_1':0.0},(19,214):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(19,213):{'3_1':0.06,'5_1':0.0},(19,212):{'3_1':0.09,'5_1':0.0},(19,211):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(19,210):{'3_1':0.09},(19,209):{'3_1':0.06},(19,208):{'3_1':0.06,'5_1':0.0},(19,207):{'3_1':0.06,'5_2':0.0},(19,206):{'3_1':0.06},(19,205):{'3_1':0.03},(19,204):{'3_1':0.03,'5_2':0.0},(19,203):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(19,202):{'3_1':0.0},(19,201):{'3_1':0.03},(19,200):{'3_1':0.03,'5_2':0.0},(19,199):{'3_1':0.03},(19,198):{'3_1':0.03},(19,197):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(19,196):{'3_1':0.0,'4_1':0.0},(19,195):{'3_1':0.03},(19,194):{'3_1':0.0},(19,193):{'3_1':0.06},(19,192):{'3_1':0.0},(19,191):{'3_1':0.06},(19,190):{'3_1':0.06,'4_1':0.0},(19,189):{'3_1':0.0,'4_1':0.0},(19,188):{'3_1':0.06},(19,187):{'3_1':0.06},(19,186):{'3_1':0.03},(19,185):{'3_1':0.06},(19,184):{'3_1':0.03},(19,183):{'3_1':0.09},(19,182):{'3_1':0.03,'4_1':0.0},(19,181):{'3_1':0.06},(19,180):{'3_1':0.03},(19,179):{'3_1':0.09,'4_1':0.0},(19,178):{'3_1':0.0},(19,177):{'3_1':0.06,'4_1':0.0},(19,176):{'3_1':0.03},(19,175):{'3_1':0.03},(19,174):{'3_1':0.06},(19,173):{'3_1':0.03},(19,172):{'3_1':0.03},(19,171):{'3_1':0.06},(19,170):{'3_1':0.0,'4_1':0.0},(19,169):{'3_1':0.03},(19,168):{'3_1':0.03},(19,167):{'3_1':0.03},(19,166):{'3_1':0.06},(19,164):{'3_1':0.0},(19,163):{'3_1':0.03,'4_1':0.0},(19,162):{'3_1':0.0,'4_1':0.0},(19,161):{'3_1':0.03,'4_1':0.0},(19,160):{'3_1':0.03},(19,159):{'3_1':0.03,'4_1':0.0},(19,158):{'3_1':0.03},(19,157):{'3_1':0.03,'4_1':0.0},(19,156):{'3_1':0.0},(19,155):{'3_1':0.0},(19,154):{'3_1':0.0,'4_1':0.0},(19,153):{'3_1':0.03},(19,152):{'3_1':0.03},(19,151):{'3_1':0.0},(19,150):{'3_1':0.03},(19,149):{'3_1':0.03},(19,148):{'3_1':0.03,'4_1':0.0},(19,147):{'3_1':0.0},(19,146):{'3_1':0.0},(19,145):{'3_1':0.0},(19,144):{'3_1':0.03},(19,143):{'3_1':0.0,'4_1':0.0},(19,142):{'3_1':0.0},(19,141):{'3_1':0.0},(19,140):{'3_1':0.0},(19,139):{'3_1':0.0,'4_1':0.0},(19,138):{'3_1':0.03},(19,137):{'3_1':0.0},(19,136):{'3_1':0.0},(19,135):{'3_1':0.0},(19,134):{'3_1':0.0},(19,133):{'3_1':0.0},(19,132):{'3_1':0.0},(19,131):{'3_1':0.0},(19,130):{'3_1':0.0},(19,129):{'3_1':0.0},(19,128):{'3_1':0.03,'4_1':0.0},(19,127):{'3_1':0.0},(19,126):{'3_1':0.0},(19,125):{'3_1':0.03},(19,124):{'3_1':0.03,'4_1':0.0},(19,123):{'3_1':0.03},(19,122):{'3_1':0.03},(19,121):{'3_1':0.03},(19,120):{'3_1':0.0},(19,119):{'3_1':0.0},(19,117):{'3_1':0.0},(19,116):{'3_1':0.0},(19,115):{'3_1':0.0},(19,114):{'3_1':0.03},(19,113):{'3_1':0.03},(19,112):{'3_1':0.03},(19,111):{'3_1':0.0},(19,110):{'3_1':0.0},(19,109):{'3_1':0.03},(19,108):{'3_1':0.0},(19,107):{'3_1':0.0},(19,106):{'3_1':0.0},(19,105):{'3_1':0.03},(19,103):{'3_1':0.0},(19,101):{'3_1':0.03},(19,100):{'3_1':0.0},(19,97):{'3_1':0.0},(20,459):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(20,458):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(20,457):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0},(20,456):{'3_1':0.87,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(20,455):{'3_1':0.9,'7_1':0.0,'8_20|3_1#3_1':0.0},(20,454):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0},(20,453):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(20,452):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(20,451):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(20,450):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(20,449):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(20,448):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_5':0.0},(20,447):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(20,446):{'3_1':0.9,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0},(20,445):{'3_1':0.87,'5_2':0.0,'6_2':0.0,'-3':0.0},(20,444):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(20,443):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0},(20,442):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(20,441):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_2':0.0,'-3':0.0,'1':-0.03},(20,440):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'8_19':0.0},(20,439):{'3_1':0.84,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0},(20,438):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_5':0.0},(20,437):{'3_1':0.87,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(20,436):{'3_1':0.84,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(20,435):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(20,434):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(20,433):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(20,432):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_10':0.0,'-3':0.0},(20,431):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'3_1#5_2':0.0},(20,430):{'3_1':0.78,'7_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(20,429):{'3_1':0.72,'8_20|3_1#3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(20,428):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_2':0.0},(20,427):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0,'8_19':0.0,'-3':0.0},(20,426):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_19':0.0},(20,425):{'3_1':0.81,'8_20|3_1#3_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'8_11':0.0,'8_19':0.0},(20,424):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(20,423):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_10':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(20,422):{'3_1':0.78,'8_20|3_1#3_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'3_1#5_2':0.0},(20,421):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(20,420):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.03,'7_5':0.0,'7_3':0.0,'8_11':0.0},(20,419):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'6_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'6_3':0.0,'7_3':0.0},(20,418):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_10':0.0},(20,417):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_19':0.0},(20,416):{'3_1':0.84,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(20,415):{'3_1':0.69,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(20,414):{'3_1':0.72,'5_2':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(20,413):{'3_1':0.66,'5_1':0.06,'8_20|3_1#3_1':0.06,'8_2':0.03,'6_2':0.0,'-3':0.0,'6_3':0.0,'3_1#5_2':0.0},(20,412):{'3_1':0.63,'5_1':0.12,'5_2':0.06,'8_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_7':0.0,'8_10':0.0,'3_1#5_1':0.0,'-3':0.0},(20,411):{'3_1':0.69,'5_2':0.06,'5_1':0.06,'8_2':0.06,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(20,410):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'8_2':0.03,'5_2':0.0,'4_1':0.0,'8_10':0.0},(20,409):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'8_20|3_1#3_1':0.03,'8_2':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0},(20,408):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_10':0.0},(20,407):{'3_1':0.81,'5_1':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0,'1':-0.03},(20,406):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_2':0.03,'5_1':0.03,'7_3':0.0,'8_7':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(20,405):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_10':0.0,'6_3':0.0,'8_11':0.0,'8_19':0.0},(20,404):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_10':0.0},(20,403):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'7_4':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(20,402):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0},(20,401):{'3_1':0.78,'8_20|3_1#3_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_10':0.0},(20,400):{'3_1':0.75,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(20,399):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(20,398):{'3_1':0.81,'8_20|3_1#3_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0},(20,397):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'8_10':0.0,'-3':0.0},(20,396):{'3_1':0.81,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_10':0.0},(20,395):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(20,394):{'3_1':0.75,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0},(20,393):{'3_1':0.69,'8_20|3_1#3_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'-3':0.0},(20,392):{'3_1':0.6,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(20,391):{'3_1':0.6,'8_20|3_1#3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(20,390):{'3_1':0.57,'5_1':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_10':0.0},(20,389):{'3_1':0.48,'8_20|3_1#3_1':0.03,'6_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0},(20,388):{'3_1':0.51,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,387):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(20,386):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'5_2':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(20,385):{'3_1':0.21,'6_2':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0},(20,384):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'-3':0.0},(20,383):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(20,382):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0},(20,381):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(20,380):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(20,379):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0},(20,378):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(20,377):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(20,376):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(20,375):{'3_1':0.06,'4_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(20,374):{'3_1':0.06,'4_1':0.0},(20,373):{'3_1':0.06,'4_1':0.03},(20,372):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(20,371):{'3_1':0.06,'6_1':0.0,'6_3':0.0},(20,370):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(20,369):{'3_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0},(20,368):{'3_1':0.06,'5_2':0.0},(20,367):{'3_1':0.09,'4_1':0.0},(20,366):{'3_1':0.06,'5_2':0.0,'8_7':0.0,'8_8':0.0},(20,365):{'3_1':0.12,'8_10':0.0,'8_20|3_1#3_1':0.0},(20,364):{'3_1':0.06,'4_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(20,363):{'3_1':0.03,'5_2':0.0},(20,362):{'3_1':0.03,'5_1':0.0},(20,361):{'3_1':0.06,'4_1':0.0},(20,360):{'3_1':0.0,'4_1':0.0},(20,359):{'3_1':0.09},(20,358):{'3_1':0.03,'5_2':0.0},(20,357):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(20,356):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(20,355):{'3_1':0.03,'6_3':0.0},(20,354):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,353):{'3_1':0.06,'5_2':0.0},(20,352):{'3_1':0.0,'5_2':0.0,'6_3':0.0,'8_14':0.0},(20,351):{'3_1':0.03,'6_3':0.0},(20,350):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,349):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(20,348):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(20,347):{'3_1':0.0,'8_14':0.0},(20,346):{'3_1':0.03},(20,345):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,344):{'3_1':0.0,'4_1':0.0},(20,343):{'3_1':0.0,'4_1':0.0},(20,342):{'3_1':0.09,'4_1':0.0},(20,341):{'3_1':0.03,'4_1':0.0},(20,340):{'3_1':0.03,'4_1':0.0},(20,339):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(20,338):{'3_1':0.03,'6_1':0.0,'4_1':0.0,'3_1#5_2':0.0},(20,337):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(20,336):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(20,335):{'3_1':0.03,'6_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0},(20,334):{'4_1':0.0,'3_1':0.0},(20,333):{'3_1':0.06},(20,332):{'3_1':0.06,'4_1':0.0},(20,331):{'3_1':0.09,'5_2':0.0,'3_1#5_2':0.0,'-3':0.0},(20,330):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(20,329):{'3_1':0.03,'5_2':0.0},(20,328):{'3_1':0.03,'4_1':0.0},(20,327):{'3_1':0.06,'5_2':0.0},(20,326):{'3_1':0.03,'5_2':0.0},(20,325):{'3_1':0.03,'8_14':0.0},(20,324):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(20,323):{'3_1':0.0,'5_2':0.0},(20,322):{'3_1':0.03,'4_1':0.0},(20,321):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,320):{'3_1':0.03,'5_2':0.0,'8_14':0.0},(20,319):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(20,318):{'3_1':0.06,'5_2':0.0},(20,317):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(20,316):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(20,315):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(20,314):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(20,313):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(20,312):{'3_1':0.06},(20,311):{'3_1':0.0,'5_2':0.0},(20,310):{'3_1':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(20,309):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(20,308):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(20,307):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(20,306):{'3_1':0.06,'5_2':0.0},(20,305):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(20,304):{'3_1':0.03,'5_2':0.0},(20,303):{'3_1':0.09,'5_2':0.0},(20,302):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(20,301):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(20,300):{'3_1':0.09},(20,299):{'3_1':0.03,'5_2':0.0},(20,298):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(20,297):{'3_1':0.06,'5_2':0.0},(20,296):{'3_1':0.06,'4_1':0.0},(20,295):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,294):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(20,293):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_14':0.0},(20,292):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(20,291):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(20,290):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(20,289):{'3_1':0.03},(20,288):{'3_1':0.06},(20,287):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(20,286):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(20,285):{'3_1':0.06,'4_1':0.0},(20,284):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(20,283):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(20,282):{'3_1':0.03,'5_2':0.0},(20,281):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(20,280):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(20,279):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,278):{'3_1':0.06,'5_2':0.0},(20,277):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,276):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(20,275):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,274):{'3_1':0.0,'5_1':0.0},(20,273):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(20,272):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,271):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,270):{'3_1':0.03,'4_1':0.0},(20,269):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(20,268):{'3_1':0.03,'5_1':0.0},(20,267):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(20,266):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(20,265):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'7_1':0.0},(20,264):{'3_1':0.03},(20,263):{'3_1':0.09,'5_1':0.0,'7_5':0.0},(20,262):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(20,261):{'3_1':0.03,'4_1':0.0,'8_2':0.0},(20,260):{'3_1':0.06},(20,259):{'3_1':0.06,'5_1':0.0},(20,258):{'3_1':0.09},(20,257):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(20,256):{'3_1':0.03},(20,255):{'3_1':0.06,'4_1':0.0},(20,254):{'3_1':0.03,'5_1':0.0},(20,253):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(20,252):{'3_1':0.03},(20,251):{'3_1':0.06,'6_1':0.0,'6_2':0.0},(20,250):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,249):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,248):{'3_1':0.0,'4_1':0.0},(20,247):{'3_1':0.0,'4_1':0.0},(20,246):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(20,245):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,244):{'3_1':0.0,'5_2':0.0},(20,243):{'3_1':0.03,'5_2':0.0},(20,242):{'3_1':0.06},(20,241):{'3_1':0.09,'8_20|3_1#3_1':0.0},(20,240):{'3_1':0.03},(20,239):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(20,238):{'3_1':0.0},(20,237):{'3_1':0.03,'4_1':0.0},(20,236):{'3_1':0.06},(20,235):{'3_1':0.06},(20,234):{'3_1':0.0},(20,233):{'3_1':0.0,'4_1':0.0},(20,232):{'3_1':0.03,'5_2':0.0},(20,231):{'5_1':0.0,'8_14':0.0},(20,230):{'3_1':0.03,'5_2':0.0},(20,229):{'3_1':0.06},(20,228):{'3_1':0.0},(20,227):{'3_1':0.06,'5_2':0.0},(20,226):{'3_1':0.0},(20,225):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,224):{'3_1':0.0},(20,223):{'3_1':0.06},(20,222):{'3_1':0.06},(20,221):{'3_1':0.03,'5_2':0.0},(20,220):{'3_1':0.03,'4_1':0.0},(20,219):{'3_1':0.06},(20,218):{'3_1':0.06,'5_1':0.0},(20,217):{'3_1':0.06,'5_1':0.0},(20,216):{'3_1':0.03},(20,215):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(20,214):{'3_1':0.0,'5_1':0.0},(20,213):{'3_1':0.03,'5_1':0.0},(20,212):{'3_1':0.06,'5_1':0.0},(20,211):{'3_1':0.06},(20,210):{'3_1':0.0},(20,209):{'3_1':0.06,'4_1':0.0},(20,208):{'3_1':0.03},(20,207):{'3_1':0.06,'5_2':0.0},(20,206):{'3_1':0.06},(20,205):{'3_1':0.03,'4_1':0.0},(20,204):{'3_1':0.06},(20,203):{'3_1':0.03},(20,202):{'3_1':0.03},(20,201):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(20,200):{'3_1':0.03},(20,199):{'3_1':0.03,'5_2':0.0},(20,198):{'3_1':0.03,'4_1':0.0},(20,197):{'3_1':0.03},(20,196):{'3_1':0.03,'5_2':0.0},(20,195):{'3_1':0.03},(20,194):{'3_1':0.0},(20,193):{'3_1':0.03},(20,192):{'3_1':0.03},(20,191):{'3_1':0.06,'4_1':0.0},(20,190):{'3_1':0.03,'4_1':0.0},(20,189):{'3_1':0.03},(20,188):{'3_1':0.0,'4_1':0.0},(20,187):{'3_1':0.06},(20,186):{'3_1':0.03},(20,185):{'3_1':0.03,'4_1':0.0},(20,184):{'3_1':0.06},(20,183):{'3_1':0.09},(20,182):{'3_1':0.03},(20,181):{'3_1':0.09},(20,180):{'3_1':0.06},(20,179):{'3_1':0.03},(20,178):{'3_1':0.03},(20,177):{'3_1':0.03},(20,176):{'3_1':0.03},(20,175):{'3_1':0.09},(20,174):{'3_1':0.06},(20,173):{'3_1':0.06,'4_1':0.0},(20,172):{'3_1':0.12},(20,171):{'3_1':0.03},(20,170):{'3_1':0.03,'4_1':0.0},(20,169):{'3_1':0.03},(20,168):{'3_1':0.03},(20,167):{'3_1':0.03},(20,166):{'3_1':0.03,'4_1':0.0},(20,165):{'3_1':0.03},(20,164):{'3_1':0.03},(20,163):{'3_1':0.0,'4_1':0.0},(20,162):{'3_1':0.0,'4_1':0.0},(20,161):{'3_1':0.06},(20,160):{'3_1':0.0},(20,159):{'4_1':0.0},(20,158):{'3_1':0.0},(20,157):{'3_1':0.03},(20,156):{'3_1':0.03},(20,155):{'3_1':0.0,'4_1':0.0},(20,154):{'3_1':0.06},(20,153):{'3_1':0.0},(20,152):{'3_1':0.0},(20,151):{'3_1':0.03},(20,150):{'3_1':0.03},(20,149):{'3_1':0.03},(20,148):{'3_1':0.03},(20,147):{'3_1':0.0},(20,146):{'3_1':0.03,'4_1':0.0},(20,145):{'3_1':0.0},(20,144):{'3_1':0.03},(20,143):{'3_1':0.06},(20,142):{'3_1':0.0},(20,141):{'3_1':0.03},(20,140):{'3_1':0.03},(20,139):{'3_1':0.0},(20,138):{'3_1':0.0,'4_1':0.0},(20,137):{'3_1':0.03},(20,136):{'3_1':0.06},(20,135):{'3_1':0.0},(20,134):{'3_1':0.0},(20,133):{'3_1':0.03},(20,132):{'3_1':0.0},(20,131):{'3_1':0.03},(20,130):{'3_1':0.0},(20,129):{'3_1':0.0,'4_1':0.0},(20,127):{'3_1':0.0},(20,126):{'3_1':0.0},(20,125):{'3_1':0.03,'4_1':0.0},(20,124):{'3_1':0.0},(20,123):{'3_1':0.03},(20,122):{'3_1':0.03},(20,121):{'3_1':0.0},(20,120):{'3_1':0.0},(20,119):{'3_1':0.03},(20,118):{'3_1':0.03},(20,117):{'3_1':0.0},(20,116):{'3_1':0.03},(20,115):{'3_1':0.09},(20,114):{'3_1':0.0},(20,113):{'3_1':0.06},(20,112):{'3_1':0.03},(20,111):{'3_1':0.0},(20,110):{'3_1':0.03},(20,109):{'3_1':0.0},(20,108):{'3_1':0.0},(20,107):{'3_1':0.0},(20,106):{'3_1':0.0},(20,104):{'3_1':0.0},(20,103):{'3_1':0.0},(20,102):{'3_1':0.0},(20,101):{'3_1':0.0},(20,99):{'3_1':0.0},(20,98):{'3_1':0.0},(21,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(21,458):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(21,457):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(21,456):{'3_1':0.81,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(21,455):{'3_1':0.9},(21,454):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0},(21,453):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(21,452):{'3_1':0.9,'7_1':0.0,'4_1':0.0,'6_2':0.0},(21,451):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(21,450):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(21,449):{'3_1':0.84,'6_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'8_10':0.0,'-3':0.0},(21,448):{'3_1':0.84,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0},(21,447):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(21,446):{'3_1':0.9,'5_1':0.0},(21,445):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(21,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(21,443):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(21,442):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(21,441):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(21,440):{'3_1':0.84,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0},(21,439):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0},(21,438):{'3_1':0.81,'5_1':0.0,'-3':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(21,437):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0},(21,436):{'3_1':0.75,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'5_1':0.0,'8_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(21,435):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(21,434):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'1':-0.03},(21,433):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(21,432):{'3_1':0.9,'8_2':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(21,431):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_5':0.0,'8_21|3_1#4_1':0.0},(21,430):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(21,429):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(21,428):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(21,427):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'-3':0.0,'8_2':0.0},(21,426):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_5':0.0,'-3':0.0},(21,425):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_2':0.0,'6_2':0.0,'8_5':0.0,'8_10':0.0,'-3':0.0},(21,424):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0},(21,423):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'8_6':0.0},(21,422):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'8_10':0.0},(21,421):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(21,420):{'3_1':0.75,'8_20|3_1#3_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_5':0.0,'8_19':0.0,'-3':0.0},(21,419):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_10':0.0,'3_1#5_2':0.0},(21,418):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(21,417):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(21,416):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'8_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(21,415):{'3_1':0.69,'5_1':0.09,'8_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_5':0.0,'6_2':0.0,'8_5':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(21,414):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(21,413):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(21,412):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'8_5':0.0},(21,411):{'3_1':0.66,'5_1':0.15,'6_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(21,410):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_2':0.0,'6_3':0.0,'8_5':0.0,'8_10':0.0,'3_1#5_2':0.0},(21,409):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'6_2':0.03,'7_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(21,408):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(21,407):{'3_1':0.78,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(21,406):{'3_1':0.81,'8_20|3_1#3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_7':0.0},(21,405):{'3_1':0.78,'5_1':0.03,'6_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(21,404):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.06,'5_2':0.0,'8_10':0.0},(21,403):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(21,402):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(21,401):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0},(21,400):{'3_1':0.87,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0},(21,399):{'3_1':0.72,'8_20|3_1#3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(21,398):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(21,397):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_10':0.0},(21,396):{'3_1':0.75,'8_20|3_1#3_1':0.06,'5_1':0.0,'4_1':0.0,'-3':0.0},(21,395):{'3_1':0.72,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_14':0.0,'-3':0.0},(21,394):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_10':0.0,'-3':0.0},(21,393):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_10':0.0,'3_1#5_1':0.0},(21,392):{'3_1':0.63,'8_20|3_1#3_1':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(21,391):{'3_1':0.51,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.03,'6_2':0.0,'8_10':0.0},(21,390):{'3_1':0.48,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(21,389):{'3_1':0.45,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(21,388):{'3_1':0.45,'5_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_10':0.0},(21,387):{'3_1':0.3,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(21,386):{'3_1':0.36,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_8':0.0,'8_16':0.0,'-3':0.0},(21,385):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(21,384):{'3_1':0.09,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(21,383):{'3_1':0.12,'6_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'5_1':0.0},(21,382):{'3_1':0.09,'4_1':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(21,381):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(21,380):{'3_1':0.09,'4_1':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0},(21,379):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(21,378):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(21,377):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(21,376):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'5_1':0.0},(21,375):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(21,374):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_17':0.0},(21,373):{'3_1':0.06,'4_1':0.0,'3_1#5_2':0.0},(21,372):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(21,371):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(21,370):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(21,369):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(21,368):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(21,367):{'3_1':0.06,'4_1':0.0},(21,366):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(21,365):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(21,364):{'3_1':0.09,'5_2':0.0,'8_8':0.0,'3_1#5_2':0.0},(21,363):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(21,362):{'3_1':0.06,'7_5':0.0},(21,361):{'3_1':0.03,'5_2':0.0},(21,360):{'3_1':0.03},(21,359):{'3_1':0.03,'5_2':0.0},(21,358):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(21,357):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(21,356):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(21,355):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(21,354):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(21,353):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(21,352):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(21,351):{'3_1':0.09,'4_1':0.0},(21,350):{'3_1':0.0,'6_3':0.0},(21,349):{'3_1':0.0,'5_2':0.0},(21,348):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(21,347):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(21,346):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_5':0.0},(21,345):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(21,344):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(21,343):{'3_1':0.06,'6_1':0.0},(21,342):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(21,341):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(21,340):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(21,339):{'3_1':0.03,'3_1#5_2':0.0,'6_3':0.0,'7_5':0.0},(21,338):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(21,337):{'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(21,336):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(21,335):{'3_1':0.06,'5_2':0.0},(21,334):{'3_1':0.06,'5_2':0.0},(21,333):{'3_1':0.06},(21,332):{'3_1':0.03,'5_2':0.0},(21,331):{'3_1':0.03,'4_1':0.0},(21,330):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(21,329):{'3_1':0.03,'4_1':0.0},(21,328):{'3_1':0.03,'5_2':0.0},(21,327):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(21,326):{'3_1':0.0,'5_2':0.0},(21,325):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(21,324):{'3_1':0.0},(21,323):{'3_1':0.0,'5_2':0.0},(21,322):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(21,321):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(21,320):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(21,319):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(21,318):{'3_1':0.06},(21,317):{'3_1':0.0,'5_2':0.0},(21,316):{'3_1':0.06,'4_1':0.0},(21,315):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(21,314):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(21,313):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(21,312):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(21,311):{'3_1':0.03,'4_1':0.0},(21,310):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(21,309):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(21,308):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(21,307):{'3_1':0.0,'4_1':0.0},(21,306):{'3_1':0.03},(21,305):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(21,304):{'3_1':0.03,'4_1':0.0},(21,303):{'3_1':0.03,'5_2':0.0},(21,302):{'3_1':0.03,'4_1':0.0},(21,301):{'3_1':0.0,'5_2':0.0},(21,300):{'3_1':0.03},(21,299):{'3_1':0.03,'5_2':0.0},(21,298):{'3_1':0.03,'4_1':0.0},(21,297):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(21,296):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(21,295):{'3_1':0.0,'4_1':0.0,'3_1#5_2':0.0},(21,294):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(21,293):{'5_1':0.0,'4_1':0.0,'5_2':0.0},(21,292):{'3_1':0.0,'5_2':0.0,'7_5':0.0},(21,291):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(21,290):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_19':0.0},(21,289):{'3_1':0.03,'5_2':0.0},(21,288):{'3_1':0.03,'5_2':0.0},(21,287):{'3_1':0.03,'5_2':0.0,'8_19':0.0},(21,286):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(21,285):{'3_1':0.03},(21,284):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(21,283):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(21,282):{'3_1':0.06},(21,281):{'3_1':0.0},(21,280):{'3_1':0.03,'5_2':0.0},(21,279):{'3_1':0.0},(21,278):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(21,277):{'3_1':0.03},(21,276):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(21,275):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(21,274):{'3_1':0.03,'5_1':0.0},(21,273):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(21,272):{'3_1':0.09,'4_1':0.0},(21,271):{'3_1':0.03,'4_1':0.0},(21,270):{'3_1':0.06,'4_1':0.0},(21,269):{'3_1':0.0,'4_1':0.0},(21,268):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(21,267):{'3_1':0.0,'5_1':0.0},(21,266):{'3_1':0.03,'7_5':0.0},(21,265):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(21,264):{'3_1':0.06},(21,263):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(21,262):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(21,261):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(21,260):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(21,259):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(21,258):{'3_1':0.12},(21,257):{'3_1':0.06,'5_1':0.0},(21,256):{'3_1':0.06,'5_1':0.0},(21,255):{'3_1':0.09},(21,254):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(21,253):{'3_1':0.06},(21,252):{'3_1':0.03},(21,251):{'3_1':0.03,'5_1':0.0},(21,250):{'3_1':0.03},(21,249):{'3_1':0.03},(21,248):{'3_1':0.03,'5_2':0.0},(21,247):{'3_1':0.0},(21,246):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(21,245):{'3_1':0.0},(21,244):{'3_1':0.06,'5_2':0.0},(21,243):{'3_1':0.03,'5_2':0.0},(21,242):{'3_1':0.03},(21,241):{'3_1':0.03},(21,240):{'3_1':0.03},(21,239):{'3_1':0.03,'5_2':0.0},(21,238):{'3_1':0.03},(21,237):{'3_1':0.03,'4_1':0.0},(21,236):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(21,235):{'3_1':0.03},(21,234):{'3_1':0.03},(21,233):{'3_1':0.0,'5_2':0.0},(21,232):{'3_1':0.0},(21,231):{'3_1':0.03,'4_1':0.0},(21,230):{'3_1':0.03},(21,229):{'3_1':0.03,'6_2':0.0},(21,228):{'3_1':0.03,'4_1':0.0},(21,227):{'3_1':0.03},(21,226):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(21,225):{'3_1':0.03,'4_1':0.0},(21,224):{'3_1':0.06},(21,223):{'3_1':0.03,'5_2':0.0},(21,222):{'3_1':0.03,'4_1':0.0},(21,221):{'3_1':0.0},(21,220):{'3_1':0.06},(21,219):{'3_1':0.0},(21,218):{'3_1':0.06},(21,217):{'3_1':0.03,'5_1':0.0},(21,216):{'3_1':0.06},(21,215):{'3_1':0.03,'5_1':0.0},(21,214):{'3_1':0.0},(21,213):{'3_1':0.03,'5_1':0.0},(21,212):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(21,211):{'3_1':0.03,'4_1':0.0},(21,210):{'3_1':0.03},(21,209):{'3_1':0.03,'5_1':0.0},(21,208):{'3_1':0.09},(21,207):{'3_1':0.03},(21,206):{'3_1':0.12},(21,205):{'3_1':0.09},(21,204):{'3_1':0.03},(21,203):{'3_1':0.03},(21,202):{'3_1':0.03},(21,201):{'3_1':0.0,'5_2':0.0},(21,200):{'3_1':0.03,'5_2':0.0},(21,199):{'3_1':0.03,'5_2':0.0},(21,198):{'3_1':0.03,'4_1':0.0},(21,197):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(21,196):{'3_1':0.0},(21,195):{'3_1':0.03},(21,194):{'3_1':0.03},(21,193):{'3_1':0.03},(21,192):{'3_1':0.06},(21,191):{'3_1':0.03},(21,190):{'3_1':0.03},(21,189):{'3_1':0.03},(21,188):{'3_1':0.06},(21,187):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(21,186):{'3_1':0.0},(21,185):{'3_1':0.09},(21,184):{'3_1':0.09},(21,183):{'3_1':0.03},(21,182):{'3_1':0.03},(21,181):{'3_1':0.03},(21,180):{'3_1':0.03},(21,179):{'3_1':0.03},(21,178):{'3_1':0.03},(21,177):{'3_1':0.03},(21,176):{'3_1':0.03,'4_1':0.0},(21,175):{'3_1':0.09,'4_1':0.0},(21,174):{'3_1':0.03},(21,173):{'3_1':0.0},(21,172):{'3_1':0.03},(21,171):{'3_1':0.03},(21,170):{'3_1':0.03},(21,169):{'3_1':0.06},(21,168):{'3_1':0.03},(21,167):{'3_1':0.06},(21,166):{'3_1':0.06},(21,165):{'3_1':0.06,'4_1':0.0},(21,164):{'3_1':0.03},(21,163):{'3_1':0.03,'4_1':0.0},(21,162):{'3_1':0.0},(21,161):{'3_1':0.0},(21,160):{'3_1':0.0,'4_1':0.0},(21,159):{'3_1':0.03},(21,158):{'3_1':0.0},(21,157):{'3_1':0.0},(21,156):{'3_1':0.03},(21,155):{'3_1':0.06},(21,154):{'3_1':0.06},(21,153):{'3_1':0.0},(21,152):{'3_1':0.0},(21,151):{'3_1':0.03},(21,150):{'3_1':0.03},(21,149):{'3_1':0.03},(21,148):{'3_1':0.0},(21,146):{'3_1':0.0},(21,145):{'3_1':0.03},(21,144):{'3_1':0.0},(21,143):{'3_1':0.03},(21,142):{'3_1':0.0},(21,141):{'3_1':0.0},(21,140):{'3_1':0.0},(21,139):{'3_1':0.03},(21,138):{'3_1':0.03},(21,137):{'3_1':0.03},(21,136):{'3_1':0.03,'4_1':0.0},(21,135):{'3_1':0.0},(21,134):{'3_1':0.0},(21,133):{'3_1':0.03},(21,132):{'3_1':0.0},(21,131):{'3_1':0.0},(21,130):{'3_1':0.0},(21,129):{'3_1':0.0},(21,128):{'3_1':0.06},(21,127):{'3_1':0.0,'4_1':0.0},(21,126):{'3_1':0.0},(21,125):{'3_1':0.0},(21,124):{'3_1':0.0},(21,123):{'3_1':0.03},(21,122):{'3_1':0.03},(21,121):{'3_1':0.0},(21,120):{'3_1':0.0},(21,119):{'3_1':0.0},(21,118):{'3_1':0.03},(21,117):{'3_1':0.06},(21,116):{'3_1':0.0},(21,115):{'3_1':0.0},(21,114):{'3_1':0.0},(21,113):{'3_1':0.0},(21,112):{'3_1':0.03},(21,111):{'3_1':0.0},(21,110):{'3_1':0.0},(21,109):{'3_1':0.03},(21,108):{'3_1':0.0},(21,107):{'3_1':0.0},(21,106):{'3_1':0.03},(21,105):{'3_1':0.0},(21,104):{'3_1':0.0},(21,103):{'3_1':0.0},(21,102):{'3_1':0.0},(21,101):{'3_1':0.03},(22,459):{'3_1':0.9,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(22,458):{'3_1':0.9,'5_1':0.0,'7_5':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(22,457):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'7_5':0.0},(22,456):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'8_2':0.0},(22,455):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(22,454):{'3_1':0.9,'7_5':0.0,'8_20|3_1#3_1':0.0},(22,453):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0},(22,452):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0},(22,451):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(22,450):{'3_1':0.84,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0},(22,449):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(22,448):{'3_1':0.9,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(22,447):{'3_1':0.84,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0},(22,446):{'3_1':0.84,'5_1':0.03,'8_19':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(22,445):{'3_1':0.9,'7_1':0.0,'8_20|3_1#3_1':0.0},(22,444):{'3_1':0.87,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(22,443):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0,'8_5':0.0,'8_19':0.0,'-3':0.0},(22,442):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_5':0.0},(22,441):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(22,440):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(22,439):{'3_1':0.84,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_19':0.0},(22,438):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'3_1#5_2':0.0},(22,437):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_5':0.0,'8_10':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(22,436):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(22,435):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(22,434):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(22,433):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_19':0.0},(22,432):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0,'8_19':0.0,'-3':0.0},(22,431):{'3_1':0.84,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(22,430):{'3_1':0.78,'8_20|3_1#3_1':0.06,'5_1':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0},(22,429):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.03,'6_2':0.03,'8_2':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0},(22,428):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'8_2':0.0,'5_2':0.0,'-3':0.0},(22,427):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_3':0.0,'8_13':0.0},(22,426):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_4':0.0,'8_19':0.0},(22,425):{'3_1':0.81,'6_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_10':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0},(22,424):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_19':0.0,'8_2':0.0,'8_10':0.0},(22,423):{'3_1':0.78,'8_20|3_1#3_1':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(22,422):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(22,421):{'3_1':0.78,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_19':0.0},(22,420):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(22,419):{'3_1':0.72,'8_20|3_1#3_1':0.06,'5_1':0.03,'5_2':0.03,'8_2':0.0,'4_1':0.0,'2':-0.03},(22,418):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_2':0.0,'6_2':0.0,'8_5':0.0,'8_10':0.0,'8_19':0.0},(22,417):{'3_1':0.78,'5_1':0.03,'-3':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_13':0.0,'8_19':0.0},(22,416):{'3_1':0.69,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(22,415):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0,'8_6':0.0,'8_19':0.0},(22,414):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_1':0.0},(22,413):{'3_1':0.72,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'3_1#5_1':0.0,'1':-0.03},(22,412):{'3_1':0.72,'5_1':0.09,'8_2':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(22,411):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'6_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'8_19':0.0},(22,410):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_10':0.0,'7_1':0.0,'8_19':0.0,'3_1#5_1':0.0},(22,409):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'8_10':0.0,'4_1':0.0,'3_1#5_1':0.0},(22,408):{'3_1':0.69,'5_1':0.06,'8_20|3_1#3_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_10':0.0,'8_13':0.0,'8_19':0.0},(22,407):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(22,406):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0},(22,405):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'8_10':0.0},(22,404):{'3_1':0.75,'8_20|3_1#3_1':0.06,'6_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'-3':0.0},(22,403):{'3_1':0.84,'8_20|3_1#3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(22,402):{'3_1':0.78,'8_20|3_1#3_1':0.03,'6_2':0.03,'5_1':0.0,'4_1':0.0},(22,401):{'3_1':0.75,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(22,400):{'3_1':0.78,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_10':0.0},(22,399):{'3_1':0.84,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(22,398):{'3_1':0.81,'8_20|3_1#3_1':0.06,'5_1':0.0,'4_1':0.0,'7_2':0.0,'8_10':0.0},(22,397):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_2':0.0},(22,396):{'3_1':0.78,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(22,395):{'3_1':0.72,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0},(22,394):{'3_1':0.69,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(22,393):{'3_1':0.66,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(22,392):{'3_1':0.66,'8_20|3_1#3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(22,391):{'3_1':0.63,'5_1':0.03,'4_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(22,390):{'3_1':0.57,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(22,389):{'3_1':0.54,'6_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(22,388):{'3_1':0.36,'5_1':0.06,'6_2':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0},(22,387):{'3_1':0.42,'4_1':0.0,'6_2':0.0,'6_3':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(22,386):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_10':0.0},(22,385):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0},(22,384):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(22,383):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'8_10':0.0},(22,382):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(22,381):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(22,380):{'3_1':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0,'6_3':0.0},(22,379):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(22,378):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0},(22,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,376):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(22,375):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(22,374):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(22,373):{'3_1':0.09,'6_3':0.0,'4_1':0.0,'-3':0.0},(22,372):{'3_1':0.03},(22,371):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'-3':0.0},(22,370):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(22,369):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(22,368):{'3_1':0.03,'4_1':0.0},(22,367):{'3_1':0.12,'6_3':0.0},(22,366):{'3_1':0.12,'4_1':0.0},(22,365):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(22,364):{'3_1':0.06,'4_1':0.0},(22,363):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(22,362):{'3_1':0.0},(22,361):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0},(22,360):{'3_1':0.0,'7_2':0.0},(22,359):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(22,358):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_8':0.0},(22,357):{'3_1':0.06},(22,356):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(22,355):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(22,354):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(22,353):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(22,352):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(22,351):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(22,350):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(22,349):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(22,348):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(22,347):{'3_1':0.0,'4_1':0.0},(22,346):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(22,345):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(22,344):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(22,343):{'5_2':0.0,'3_1':0.0},(22,342):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(22,341):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(22,340):{'3_1':0.03,'8_20|3_1#3_1':0.0},(22,339):{'3_1':0.0},(22,338):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(22,337):{'3_1':0.09,'6_1':0.0,'8_21|3_1#4_1':0.0},(22,336):{'3_1':0.06,'6_1':0.0,'8_14':0.0},(22,335):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(22,334):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(22,333):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'-3':0.0},(22,332):{'3_1':0.03,'4_1':0.0},(22,331):{'3_1':0.15,'5_2':0.0},(22,330):{'3_1':0.09,'5_2':0.0},(22,329):{'3_1':0.06},(22,328):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,327):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(22,326):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(22,325):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0},(22,324):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(22,323):{'3_1':0.06},(22,322):{'3_1':0.0,'4_1':0.0},(22,321):{'3_1':0.06,'5_2':0.0,'7_5':0.0},(22,320):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(22,319):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(22,318):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(22,317):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(22,316):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(22,315):{'3_1':0.03,'5_2':0.0},(22,314):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,313):{'3_1':0.03,'5_2':0.0,'8_4':0.0},(22,312):{'3_1':0.06,'4_1':0.0},(22,311):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(22,310):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(22,309):{'3_1':0.0},(22,308):{'3_1':0.06},(22,307):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,306):{'3_1':0.06,'8_20|3_1#3_1':0.0},(22,305):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(22,304):{'3_1':0.03,'5_2':0.03},(22,303):{'3_1':0.0},(22,302):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(22,301):{'3_1':0.03,'4_1':0.0},(22,300):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(22,299):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(22,298):{'3_1':0.0,'8_21|3_1#4_1':0.0},(22,297):{'3_1':0.06},(22,296):{'3_1':0.06,'5_1':0.0},(22,295):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(22,294):{'3_1':0.0,'5_2':0.0},(22,293):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(22,292):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(22,291):{'3_1':0.03},(22,290):{'3_1':0.03,'5_2':0.0},(22,289):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(22,288):{'3_1':0.03,'5_2':0.0},(22,287):{'3_1':0.03},(22,286):{'3_1':0.06,'5_2':0.0},(22,285):{'5_2':0.03,'3_1':0.0},(22,284):{'3_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0},(22,283):{'3_1':0.03,'4_1':0.0},(22,282):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(22,281):{'3_1':0.03,'5_2':0.03,'4_1':0.0},(22,280):{'3_1':0.06,'5_2':0.0},(22,279):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,278):{'3_1':0.0,'4_1':0.0,'6_3':0.0,'5_2':0.0},(22,277):{'3_1':0.03,'5_2':0.0},(22,276):{'3_1':0.03},(22,275):{'3_1':0.06},(22,274):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(22,273):{'3_1':0.06,'4_1':0.0},(22,272):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,271):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(22,270):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,269):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,268):{'3_1':0.06,'4_1':0.0},(22,267):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,266):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(22,265):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(22,264):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(22,263):{'3_1':0.09,'4_1':0.0},(22,262):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(22,261):{'3_1':0.06,'5_1':0.0},(22,260):{'3_1':0.06,'4_1':0.0},(22,259):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(22,258):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,257):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(22,256):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(22,255):{'3_1':0.03,'4_1':0.0},(22,254):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(22,253):{'3_1':0.06},(22,252):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(22,251):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(22,250):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(22,249):{'3_1':0.0,'5_2':0.0},(22,248):{'3_1':0.03},(22,247):{'3_1':0.03},(22,246):{'3_1':0.06},(22,245):{'3_1':0.03},(22,244):{'3_1':0.0},(22,243):{'3_1':0.03,'5_2':0.0},(22,242):{'3_1':0.03},(22,241):{'3_1':0.03},(22,240):{'3_1':0.03,'5_2':0.0},(22,239):{'3_1':0.0},(22,238):{'3_1':0.03,'4_1':0.0},(22,237):{'3_1':0.03},(22,236):{'3_1':0.03,'4_1':0.0},(22,235):{'3_1':0.0},(22,234):{'3_1':0.03,'4_1':0.0},(22,233):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(22,232):{'3_1':0.0,'5_2':0.0},(22,231):{'3_1':0.03},(22,230):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(22,229):{'3_1':0.0,'5_2':0.0},(22,228):{'3_1':0.03,'5_2':0.0},(22,227):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(22,226):{'3_1':0.0},(22,225):{'3_1':0.03,'4_1':0.0},(22,224):{'3_1':0.03,'4_1':0.0},(22,223):{'3_1':0.03,'5_2':0.0},(22,222):{'3_1':0.03},(22,221):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(22,220):{'3_1':0.06},(22,219):{'3_1':0.03,'4_1':0.0},(22,218):{'3_1':0.0,'5_1':0.0},(22,217):{'3_1':0.06,'5_2':0.0},(22,216):{'3_1':0.06,'5_1':0.0},(22,215):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(22,214):{'3_1':0.0,'4_1':0.0},(22,213):{'3_1':0.03,'5_2':0.0},(22,212):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,211):{'3_1':0.03,'4_1':0.0},(22,210):{'3_1':0.06,'5_1':0.0},(22,209):{'3_1':0.0},(22,208):{'3_1':0.03,'4_1':0.0},(22,207):{'3_1':0.03,'5_1':0.0},(22,206):{'3_1':0.0},(22,205):{'3_1':0.09},(22,204):{'3_1':0.0},(22,203):{'3_1':0.0},(22,202):{'3_1':0.03},(22,201):{'3_1':0.06,'5_2':0.0},(22,200):{'3_1':0.0,'5_2':0.0},(22,199):{'3_1':0.03,'5_2':0.0},(22,198):{'3_1':0.06},(22,197):{'3_1':0.03,'5_2':0.0},(22,196):{'3_1':0.0},(22,195):{'3_1':0.03},(22,194):{'3_1':0.06,'4_1':0.0},(22,193):{'3_1':0.12},(22,192):{'3_1':0.03},(22,191):{'3_1':0.06,'4_1':0.0},(22,190):{'3_1':0.06},(22,189):{'3_1':0.06},(22,188):{'3_1':0.03},(22,187):{'3_1':0.0},(22,186):{'3_1':0.06},(22,185):{'3_1':0.06},(22,184):{'3_1':0.06},(22,183):{'3_1':0.03},(22,182):{'3_1':0.06},(22,181):{'3_1':0.03,'4_1':0.0},(22,180):{'3_1':0.03},(22,179):{'3_1':0.03},(22,178):{'3_1':0.03},(22,177):{'3_1':0.03},(22,176):{'3_1':0.06},(22,175):{'3_1':0.03},(22,174):{'3_1':0.06},(22,173):{'3_1':0.06},(22,172):{'3_1':0.03,'4_1':0.0},(22,171):{'3_1':0.0},(22,170):{'3_1':0.03},(22,169):{'3_1':0.09},(22,168):{'3_1':0.0},(22,167):{'3_1':0.0},(22,166):{'3_1':0.03},(22,165):{'3_1':0.0},(22,164):{'3_1':0.03},(22,163):{'3_1':0.03},(22,162):{'3_1':0.03},(22,161):{'3_1':0.0},(22,160):{'3_1':0.0},(22,159):{'3_1':0.03},(22,158):{'3_1':0.0},(22,157):{'3_1':0.03},(22,156):{'3_1':0.03},(22,155):{'3_1':0.0},(22,154):{'4_1':0.0},(22,153):{'3_1':0.03},(22,151):{'3_1':0.0,'4_1':0.0},(22,150):{'3_1':0.0},(22,149):{'3_1':0.03},(22,148):{'3_1':0.03},(22,147):{'3_1':0.0},(22,146):{'3_1':0.0},(22,145):{'3_1':0.03},(22,144):{'3_1':0.0},(22,143):{'4_1':0.0},(22,142):{'3_1':0.0},(22,141):{'3_1':0.03},(22,140):{'3_1':0.0},(22,139):{'3_1':0.0},(22,138):{'3_1':0.0,'4_1':0.0},(22,137):{'3_1':0.0},(22,136):{'3_1':0.0},(22,135):{'3_1':0.03},(22,134):{'3_1':0.0},(22,133):{'3_1':0.0},(22,132):{'3_1':0.03},(22,131):{'3_1':0.0},(22,130):{'3_1':0.0},(22,129):{'3_1':0.0},(22,127):{'3_1':0.03},(22,126):{'3_1':0.0},(22,125):{'3_1':0.0},(22,124):{'3_1':0.0},(22,123):{'3_1':0.03},(22,122):{'3_1':0.03},(22,121):{'3_1':0.0},(22,120):{'3_1':0.03},(22,119):{'3_1':0.0},(22,118):{'3_1':0.0},(22,117):{'3_1':0.03},(22,115):{'3_1':0.0},(22,114):{'3_1':0.0},(22,113):{'3_1':0.0},(22,112):{'3_1':0.03},(22,111):{'3_1':0.03},(22,110):{'3_1':0.0},(22,109):{'3_1':0.03},(22,108):{'3_1':0.0},(22,107):{'3_1':0.0},(22,106):{'3_1':0.03},(22,105):{'3_1':0.0},(22,104):{'3_1':0.0},(22,103):{'3_1':0.0},(22,100):{'3_1':0.0},(22,97):{'3_1':0.0},(22,96):{'3_1':0.0},(23,459):{'3_1':0.9,'6_2':0.0,'8_20|3_1#3_1':0.0},(23,458):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(23,457):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(23,456):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(23,455):{'3_1':0.84,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'4_1':0.0},(23,454):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(23,453):{'3_1':0.87,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0},(23,452):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_19':0.0},(23,451):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'4_1':0.0},(23,450):{'3_1':0.87,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'-3':0.0},(23,449):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(23,448):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(23,447):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(23,446):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_19':0.0,'-3':0.0},(23,445):{'3_1':0.9,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(23,444):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'8_5':0.0},(23,443):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'-3':0.0},(23,442):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_19':0.0},(23,441):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(23,440):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.03,'7_1':0.0,'6_2':0.0},(23,439):{'3_1':0.9,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0,'-3':0.0},(23,438):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(23,437):{'3_1':0.81,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0,'7_5':0.0,'8_5':0.0,'8_19':0.0},(23,436):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(23,435):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_10':0.0,'6_2':0.0,'-3':0.0},(23,434):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(23,433):{'3_1':0.78,'8_20|3_1#3_1':0.06,'5_1':0.03,'8_2':0.0,'-3':0.0,'8_10':0.0},(23,432):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_5':0.0,'8_2':0.0},(23,431):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'1':-0.03},(23,430):{'3_1':0.78,'5_1':0.03,'7_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(23,429):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'3_1#5_2':0.0},(23,428):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'8_10':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(23,427):{'3_1':0.81,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(23,426):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(23,425):{'3_1':0.78,'8_20|3_1#3_1':0.06,'6_2':0.03,'5_1':0.0,'8_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(23,424):{'3_1':0.78,'5_1':0.06,'4_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(23,423):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(23,422):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(23,421):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(23,420):{'3_1':0.72,'5_1':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_10':0.0,'-3':0.0,'5_2':0.0},(23,419):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'8_10':0.0,'8_2':0.0},(23,418):{'3_1':0.72,'5_2':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(23,417):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(23,416):{'3_1':0.72,'5_1':0.12,'8_2':0.0,'5_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'6_2':0.0},(23,415):{'3_1':0.66,'5_1':0.06,'5_2':0.06,'8_20|3_1#3_1':0.03,'8_2':0.0,'8_7':0.0,'-3':0.0},(23,414):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'8_10':0.0},(23,413):{'3_1':0.69,'5_1':0.06,'8_2':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'4_1':0.0,'-3':0.0},(23,412):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'-3':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0},(23,411):{'3_1':0.57,'5_1':0.12,'8_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'-3':0.0},(23,410):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_2':0.0,'8_7':0.0,'-3':0.0},(23,409):{'3_1':0.63,'5_1':0.12,'8_2':0.03,'6_2':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(23,408):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(23,407):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(23,406):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_10':0.0},(23,405):{'3_1':0.81,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(23,404):{'3_1':0.72,'5_1':0.06,'8_20|3_1#3_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_10':0.0},(23,403):{'3_1':0.78,'8_20|3_1#3_1':0.06,'5_1':0.0,'5_2':0.0,'8_10':0.0,'4_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(23,402):{'3_1':0.78,'8_20|3_1#3_1':0.06,'5_1':0.0,'5_2':0.0},(23,401):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_10':0.0},(23,400):{'3_1':0.72,'8_20|3_1#3_1':0.06,'5_1':0.03,'6_2':0.0,'8_10':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(23,399):{'3_1':0.78,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0},(23,398):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0},(23,397):{'3_1':0.81,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(23,396):{'3_1':0.69,'8_20|3_1#3_1':0.06,'6_2':0.0,'8_10':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(23,395):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_10':0.0},(23,394):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(23,393):{'3_1':0.75,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_10':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(23,392):{'3_1':0.63,'8_20|3_1#3_1':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0},(23,391):{'3_1':0.6,'5_1':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(23,390):{'3_1':0.6,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'8_10':0.0,'5_2':0.0,'6_2':0.0},(23,389):{'3_1':0.42,'6_2':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'8_10':0.0,'6_1':0.0,'7_5':0.0},(23,388):{'3_1':0.42,'5_1':0.03,'6_2':0.0,'4_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(23,387):{'3_1':0.39,'4_1':0.03,'8_20|3_1#3_1':0.03,'6_3':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(23,386):{'3_1':0.3,'4_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_10':0.0,'-3':0.0},(23,385):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(23,384):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(23,383):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_10':0.0},(23,382):{'3_1':0.12,'5_1':0.03,'6_2':0.03,'4_1':0.0,'6_3':0.0,'8_8':0.0},(23,381):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0},(23,380):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(23,379):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(23,378):{'5_2':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(23,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_8':0.0},(23,376):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(23,375):{'3_1':0.06,'4_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(23,374):{'3_1':0.06,'5_2':0.0,'8_7':0.0,'8_14':0.0},(23,373):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(23,372):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(23,371):{'3_1':0.03},(23,370):{'3_1':0.03,'5_2':0.0},(23,369):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(23,368):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(23,367):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'8_7':0.0},(23,366):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(23,365):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(23,364):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(23,363):{'3_1':0.09,'4_1':0.0},(23,362):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(23,361):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(23,360):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(23,359):{'3_1':0.09,'5_2':0.0},(23,358):{'5_1':0.0,'5_2':0.0,'6_3':0.0},(23,357):{'3_1':0.06,'5_2':0.0},(23,356):{'3_1':0.03,'5_2':0.0},(23,355):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(23,354):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,353):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(23,352):{'3_1':0.09,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(23,351):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(23,350):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(23,349):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(23,348):{'5_2':0.0,'3_1':0.0},(23,347):{'3_1':0.03,'4_1':0.0},(23,346):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(23,345):{'3_1':0.0},(23,344):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(23,343):{'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(23,342):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(23,341):{'3_1':0.06,'6_2':0.0},(23,340):{'3_1':0.03,'6_2':0.0},(23,339):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(23,338):{'3_1':0.03,'4_1':0.0},(23,337):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(23,336):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(23,335):{'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(23,334):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(23,333):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(23,332):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(23,331):{'3_1':0.06,'6_2':0.0},(23,330):{'3_1':0.03,'7_6':0.0},(23,329):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(23,328):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(23,327):{'3_1':0.03},(23,326):{'3_1':0.09,'4_1':0.0},(23,325):{'3_1':0.03,'4_1':0.0},(23,324):{'3_1':0.03,'5_2':0.0},(23,323):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(23,322):{'3_1':0.06,'5_2':0.0},(23,321):{'3_1':0.03,'4_1':0.0},(23,320):{'5_2':0.0,'3_1':0.0},(23,319):{'3_1':0.03,'5_2':0.0},(23,318):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_5':0.0},(23,317):{'3_1':0.03,'4_1':0.0},(23,316):{'3_1':0.03,'5_2':0.0},(23,315):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(23,314):{'3_1':0.06,'5_2':0.0},(23,313):{'3_1':0.06,'5_2':0.0},(23,312):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(23,311):{'3_1':0.03,'5_2':0.03},(23,310):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(23,309):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(23,308):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(23,307):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(23,306):{'3_1':0.03},(23,305):{'3_1':0.03,'5_2':0.0},(23,304):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(23,303):{'3_1':0.03,'4_1':0.0},(23,302):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,301):{'3_1':0.03,'5_2':0.0},(23,300):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(23,299):{'3_1':0.03,'4_1':0.0},(23,298):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(23,297):{'3_1':0.03,'5_2':0.0},(23,296):{'3_1':0.0,'4_1':0.0},(23,295):{'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(23,294):{'3_1':0.03,'5_2':0.0},(23,293):{'3_1':0.03,'4_1':0.0},(23,292):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(23,291):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(23,290):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(23,289):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(23,288):{'3_1':0.03,'5_2':0.0},(23,287):{'3_1':0.06},(23,286):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(23,285):{'3_1':0.03,'5_1':0.0},(23,284):{'3_1':0.03},(23,283):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(23,282):{'3_1':0.0},(23,281):{'3_1':0.03,'4_1':0.0},(23,280):{'3_1':0.03,'5_2':0.0},(23,279):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(23,278):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(23,277):{'3_1':0.03,'4_1':0.0},(23,276):{'3_1':0.03,'5_2':0.0},(23,275):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(23,274):{'3_1':0.0},(23,273):{'3_1':0.0},(23,272):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,271):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(23,270):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(23,269):{'3_1':0.06},(23,268):{'3_1':0.03},(23,267):{'3_1':0.09},(23,266):{'3_1':0.03,'7_1':0.0},(23,265):{'3_1':0.03},(23,264):{'3_1':0.06},(23,263):{'3_1':0.09,'4_1':0.0},(23,262):{'3_1':0.09,'5_1':0.0},(23,261):{'3_1':0.09},(23,260):{'3_1':0.06,'5_1':0.0},(23,259):{'3_1':0.06,'5_1':0.0,'8_14':0.0},(23,258):{'3_1':0.06,'4_1':0.0},(23,257):{'3_1':0.03,'6_2':0.0},(23,256):{'3_1':0.09,'5_2':0.0},(23,255):{'3_1':0.06},(23,254):{'3_1':0.03,'5_1':0.0},(23,253):{'3_1':0.0,'4_1':0.0},(23,252):{'3_1':0.09},(23,251):{'3_1':0.0},(23,250):{'3_1':0.06,'4_1':0.0},(23,249):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(23,248):{'3_1':0.0,'4_1':0.0},(23,247):{'3_1':0.03},(23,246):{'3_1':0.0},(23,245):{'3_1':0.0,'5_2':0.0},(23,244):{'3_1':0.03,'5_2':0.0},(23,243):{'3_1':0.0,'5_2':0.0},(23,242):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(23,241):{'3_1':0.0,'5_2':0.0},(23,240):{'3_1':0.03,'4_1':0.0},(23,239):{'3_1':0.03,'5_1':0.0},(23,238):{'3_1':0.03},(23,237):{'3_1':0.0},(23,236):{'3_1':0.03},(23,235):{'3_1':0.03,'5_2':0.0},(23,234):{'3_1':0.0},(23,233):{'3_1':0.0,'5_2':0.0},(23,232):{'3_1':0.0,'5_2':0.0},(23,231):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(23,230):{'3_1':0.0,'5_2':0.0},(23,229):{'3_1':0.03},(23,228):{'3_1':0.03},(23,227):{'3_1':0.0,'5_2':0.0},(23,226):{'3_1':0.0},(23,225):{'3_1':0.03,'5_1':0.0},(23,224):{'3_1':0.06,'5_2':0.0},(23,223):{'3_1':0.06},(23,222):{'3_1':0.06,'5_2':0.0},(23,221):{'3_1':0.06,'4_1':0.0},(23,220):{'3_1':0.03,'5_1':0.0},(23,219):{'3_1':0.03,'5_2':0.0},(23,218):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(23,217):{'3_1':0.0},(23,216):{'3_1':0.03,'5_1':0.0},(23,215):{'3_1':0.06},(23,214):{'3_1':0.06},(23,213):{'3_1':0.06},(23,212):{'3_1':0.09},(23,211):{'3_1':0.06},(23,210):{'3_1':0.06,'4_1':0.0},(23,209):{'3_1':0.09},(23,208):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(23,207):{'3_1':0.06,'5_1':0.0},(23,206):{'3_1':0.0},(23,205):{'3_1':0.06},(23,204):{'3_1':0.03,'5_2':0.0},(23,203):{'3_1':0.03,'4_1':0.0},(23,202):{'3_1':0.0},(23,201):{'3_1':0.03,'5_1':0.0},(23,200):{'3_1':0.0},(23,199):{'3_1':0.0,'5_2':0.0},(23,198):{'3_1':0.0},(23,197):{'3_1':0.06},(23,196):{'3_1':0.0,'4_1':0.0},(23,195):{'3_1':0.06},(23,194):{'3_1':0.03},(23,193):{'3_1':0.03,'5_2':0.0},(23,192):{'3_1':0.09},(23,191):{'3_1':0.06},(23,190):{'3_1':0.03},(23,189):{'3_1':0.03},(23,188):{'3_1':0.0},(23,187):{'3_1':0.06},(23,186):{'3_1':0.0},(23,185):{'3_1':0.03},(23,184):{'3_1':0.03},(23,183):{'3_1':0.06},(23,182):{'3_1':0.03,'4_1':0.0},(23,181):{'3_1':0.03,'4_1':0.0},(23,180):{'3_1':0.03},(23,179):{'3_1':0.03},(23,178):{'3_1':0.03},(23,177):{'3_1':0.03},(23,176):{'3_1':0.06},(23,175):{'3_1':0.0},(23,174):{'3_1':0.06},(23,173):{'3_1':0.09},(23,172):{'3_1':0.03},(23,171):{'3_1':0.06},(23,170):{'3_1':0.0},(23,169):{'3_1':0.03},(23,168):{'3_1':0.0},(23,167):{'3_1':0.0},(23,166):{'3_1':0.03},(23,165):{'3_1':0.0},(23,164):{'3_1':0.0},(23,163):{'3_1':0.0},(23,162):{'3_1':0.0,'4_1':0.0},(23,161):{'3_1':0.0},(23,160):{'3_1':0.03},(23,159):{'3_1':0.0},(23,158):{'3_1':0.0},(23,157):{'3_1':0.0},(23,156):{'3_1':0.0},(23,155):{'3_1':0.0},(23,154):{'3_1':0.0},(23,153):{'3_1':0.0},(23,152):{'3_1':0.03},(23,151):{'3_1':0.03},(23,150):{'3_1':0.0},(23,148):{'3_1':0.0,'4_1':0.0},(23,147):{'3_1':0.0},(23,146):{'3_1':0.0},(23,145):{'3_1':0.03},(23,144):{'3_1':0.0},(23,143):{'3_1':0.03},(23,142):{'3_1':0.0},(23,141):{'3_1':0.0},(23,140):{'3_1':0.0},(23,139):{'3_1':0.0},(23,138):{'3_1':0.0},(23,137):{'3_1':0.0},(23,136):{'3_1':0.0},(23,135):{'3_1':0.0},(23,134):{'3_1':0.0},(23,133):{'3_1':0.0},(23,132):{'3_1':0.0},(23,131):{'3_1':0.0},(23,130):{'3_1':0.0},(23,129):{'3_1':0.0},(23,128):{'3_1':0.03},(23,127):{'3_1':0.0},(23,126):{'3_1':0.03},(23,125):{'3_1':0.0,'4_1':0.0},(23,123):{'3_1':0.0},(23,122):{'3_1':0.0},(23,121):{'3_1':0.0},(23,120):{'3_1':0.06},(23,119):{'3_1':0.0},(23,118):{'3_1':0.03},(23,116):{'3_1':0.03},(23,115):{'3_1':0.0},(23,114):{'3_1':0.0},(23,113):{'3_1':0.0},(23,112):{'3_1':0.03},(23,111):{'3_1':0.03},(23,110):{'3_1':0.0},(23,109):{'3_1':0.06},(23,108):{'3_1':0.0},(23,107):{'3_1':0.0},(23,106):{'3_1':0.0},(23,105):{'3_1':0.0},(23,102):{'3_1':0.0},(23,101):{'3_1':0.0},(23,100):{'3_1':0.0},(24,459):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(24,458):{'3_1':0.9,'5_1':0.03,'7_5':0.0},(24,457):{'3_1':0.9,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(24,456):{'3_1':0.9,'5_2':0.0},(24,455):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0},(24,454):{'3_1':0.9,'5_1':0.03,'8_20|3_1#3_1':0.0},(24,453):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(24,452):{'3_1':0.9,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_2':0.0},(24,451):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0},(24,450):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0},(24,449):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(24,448):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(24,447):{'3_1':0.84,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(24,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(24,445):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(24,444):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(24,443):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(24,442):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'8_19':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(24,441):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(24,440):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_19':0.0},(24,439):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(24,438):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(24,437):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'6_2':0.0,'4_1':0.0,'6_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(24,436):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(24,435):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_5':0.0},(24,434):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(24,433):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(24,432):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_5':0.0,'8_2':0.0},(24,431):{'3_1':0.87,'8_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(24,430):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(24,429):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'3_1#5_2':0.0,'1':-0.03},(24,428):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(24,427):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_19':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0,'8_5':0.0},(24,426):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(24,425):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(24,424):{'3_1':0.87,'5_1':0.06,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(24,423):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'3_1#5_1':0.0,'-3':0.0},(24,422):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(24,421):{'3_1':0.75,'5_1':0.03,'8_10':0.03,'6_2':0.0,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(24,420):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_3':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(24,419):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'3_1#5_1':0.0},(24,418):{'3_1':0.75,'5_1':0.09,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'4_1':0.0,'8_10':0.0,'3_1#5_1':0.0,'-3':0.0},(24,417):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(24,416):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_8':0.0},(24,415):{'3_1':0.78,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_8':0.0},(24,414):{'3_1':0.72,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(24,413):{'3_1':0.78,'8_2':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_5':0.0},(24,412):{'3_1':0.72,'5_1':0.09,'5_2':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'3_1#5_2':0.0,'-3':0.0},(24,411):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(24,410):{'3_1':0.6,'5_1':0.06,'5_2':0.06,'8_20|3_1#3_1':0.03,'8_2':0.03,'8_21|3_1#4_1':0.0,'6_2':0.0,'8_10':0.0,'8_15':0.0,'3_1#5_2':0.0,'-3':0.0},(24,409):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.0,'3_1#5_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(24,408):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'-3':0.0},(24,407):{'3_1':0.72,'5_1':0.06,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(24,406):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_3':0.0},(24,405):{'3_1':0.78,'8_20|3_1#3_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_4':0.0,'8_10':0.0,'8_11':0.0,'-3':0.0},(24,404):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(24,403):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(24,402):{'3_1':0.78,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(24,401):{'3_1':0.75,'8_20|3_1#3_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_10':0.0},(24,400):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(24,399):{'3_1':0.81,'8_20|3_1#3_1':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(24,398):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'8_10':0.0},(24,397):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_10':0.0},(24,396):{'3_1':0.69,'5_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0},(24,395):{'3_1':0.72,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(24,394):{'3_1':0.72,'5_1':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(24,393):{'3_1':0.69,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0,'5_2':0.0},(24,392):{'3_1':0.6,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(24,391):{'3_1':0.6,'5_1':0.06,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(24,390):{'3_1':0.54,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_10':0.0,'-3':0.0},(24,389):{'3_1':0.48,'6_2':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(24,388):{'3_1':0.3,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'-3':0.0,'6_3':0.0},(24,387):{'3_1':0.36,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(24,386):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(24,385):{'3_1':0.15,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0},(24,384):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(24,383):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(24,382):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(24,381):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_8':0.0},(24,380):{'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(24,379):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(24,378):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(24,377):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(24,376):{'3_1':0.06,'4_1':0.0,'-3':0.0,'5_2':0.0},(24,375):{'3_1':0.06,'4_1':0.0},(24,374):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(24,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(24,372):{'3_1':0.03,'6_3':0.0,'8_21|3_1#4_1':0.0},(24,371):{'3_1':0.06,'5_2':0.0,'-3':0.0},(24,370):{'3_1':0.03},(24,369):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(24,368):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(24,367):{'3_1':0.09,'5_2':0.0},(24,366):{'3_1':0.09,'6_3':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(24,365):{'3_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0},(24,364):{'3_1':0.06,'5_2':0.0},(24,363):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(24,362):{'3_1':0.06,'4_1':0.0},(24,361):{'3_1':0.06},(24,360):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(24,359):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(24,358):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(24,357):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(24,356):{'3_1':0.03,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(24,355):{'3_1':0.0,'4_1':0.0},(24,354):{'3_1':0.06,'5_2':0.0},(24,353):{'3_1':0.03},(24,352):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(24,351):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(24,350):{'3_1':0.0,'5_2':0.0,'-3':0.0},(24,349):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(24,348):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(24,347):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(24,346):{'3_1':0.03,'5_1':0.0},(24,345):{'3_1':0.0,'4_1':0.0},(24,344):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(24,343):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(24,342):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(24,341):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(24,340):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(24,339):{'3_1':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0},(24,338):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(24,337):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(24,336):{'3_1':0.06,'3_1#5_2':0.0},(24,335):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(24,334):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(24,333):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(24,332):{'3_1':0.03,'5_1':0.0},(24,331):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(24,330):{'3_1':0.03,'4_1':0.0},(24,329):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0},(24,328):{'3_1':0.0,'5_2':0.0},(24,327):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(24,326):{'3_1':0.09,'4_1':0.0},(24,325):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(24,324):{'3_1':0.0},(24,323):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(24,322):{'3_1':0.03,'4_1':0.0},(24,321):{'3_1':0.06,'5_2':0.0},(24,320):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(24,319):{'3_1':0.03,'5_2':0.0},(24,318):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(24,316):{'3_1':0.03,'4_1':0.0},(24,315):{'3_1':0.06},(24,314):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(24,313):{'3_1':0.03,'5_2':0.0},(24,312):{'3_1':0.06,'5_2':0.0},(24,311):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(24,310):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(24,309):{'3_1':0.0,'5_2':0.0},(24,308):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(24,307):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(24,306):{'3_1':0.03},(24,305):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(24,304):{'3_1':0.0,'4_1':0.0},(24,303):{'3_1':0.03},(24,302):{'5_2':0.03,'8_20|3_1#3_1':0.0},(24,301):{'3_1':0.06,'5_2':0.0},(24,300):{'3_1':0.03,'5_2':0.0},(24,299):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(24,298):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(24,297):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(24,296):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(24,295):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(24,294):{'3_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0},(24,293):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(24,292):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(24,291):{'4_1':0.0,'5_2':0.0},(24,290):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(24,289):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'8_14':0.0},(24,288):{'3_1':0.03,'5_2':0.0},(24,287):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_14':0.0},(24,286):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(24,285):{'3_1':0.0,'4_1':0.0},(24,284):{'3_1':0.0,'4_1':0.0,'8_14':0.0},(24,283):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(24,282):{'3_1':0.0,'5_2':0.0},(24,281):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(24,280):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(24,279):{'3_1':0.0,'5_2':0.0},(24,278):{'3_1':0.0},(24,277):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(24,276):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(24,275):{'3_1':0.03,'4_1':0.0},(24,274):{'3_1':0.03,'5_2':0.0},(24,273):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(24,272):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(24,271):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(24,270):{'3_1':0.0,'5_1':0.0},(24,269):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(24,268):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(24,267):{'3_1':0.03,'4_1':0.0},(24,266):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(24,265):{'3_1':0.0,'5_2':0.0},(24,264):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(24,263):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(24,262):{'3_1':0.06,'5_1':0.0},(24,261):{'3_1':0.03,'8_2':0.0},(24,260):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(24,259):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(24,258):{'3_1':0.03,'5_1':0.0},(24,257):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(24,256):{'3_1':0.03,'5_2':0.0},(24,255):{'3_1':0.03,'4_1':0.0},(24,254):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(24,253):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(24,252):{'3_1':0.0,'5_2':0.0},(24,251):{'3_1':0.03,'5_1':0.0},(24,250):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(24,249):{'3_1':0.03},(24,248):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(24,247):{'3_1':0.03},(24,246):{'3_1':0.0,'6_2':0.0},(24,245):{'3_1':0.0},(24,244):{'3_1':0.03},(24,243):{'3_1':0.06,'5_2':0.0},(24,242):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(24,241):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(24,240):{'3_1':0.03},(24,239):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(24,238):{'3_1':0.03},(24,237):{'3_1':0.06,'5_2':0.0},(24,236):{'3_1':0.0},(24,235):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(24,234):{'3_1':0.03},(24,233):{'3_1':0.03},(24,232):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(24,231):{'3_1':0.0},(24,230):{'3_1':0.0,'5_2':0.0},(24,229):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(24,228):{'3_1':0.03,'5_2':0.0},(24,227):{'3_1':0.0,'4_1':0.0},(24,226):{'3_1':0.0},(24,225):{'3_1':0.03,'5_1':0.0},(24,224):{'3_1':0.06,'4_1':0.0},(24,223):{'3_1':0.03,'5_1':0.0},(24,222):{'3_1':0.0,'6_2':0.0},(24,221):{'3_1':0.06},(24,220):{'3_1':0.03,'5_2':0.0},(24,219):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(24,218):{'3_1':0.09},(24,217):{'3_1':0.03},(24,216):{'3_1':0.03,'5_1':0.0},(24,215):{'3_1':0.03},(24,214):{'3_1':0.03,'4_1':0.0},(24,213):{'3_1':0.06},(24,212):{'3_1':0.06},(24,211):{'3_1':0.06,'5_1':0.0},(24,210):{'3_1':0.03},(24,209):{'3_1':0.03},(24,208):{'3_1':0.03},(24,207):{'3_1':0.03},(24,206):{'5_1':0.0,'3_1':0.0,'5_2':0.0},(24,205):{'3_1':0.03},(24,204):{'3_1':0.0,'5_1':0.0},(24,203):{'3_1':0.03},(24,202):{'3_1':0.0},(24,201):{'3_1':0.0},(24,200):{'3_1':0.0,'5_2':0.0},(24,199):{'3_1':0.0},(24,198):{'3_1':0.03,'5_2':0.0},(24,197):{'3_1':0.0},(24,196):{'3_1':0.03},(24,195):{'3_1':0.0},(24,194):{'3_1':0.03},(24,193):{'3_1':0.03},(24,192):{'3_1':0.03},(24,191):{'3_1':0.0},(24,190):{'3_1':0.03},(24,189):{'3_1':0.0},(24,188):{'3_1':0.03},(24,186):{'3_1':0.0},(24,185):{'3_1':0.0},(24,184):{'3_1':0.03},(24,183):{'3_1':0.06},(24,182):{'3_1':0.03,'4_1':0.0},(24,181):{'3_1':0.03},(24,180):{'3_1':0.09},(24,179):{'3_1':0.03},(24,178):{'3_1':0.0},(24,177):{'3_1':0.03},(24,176):{'3_1':0.03},(24,175):{'3_1':0.03},(24,174):{'3_1':0.0},(24,173):{'3_1':0.0},(24,172):{'3_1':0.03},(24,171):{'3_1':0.0},(24,170):{'3_1':0.0},(24,169):{'3_1':0.0},(24,168):{'3_1':0.03},(24,167):{'3_1':0.03},(24,166):{'3_1':0.03},(24,165):{'3_1':0.06},(24,164):{'3_1':0.0},(24,163):{'3_1':0.0},(24,162):{'3_1':0.03},(24,161):{'3_1':0.03},(24,160):{'3_1':0.0},(24,159):{'3_1':0.0},(24,158):{'3_1':0.0},(24,157):{'3_1':0.0},(24,156):{'3_1':0.03},(24,155):{'3_1':0.0},(24,154):{'3_1':0.03},(24,153):{'3_1':0.0},(24,152):{'3_1':0.03},(24,151):{'3_1':0.03},(24,150):{'3_1':0.03},(24,149):{'3_1':0.0},(24,148):{'3_1':0.0,'4_1':0.0},(24,147):{'3_1':0.03},(24,146):{'3_1':0.0},(24,145):{'3_1':0.0},(24,144):{'3_1':0.0,'4_1':0.0},(24,143):{'3_1':0.03},(24,142):{'3_1':0.0},(24,141):{'3_1':0.0},(24,140):{'3_1':0.0},(24,139):{'3_1':0.0,'4_1':0.0},(24,138):{'3_1':0.0},(24,137):{'3_1':0.03},(24,136):{'3_1':0.0},(24,135):{'3_1':0.0},(24,134):{'3_1':0.0},(24,132):{'3_1':0.0},(24,131):{'3_1':0.0},(24,130):{'3_1':0.0},(24,129):{'3_1':0.0},(24,128):{'3_1':0.03},(24,127):{'3_1':0.0},(24,125):{'3_1':0.0},(24,124):{'3_1':0.0},(24,123):{'3_1':0.0},(24,122):{'3_1':0.0},(24,121):{'3_1':0.03},(24,120):{'3_1':0.0},(24,119):{'3_1':0.03},(24,118):{'3_1':0.0},(24,117):{'3_1':0.0},(24,116):{'3_1':0.03},(24,115):{'3_1':0.03},(24,113):{'3_1':0.0},(24,112):{'3_1':0.03},(24,111):{'3_1':0.03},(24,110):{'3_1':0.09},(24,109):{'3_1':0.0},(24,108):{'3_1':0.03},(24,107):{'3_1':0.0},(24,106):{'3_1':0.0},(24,105):{'3_1':0.0},(24,104):{'3_1':0.0},(24,103):{'3_1':0.0},(24,102):{'3_1':0.0},(24,101):{'3_1':0.0},(24,98):{'3_1':0.0},(24,95):{'3_1':0.0},(24,93):{'3_1':0.0},(25,459):{'3_1':0.9,'8_20|3_1#3_1':0.0,'6_2':0.0},(25,458):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(25,457):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0},(25,456):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0},(25,455):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(25,454):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(25,453):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(25,452):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(25,451):{'3_1':0.9,'8_20|3_1#3_1':0.0},(25,450):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_10':0.0},(25,449):{'3_1':0.9,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_2':0.0},(25,448):{'3_1':0.9,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(25,447):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(25,446):{'3_1':0.9,'5_2':0.0,'7_1':0.0,'-3':0.0,'5_1':0.0,'8_19':0.0},(25,445):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(25,444):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(25,443):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(25,442):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(25,441):{'3_1':0.84,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0,'1':-0.03},(25,440):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'8_2':0.0},(25,439):{'3_1':0.84,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_5':0.0},(25,438):{'3_1':0.9,'8_2':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(25,437):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0,'8_10':0.0,'6_2':0.0},(25,436):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0},(25,435):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_19':0.0},(25,434):{'3_1':0.78,'8_20|3_1#3_1':0.03,'7_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0},(25,433):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(25,432):{'3_1':0.87,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(25,431):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(25,430):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0,'1':-0.03},(25,429):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_5':0.0,'8_19':0.0},(25,428):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'8_2':0.0},(25,427):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(25,426):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(25,425):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(25,424):{'3_1':0.87,'5_1':0.06,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(25,423):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(25,422):{'3_1':0.84,'8_20|3_1#3_1':0.06,'8_2':0.03,'5_1':0.0,'7_1':0.0},(25,421):{'3_1':0.78,'5_1':0.12,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(25,420):{'3_1':0.75,'5_1':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(25,419):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(25,418):{'3_1':0.78,'5_1':0.09,'8_20|3_1#3_1':0.0,'7_3':0.0,'5_2':0.0,'7_5':0.0},(25,417):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(25,416):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'3_1#5_1':0.0,'-3':0.0},(25,415):{'3_1':0.72,'5_1':0.06,'6_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_5':0.0,'-3':0.0},(25,414):{'3_1':0.75,'5_1':0.09,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_5':0.0,'8_10':0.0,'8_19':0.0,'-3':0.0},(25,413):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0},(25,412):{'3_1':0.75,'5_1':0.06,'-3':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'8_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(25,411):{'3_1':0.72,'5_1':0.09,'8_20|3_1#3_1':0.03,'7_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_2':0.0,'-3':0.0},(25,410):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_19':0.0,'3_1#5_1':0.0},(25,409):{'3_1':0.72,'5_1':0.09,'-3':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0},(25,408):{'3_1':0.81,'8_2':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(25,407):{'3_1':0.72,'5_1':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(25,406):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(25,405):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(25,404):{'3_1':0.66,'8_20|3_1#3_1':0.09,'5_1':0.03,'8_10':0.0,'5_2':0.0,'7_5':0.0,'6_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(25,403):{'3_1':0.81,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(25,402):{'3_1':0.87,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(25,401):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(25,400):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(25,399):{'3_1':0.75,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'-3':0.0},(25,398):{'3_1':0.81,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'1':-0.03},(25,397):{'3_1':0.84,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(25,396):{'3_1':0.78,'8_20|3_1#3_1':0.03,'8_10':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(25,395):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_10':0.0},(25,394):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(25,393):{'3_1':0.63,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_10':0.0,'5_2':0.0},(25,392):{'3_1':0.66,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_6':0.0},(25,391):{'3_1':0.63,'5_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_17':0.0},(25,390):{'3_1':0.66,'5_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_10':0.0},(25,389):{'3_1':0.57,'4_1':0.03,'5_1':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'8_8':0.0},(25,388):{'3_1':0.51,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_10':0.0},(25,387):{'3_1':0.3,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(25,386):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(25,385):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(25,384):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(25,383):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(25,382):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(25,381):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'6_3':0.0,'3_1#5_1':0.0},(25,380):{'3_1':0.09,'4_1':0.03,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(25,379):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(25,378):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(25,377):{'3_1':0.03,'-3':0.0,'5_2':0.0,'6_3':0.0},(25,376):{'3_1':0.06,'4_1':0.03,'8_8':0.0},(25,375):{'3_1':0.09,'5_2':0.0,'7_6':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(25,374):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(25,373):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(25,372):{'3_1':0.03,'4_1':0.03},(25,371):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(25,370):{'3_1':0.03,'4_1':0.0},(25,369):{'3_1':0.03,'4_1':0.0},(25,368):{'3_1':0.03,'4_1':0.0},(25,367):{'3_1':0.06,'4_1':0.03},(25,366):{'3_1':0.06,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(25,365):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(25,364):{'3_1':0.03,'4_1':0.0},(25,363):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0},(25,362):{'3_1':0.03,'5_2':0.0},(25,361):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(25,360):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(25,359):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(25,358):{'3_1':0.06,'5_2':0.0},(25,357):{'3_1':0.06,'5_2':0.0},(25,356):{'3_1':0.03,'4_1':0.0},(25,355):{'3_1':0.03},(25,354):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(25,353):{'3_1':0.03,'4_1':0.0,'8_8':0.0},(25,352):{'3_1':0.03,'5_2':0.0},(25,351):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(25,350):{'3_1':0.03,'5_2':0.0},(25,349):{'3_1':0.03,'5_2':0.0},(25,348):{'3_1':0.03,'4_1':0.0},(25,347):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(25,346):{'3_1':0.03,'4_1':0.0},(25,345):{'3_1':0.0,'4_1':0.0},(25,344):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(25,343):{'3_1':0.03},(25,342):{'3_1':0.03,'4_1':0.0},(25,341):{'3_1':0.06,'4_1':0.0},(25,340):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(25,339):{'3_1':0.06,'5_1':0.0},(25,338):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(25,337):{'3_1':0.03,'5_2':0.0},(25,336):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'7_2':0.0},(25,335):{'3_1':0.03,'5_2':0.0},(25,334):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(25,333):{'3_1':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(25,332):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(25,331):{'3_1':0.06,'8_20|3_1#3_1':0.0},(25,330):{'3_1':0.03,'5_2':0.0},(25,329):{'3_1':0.09,'5_2':0.0,'8_21|3_1#4_1':0.0},(25,328):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(25,327):{'3_1':0.0},(25,326):{'3_1':0.0},(25,325):{'3_1':0.06,'4_1':0.0},(25,324):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(25,323):{'3_1':0.0,'5_1':0.0,'6_3':0.0},(25,322):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(25,321):{'5_2':0.03,'3_1':0.03},(25,320):{'5_2':0.0,'3_1':0.0},(25,319):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(25,318):{'3_1':0.0},(25,317):{'3_1':0.06},(25,316):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(25,315):{'3_1':0.03,'5_2':0.0},(25,314):{'3_1':0.03,'4_1':0.0},(25,313):{'3_1':0.0,'5_2':0.0},(25,312):{'3_1':0.0},(25,311):{'3_1':0.0},(25,310):{'3_1':0.03,'5_2':0.0},(25,309):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(25,308):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(25,307):{'3_1':0.03},(25,306):{'3_1':0.0,'5_2':0.0},(25,305):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_8':0.0},(25,304):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(25,303):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(25,302):{'3_1':0.03,'4_1':0.0},(25,301):{'3_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(25,300):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(25,299):{'3_1':0.06,'8_20|3_1#3_1':0.0},(25,298):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(25,297):{'3_1':0.03,'5_2':0.0},(25,296):{'3_1':0.0},(25,295):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(25,294):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(25,293):{'3_1':0.0},(25,292):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(25,291):{'3_1':0.03,'5_2':0.0},(25,290):{'3_1':0.03,'5_2':0.0},(25,289):{'3_1':0.03,'5_2':0.0},(25,288):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(25,287):{'3_1':0.03,'5_1':0.0},(25,286):{'3_1':0.06},(25,285):{'3_1':0.03,'6_3':0.0},(25,284):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(25,283):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(25,282):{'3_1':0.03},(25,281):{'3_1':0.0,'5_2':0.0},(25,280):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(25,279):{'3_1':0.03,'4_1':0.0},(25,278):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(25,277):{'3_1':0.03},(25,276):{'3_1':0.03},(25,275):{'3_1':0.03},(25,274):{'3_1':0.03},(25,273):{'3_1':0.03,'5_2':0.0},(25,272):{'3_1':0.03},(25,271):{'3_1':0.0,'4_1':0.0},(25,270):{'3_1':0.06,'5_2':0.0},(25,269):{'3_1':0.06,'5_2':0.0},(25,268):{'3_1':0.0},(25,267):{'3_1':0.03,'5_2':0.0},(25,266):{'3_1':0.03},(25,265):{'3_1':0.06,'4_1':0.0},(25,264):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(25,263):{'3_1':0.09},(25,262):{'3_1':0.03,'5_1':0.0},(25,261):{'3_1':0.0,'5_1':0.0},(25,260):{'3_1':0.03,'5_1':0.0},(25,259):{'3_1':0.06},(25,258):{'3_1':0.09},(25,257):{'3_1':0.03},(25,256):{'3_1':0.06},(25,255):{'3_1':0.03,'5_2':0.0},(25,254):{'3_1':0.06},(25,253):{'3_1':0.06},(25,252):{'3_1':0.0,'5_1':0.0},(25,251):{'3_1':0.06},(25,250):{'3_1':0.03},(25,249):{'3_1':0.0,'5_2':0.0},(25,248):{'3_1':0.0,'5_2':0.0},(25,247):{'3_1':0.0},(25,246):{'3_1':0.0},(25,245):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(25,244):{'3_1':0.0,'5_2':0.0},(25,243):{'3_1':0.0},(25,242):{'3_1':0.0},(25,241):{'3_1':0.03},(25,240):{'3_1':0.03},(25,239):{'5_2':0.0,'3_1':0.0},(25,238):{'3_1':0.03},(25,237):{'3_1':0.0},(25,236):{'3_1':0.03},(25,235):{'3_1':0.0,'5_2':0.0},(25,234):{'3_1':0.0,'5_2':0.0},(25,233):{'3_1':0.0,'6_3':0.0},(25,232):{'3_1':0.06,'6_3':0.0},(25,231):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(25,230):{'3_1':0.0},(25,229):{'3_1':0.0,'5_2':0.0},(25,228):{'3_1':0.0},(25,227):{'3_1':0.06},(25,226):{'3_1':0.0},(25,225):{'3_1':0.0},(25,224):{'3_1':0.03},(25,223):{'3_1':0.03,'5_1':0.0},(25,222):{'3_1':0.06,'6_3':0.0},(25,221):{'3_1':0.03,'5_2':0.0},(25,220):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(25,219):{'3_1':0.06},(25,218):{'3_1':0.09},(25,217):{'3_1':0.06},(25,216):{'3_1':0.06},(25,215):{'3_1':0.03},(25,214):{'3_1':0.06,'5_1':0.0},(25,213):{'3_1':0.0},(25,212):{'3_1':0.0},(25,211):{'3_1':0.06},(25,210):{'3_1':0.09},(25,209):{'3_1':0.06},(25,208):{'3_1':0.09},(25,207):{'3_1':0.03,'5_2':0.0},(25,206):{'3_1':0.03},(25,205):{'3_1':0.03},(25,204):{'3_1':0.0},(25,203):{'3_1':0.03},(25,202):{'3_1':0.06},(25,201):{'3_1':0.03},(25,200):{'3_1':0.0},(25,199):{'3_1':0.0,'5_2':0.0},(25,198):{'3_1':0.0},(25,197):{'3_1':0.06},(25,196):{'3_1':0.0},(25,195):{'3_1':0.0},(25,194):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(25,193):{'3_1':0.03},(25,192):{'3_1':0.03,'4_1':0.0},(25,191):{'3_1':0.03},(25,190):{'3_1':0.06},(25,189):{'3_1':0.03},(25,188):{'3_1':0.09},(25,187):{'3_1':0.03},(25,186):{'3_1':0.03},(25,185):{'3_1':0.0},(25,184):{'3_1':0.0},(25,183):{'3_1':0.09,'4_1':0.0},(25,182):{'3_1':0.03},(25,181):{'3_1':0.06},(25,180):{'3_1':0.03},(25,179):{'3_1':0.03,'5_1':0.0},(25,178):{'3_1':0.03},(25,177):{'3_1':0.03},(25,176):{'3_1':0.0},(25,175):{'3_1':0.0},(25,174):{'3_1':0.0},(25,173):{'3_1':0.06},(25,172):{'3_1':0.03},(25,171):{'3_1':0.06},(25,170):{'3_1':0.03},(25,169):{'3_1':0.0},(25,168):{'3_1':0.03},(25,167):{'3_1':0.03},(25,166):{'3_1':0.0,'4_1':0.0},(25,165):{'3_1':0.03},(25,164):{'3_1':0.03},(25,163):{'3_1':0.0},(25,162):{'3_1':0.03},(25,161):{'3_1':0.03},(25,160):{'3_1':0.0},(25,159):{'3_1':0.03},(25,158):{'3_1':0.0},(25,157):{'3_1':0.0},(25,156):{'3_1':0.0,'4_1':0.0},(25,155):{'3_1':0.0},(25,154):{'3_1':0.06},(25,153):{'3_1':0.0},(25,152):{'3_1':0.0},(25,151):{'3_1':0.0},(25,150):{'3_1':0.03},(25,149):{'3_1':0.0,'4_1':0.0},(25,148):{'3_1':0.03},(25,147):{'3_1':0.06},(25,146):{'3_1':0.0,'4_1':0.0},(25,145):{'3_1':0.03},(25,144):{'3_1':0.03},(25,143):{'3_1':0.0},(25,142):{'3_1':0.0},(25,141):{'3_1':0.0},(25,140):{'3_1':0.0},(25,139):{'3_1':0.0},(25,138):{'3_1':0.0},(25,136):{'3_1':0.03},(25,135):{'3_1':0.0},(25,134):{'3_1':0.03},(25,133):{'3_1':0.0},(25,132):{'3_1':0.0,'4_1':0.0},(25,131):{'3_1':0.0},(25,130):{'3_1':0.03},(25,129):{'3_1':0.0},(25,128):{'3_1':0.03},(25,127):{'3_1':0.0},(25,126):{'3_1':0.03},(25,125):{'3_1':0.03},(25,124):{'3_1':0.0},(25,123):{'3_1':0.03},(25,122):{'3_1':0.0},(25,121):{'3_1':0.0},(25,120):{'3_1':0.0},(25,119):{'3_1':0.0},(25,118):{'3_1':0.03},(25,117):{'3_1':0.0},(25,116):{'3_1':0.03},(25,115):{'3_1':0.03},(25,114):{'3_1':0.03},(25,113):{'3_1':0.0},(25,112):{'3_1':0.0},(25,111):{'3_1':0.0},(25,110):{'3_1':0.03},(25,109):{'3_1':0.0},(25,108):{'3_1':0.0},(25,107):{'3_1':0.0},(25,106):{'3_1':0.0},(25,105):{'3_1':0.0},(25,104):{'3_1':0.0},(25,103):{'3_1':0.0},(25,102):{'3_1':0.0},(25,101):{'3_1':0.0},(25,100):{'3_1':0.0},(25,95):{'3_1':0.0},(26,459):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(26,458):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(26,457):{'3_1':0.9,'4_1':0.0,'7_1':0.0,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(26,456):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(26,455):{'3_1':0.9,'4_1':0.0,'5_2':0.0},(26,454):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(26,453):{'3_1':0.84,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(26,452):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(26,451):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_4':0.0},(26,450):{'3_1':0.87,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'8_19':0.0},(26,449):{'3_1':0.84,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(26,448):{'3_1':0.9,'5_2':0.0,'7_3':0.0,'8_2':0.0,'3_1#5_2':0.0},(26,447):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(26,446):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(26,445):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0},(26,444):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'7_3':0.0},(26,443):{'3_1':0.81,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'3_1#5_2':0.0,'-3':0.0},(26,442):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0},(26,441):{'3_1':0.84,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0,'3_1#5_1':0.0},(26,440):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0,'3_1#5_2':0.0},(26,439):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0,'8_19':0.0},(26,438):{'3_1':0.87,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'-3':0.0},(26,437):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0},(26,436):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0,'8_19':0.0,'-3':0.0},(26,435):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0,'-3':0.0},(26,434):{'3_1':0.84,'8_20|3_1#3_1':0.06,'7_5':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(26,433):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(26,432):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_5':0.0,'-3':0.0},(26,431):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_1':0.0,'8_10':0.0},(26,430):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'8_19':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(26,429):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(26,428):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(26,427):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(26,426):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_5':0.0,'8_19':0.0,'-3':0.0},(26,425):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'8_10':0.0,'-3':0.0},(26,424):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(26,423):{'3_1':0.87,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(26,422):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0},(26,421):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_5':0.0},(26,420):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'-3':0.0},(26,419):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0,'8_11':0.0,'-3':0.0},(26,418):{'3_1':0.78,'5_1':0.03,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0},(26,417):{'3_1':0.75,'8_20|3_1#3_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'8_2':0.0,'3_1#5_2':0.0},(26,416):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0,'3_1#5_1':0.0,'-3':0.0},(26,415):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'5_2':0.0,'8_10':0.0,'8_14':0.0},(26,414):{'3_1':0.66,'5_1':0.06,'8_2':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'6_2':0.0,'8_10':0.0,'8_19':0.0},(26,413):{'3_1':0.66,'5_1':0.06,'8_20|3_1#3_1':0.06,'5_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(26,412):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_6':0.0,'3_1#5_1':0.0},(26,411):{'3_1':0.6,'5_1':0.18,'5_2':0.06,'8_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'8_6':0.0,'3_1#5_1':0.0},(26,410):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'7_5':0.0,'8_10':0.0},(26,409):{'3_1':0.6,'5_1':0.15,'5_2':0.06,'6_2':0.06,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(26,408):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(26,407):{'3_1':0.75,'5_2':0.06,'5_1':0.03,'8_10':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(26,406):{'3_1':0.78,'5_1':0.03,'8_10':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0},(26,405):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0},(26,404):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(26,403):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0,'-3':0.0},(26,402):{'3_1':0.75,'4_1':0.03,'5_1':0.03,'6_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0},(26,401):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(26,400):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_10':0.0},(26,399):{'3_1':0.78,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_10':0.0,'4_1':0.0,'5_1':0.0},(26,398):{'3_1':0.81,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_6':0.0,'8_10':0.0},(26,397):{'3_1':0.78,'8_20|3_1#3_1':0.06,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0},(26,396):{'3_1':0.72,'8_20|3_1#3_1':0.06,'5_1':0.0,'4_1':0.0,'7_6':0.0},(26,395):{'3_1':0.78,'8_20|3_1#3_1':0.06,'5_1':0.0,'5_2':0.0,'8_10':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(26,394):{'3_1':0.66,'8_20|3_1#3_1':0.06,'5_1':0.06,'5_2':0.0,'4_1':0.0,'8_8':0.0,'8_10':0.0},(26,393):{'3_1':0.66,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(26,392):{'3_1':0.66,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(26,391):{'3_1':0.69,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0},(26,390):{'3_1':0.51,'8_20|3_1#3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0},(26,389):{'3_1':0.57,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_10':0.0,'-3':0.0},(26,388):{'3_1':0.39,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'8_9':0.0,'8_10':0.0},(26,387):{'3_1':0.27,'6_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_8':0.0,'8_10':0.0},(26,386):{'3_1':0.21,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(26,385):{'3_1':0.18,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(26,384):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(26,383):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'-3':0.0},(26,382):{'3_1':0.09,'6_1':0.0,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(26,381):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(26,380):{'3_1':0.03,'4_1':0.03},(26,379):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(26,378):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(26,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(26,376):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(26,375):{'3_1':0.03,'4_1':0.03,'8_10':0.0,'5_1':0.0},(26,374):{'3_1':0.06,'6_3':0.0},(26,373):{'3_1':0.06,'4_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(26,372):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_8':0.0},(26,371):{'3_1':0.0,'5_2':0.0,'-3':0.0},(26,370):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0},(26,369):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(26,368):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(26,367):{'3_1':0.06},(26,366):{'3_1':0.06},(26,365):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(26,364):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(26,363):{'3_1':0.06,'5_2':0.0},(26,362):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_14':0.0},(26,361):{'4_1':0.0,'8_20|3_1#3_1':0.0},(26,360):{'3_1':0.06,'5_2':0.0},(26,359):{'3_1':0.03,'4_1':0.0},(26,358):{'3_1':0.03,'4_1':0.0},(26,357):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(26,356):{'3_1':0.09},(26,355):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(26,354):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_8':0.0},(26,353):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(26,352):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(26,351):{'3_1':0.03,'4_1':0.0},(26,350):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(26,349):{'3_1':0.06,'5_1':0.0},(26,348):{'3_1':0.03},(26,347):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(26,346):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(26,345):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(26,344):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(26,343):{'3_1':0.0},(26,342):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(26,341):{'3_1':0.0,'5_2':0.0},(26,340):{'3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(26,339):{'3_1':0.06,'5_1':0.0},(26,338):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(26,337):{'3_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(26,336):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0},(26,335):{'5_2':0.0,'4_1':0.0,'3_1':0.0,'5_1':0.0},(26,334):{'3_1':0.06,'8_14':0.0},(26,333):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(26,332):{'3_1':0.06,'5_1':0.0},(26,331):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(26,330):{'3_1':0.06,'5_2':0.0},(26,329):{'3_1':0.06,'4_1':0.0},(26,328):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(26,327):{'3_1':0.06},(26,326):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(26,325):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(26,324):{'3_1':0.0},(26,323):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(26,322):{'3_1':0.06},(26,321):{'3_1':0.03,'5_2':0.0},(26,320):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(26,319):{'3_1':0.06,'6_1':0.0},(26,318):{'3_1':0.03,'5_1':0.0},(26,317):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(26,316):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(26,315):{'3_1':0.03,'5_1':0.0,'7_5':0.0},(26,314):{'3_1':0.06,'5_1':0.0},(26,313):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(26,312):{'3_1':0.0,'5_2':0.0},(26,311):{'3_1':0.06},(26,310):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(26,309):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(26,308):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(26,307):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'7_5':0.0},(26,306):{'5_2':0.0,'3_1':0.0,'5_1':0.0,'4_1':0.0},(26,305):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(26,304):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(26,303):{'3_1':0.03,'4_1':0.0},(26,302):{'3_1':0.03,'5_2':0.0},(26,301):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_19':0.0},(26,300):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(26,299):{'3_1':0.0},(26,298):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(26,297):{'3_1':0.06,'5_2':0.0},(26,296):{'3_1':0.0,'4_1':0.0},(26,295):{'5_2':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0},(26,294):{'3_1':0.0,'5_1':0.0},(26,293):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(26,292):{'3_1':0.03,'5_2':0.0},(26,291):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(26,290):{'3_1':0.03,'5_2':0.0},(26,289):{'3_1':0.03,'4_1':0.0},(26,288):{'3_1':0.0,'5_2':0.0},(26,287):{'5_1':0.0,'5_2':0.0},(26,286):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(26,285):{'3_1':0.0},(26,284):{'3_1':0.0,'5_2':0.0},(26,283):{'3_1':0.0},(26,282):{'3_1':0.03,'4_1':0.0},(26,281):{'3_1':0.0,'5_2':0.0},(26,280):{'3_1':0.0,'4_1':0.0},(26,279):{'3_1':0.0,'5_1':0.0,'7_5':0.0},(26,278):{'3_1':0.03},(26,277):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(26,276):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(26,275):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(26,274):{'3_1':0.06,'6_1':0.0},(26,273):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(26,272):{'3_1':0.03,'4_1':0.0},(26,271):{'3_1':0.0},(26,270):{'3_1':0.0},(26,269):{'3_1':0.03},(26,268):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(26,267):{'3_1':0.06,'5_2':0.0},(26,266):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(26,265):{'3_1':0.06,'5_1':0.0},(26,264):{'3_1':0.12,'5_1':0.0},(26,263):{'3_1':0.03,'5_1':0.0},(26,262):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(26,261):{'3_1':0.03},(26,260):{'3_1':0.0,'5_1':0.0},(26,259):{'3_1':0.03,'5_1':0.0,'7_5':0.0},(26,258):{'3_1':0.06},(26,257):{'3_1':0.06},(26,256):{'3_1':0.09},(26,255):{'3_1':0.03,'5_2':0.0},(26,254):{'3_1':0.03,'5_1':0.0},(26,253):{'3_1':0.06,'5_1':0.0},(26,252):{'3_1':0.03,'5_1':0.0},(26,251):{'3_1':0.03,'5_1':0.0},(26,250):{'3_1':0.06},(26,249):{'3_1':0.0},(26,248):{'3_1':0.0},(26,247):{'3_1':0.0,'5_2':0.0},(26,246):{'3_1':0.0,'5_2':0.0},(26,245):{'3_1':0.0,'5_2':0.0},(26,244):{'3_1':0.03,'5_2':0.0},(26,243):{'3_1':0.06},(26,242):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(26,241):{'3_1':0.03,'8_20|3_1#3_1':0.0},(26,240):{'3_1':0.03,'5_2':0.0},(26,239):{'3_1':0.03,'5_2':0.0},(26,238):{'3_1':0.03,'5_2':0.0},(26,237):{'3_1':0.03},(26,236):{'3_1':0.0,'5_2':0.0},(26,235):{'3_1':0.0,'5_2':0.0},(26,234):{'3_1':0.03,'5_2':0.0},(26,233):{'3_1':0.0,'5_2':0.0},(26,231):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(26,230):{'3_1':0.03},(26,229):{'5_2':0.0},(26,228):{'3_1':0.03},(26,227):{'3_1':0.03,'5_2':0.0},(26,226):{'3_1':0.0,'5_1':0.0},(26,225):{'3_1':0.09},(26,224):{'3_1':0.03},(26,223):{'3_1':0.09,'5_1':0.0},(26,222):{'3_1':0.06},(26,221):{'3_1':0.06,'5_1':0.0},(26,220):{'3_1':0.03},(26,219):{'3_1':0.03,'5_1':0.0},(26,218):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(26,217):{'3_1':0.06,'5_2':0.0},(26,216):{'3_1':0.0},(26,215):{'3_1':0.06,'5_1':0.0},(26,214):{'3_1':0.03},(26,213):{'3_1':0.03},(26,212):{'3_1':0.09},(26,211):{'3_1':0.03},(26,210):{'3_1':0.03},(26,209):{'3_1':0.06},(26,208):{'3_1':0.12,'5_2':0.0},(26,207):{'3_1':0.03},(26,206):{'3_1':0.03},(26,205):{'3_1':0.03},(26,204):{'3_1':0.03,'5_2':0.0},(26,203):{'3_1':0.06},(26,202):{'3_1':0.03},(26,201):{'3_1':0.0,'5_2':0.0},(26,200):{'3_1':0.03},(26,199):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(26,198):{'3_1':0.06},(26,197):{'3_1':0.0},(26,196):{'3_1':0.03},(26,195):{'3_1':0.0},(26,194):{'3_1':0.0},(26,193):{'3_1':0.06},(26,192):{'3_1':0.03},(26,191):{'3_1':0.0},(26,190):{'3_1':0.03},(26,189):{'3_1':0.03},(26,188):{'3_1':0.03},(26,187):{'3_1':0.0},(26,186):{'3_1':0.0},(26,185):{'3_1':0.06},(26,184):{'3_1':0.03},(26,183):{'3_1':0.03},(26,182):{'3_1':0.06},(26,181):{'3_1':0.06},(26,180):{'3_1':0.0},(26,179):{'3_1':0.0},(26,178):{'3_1':0.03},(26,177):{'3_1':0.06},(26,176):{'3_1':0.03},(26,175):{'3_1':0.03},(26,174):{'3_1':0.0},(26,173):{'3_1':0.0},(26,172):{'3_1':0.0},(26,171):{'3_1':0.0},(26,170):{'3_1':0.03},(26,169):{'3_1':0.03},(26,168):{'3_1':0.03},(26,167):{'3_1':0.03},(26,166):{'3_1':0.0},(26,165):{'3_1':0.06,'4_1':0.0},(26,164):{'3_1':0.0},(26,163):{'3_1':0.0,'4_1':0.0},(26,162):{'3_1':0.03},(26,161):{'3_1':0.03},(26,160):{'3_1':0.0},(26,159):{'3_1':0.03},(26,158):{'3_1':0.03,'4_1':0.0},(26,157):{'3_1':0.03},(26,156):{'3_1':0.0},(26,155):{'3_1':0.03,'4_1':0.0},(26,154):{'3_1':0.0},(26,153):{'3_1':0.03},(26,152):{'3_1':0.0},(26,151):{'3_1':0.0},(26,150):{'3_1':0.0},(26,149):{'3_1':0.0},(26,148):{'3_1':0.0},(26,146):{'3_1':0.0},(26,145):{'3_1':0.0},(26,144):{'3_1':0.03},(26,143):{'3_1':0.0},(26,142):{'3_1':0.0},(26,141):{'3_1':0.0},(26,140):{'3_1':0.0},(26,139):{'3_1':0.0},(26,137):{'3_1':0.0},(26,136):{'3_1':0.03},(26,135):{'3_1':0.0},(26,134):{'3_1':0.0},(26,132):{'3_1':0.0},(26,131):{'3_1':0.0},(26,130):{'3_1':0.0},(26,129):{'3_1':0.0},(26,128):{'3_1':0.0},(26,127):{'3_1':0.0},(26,126):{'3_1':0.06},(26,125):{'3_1':0.03},(26,124):{'3_1':0.0},(26,121):{'3_1':0.03},(26,120):{'3_1':0.0},(26,119):{'3_1':0.0},(26,117):{'3_1':0.0},(26,116):{'3_1':0.0},(26,114):{'3_1':0.03},(26,113):{'3_1':0.0},(26,112):{'3_1':0.03},(26,111):{'3_1':0.0},(26,110):{'3_1':0.03},(26,109):{'3_1':0.0},(26,108):{'3_1':0.0},(26,107):{'3_1':0.0},(26,106):{'3_1':0.0},(26,105):{'3_1':0.0},(26,97):{'3_1':0.0},(26,96):{'3_1':0.0},(26,94):{'3_1':0.0},(27,459):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0},(27,458):{'3_1':0.9,'8_20|3_1#3_1':0.0},(27,457):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(27,456):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(27,455):{'3_1':0.9,'7_1':0.0,'7_5':0.0,'8_2':0.0},(27,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(27,453):{'3_1':0.9,'6_1':0.0,'7_1':0.0},(27,452):{'3_1':0.9,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(27,451):{'3_1':0.9,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(27,450):{'3_1':0.87,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(27,449):{'3_1':0.81,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(27,448):{'3_1':0.9,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0},(27,447):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(27,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(27,445):{'3_1':0.87,'5_1':0.03,'4_1':0.0},(27,444):{'3_1':0.87,'8_20|3_1#3_1':0.03,'8_2':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(27,443):{'3_1':0.81,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(27,442):{'3_1':0.87,'6_2':0.0,'4_1':0.0,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(27,441):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'5_2':0.0,'-3':0.0},(27,440):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_6':0.0},(27,439):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(27,438):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_10':0.0},(27,437):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(27,436):{'3_1':0.78,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(27,435):{'3_1':0.87,'6_2':0.0,'7_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(27,434):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'-3':0.0},(27,433):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(27,432):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'5_2':0.0,'8_9':0.0,'8_19':0.0},(27,431):{'3_1':0.87,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_5':0.0},(27,430):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(27,429):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'6_2':0.0,'8_5':0.0,'8_6':0.0,'3_1#5_2':0.0,'-3':0.0},(27,428):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0},(27,427):{'3_1':0.78,'8_20|3_1#3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(27,426):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(27,425):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_2':0.0,'6_2':0.0},(27,424):{'3_1':0.75,'5_1':0.03,'8_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'-3':0.0,'4_1':0.0},(27,423):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(27,422):{'3_1':0.84,'5_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(27,421):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(27,420):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0},(27,419):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(27,418):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(27,417):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'3_1#5_2':0.0,'1':-0.03},(27,416):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(27,415):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(27,414):{'3_1':0.75,'5_1':0.06,'5_2':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'8_7':0.0,'-3':0.0},(27,413):{'3_1':0.72,'5_1':0.09,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(27,412):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'6_2':0.0,'8_2':0.0,'4_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(27,411):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(27,410):{'3_1':0.66,'5_1':0.09,'8_20|3_1#3_1':0.03,'6_2':0.03,'5_2':0.0,'3_1#5_1':0.0,'8_2':0.0,'8_8':0.0,'8_19':0.0,'-3':0.0},(27,409):{'3_1':0.69,'5_1':0.06,'8_20|3_1#3_1':0.03,'6_2':0.03,'5_2':0.03,'8_2':0.0,'4_1':0.0},(27,408):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0,'8_5':0.0,'3_1#5_1':0.0,'-3':0.0},(27,407):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(27,406):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_10':0.0,'8_11':0.0,'8_19':0.0},(27,405):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(27,404):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_10':0.0},(27,403):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(27,402):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'7_3':0.0},(27,401):{'3_1':0.84,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'3_1#5_1':0.0},(27,400):{'3_1':0.81,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(27,399):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'8_6':0.0},(27,398):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_10':0.0},(27,397):{'3_1':0.81,'8_20|3_1#3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(27,396):{'3_1':0.81,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_10':0.0},(27,395):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(27,394):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(27,393):{'3_1':0.72,'8_20|3_1#3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(27,392):{'3_1':0.6,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_6':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_10':0.0},(27,391):{'3_1':0.63,'8_20|3_1#3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'-3':0.0},(27,390):{'3_1':0.69,'4_1':0.0,'5_1':0.0,'8_10':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(27,389):{'3_1':0.54,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(27,388):{'3_1':0.45,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(27,387):{'3_1':0.27,'8_20|3_1#3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(27,386):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(27,385):{'3_1':0.15,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0},(27,384):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'3_1#5_1':0.0,'6_1':0.0,'-3':0.0},(27,383):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0},(27,382):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(27,381):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_10':0.0,'-3':0.0},(27,380):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(27,379):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_8':0.0},(27,378):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_10':0.0},(27,377):{'3_1':0.06,'5_2':0.0},(27,376):{'3_1':0.09,'4_1':0.0},(27,375):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(27,374):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(27,373):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(27,372):{'3_1':0.06,'4_1':0.0,'8_14':0.0},(27,371):{'3_1':0.0,'5_1':0.0},(27,370):{'3_1':0.03,'8_20|3_1#3_1':0.0},(27,369):{'3_1':0.06,'4_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(27,368):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(27,367):{'3_1':0.06,'6_2':0.0},(27,366):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(27,365):{'3_1':0.06,'4_1':0.0},(27,364):{'3_1':0.03,'4_1':0.0,'7_5':0.0,'8_14':0.0},(27,363):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(27,362):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(27,361):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(27,360):{'3_1':0.03,'6_3':0.0,'-3':0.0},(27,359):{'3_1':0.06,'4_1':0.0},(27,358):{'3_1':0.03,'5_2':0.0},(27,357):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(27,356):{'3_1':0.09,'8_20|3_1#3_1':0.0},(27,355):{'3_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(27,354):{'3_1':0.03,'5_2':0.0,'-3':0.0},(27,353):{'3_1':0.03},(27,352):{'3_1':0.03,'5_2':0.0},(27,351):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'8_14':0.0},(27,350):{'3_1':0.0},(27,349):{'3_1':0.03},(27,348):{'3_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(27,347):{'4_1':0.0,'3_1':0.0},(27,346):{'3_1':0.03},(27,345):{'3_1':0.0,'4_1':0.0},(27,344):{'3_1':0.06,'5_2':0.0},(27,343):{'3_1':0.0},(27,342):{'3_1':0.03},(27,341):{'3_1':0.03},(27,340):{'3_1':0.06,'5_1':0.0},(27,339):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_5':0.0},(27,338):{'3_1':0.06,'5_1':0.0},(27,337):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(27,336):{'3_1':0.09},(27,335):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(27,334):{'3_1':0.06,'5_1':0.0},(27,333):{'3_1':0.03},(27,332):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(27,331):{'3_1':0.03,'5_1':0.0},(27,330):{'3_1':0.03,'7_5':0.0},(27,329):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(27,328):{'3_1':0.03,'5_2':0.0},(27,327):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(27,326):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_5':0.0},(27,325):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(27,324):{'3_1':0.03,'5_1':0.0},(27,323):{'3_1':0.03,'5_2':0.0},(27,322):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(27,321):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(27,320):{'3_1':0.03,'4_1':0.0},(27,319):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(27,318):{'3_1':0.06,'5_2':0.0,'7_5':0.0},(27,317):{'3_1':0.0,'5_2':0.0},(27,316):{'3_1':0.06,'5_2':0.0},(27,315):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(27,314):{'3_1':0.06},(27,313):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(27,312):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(27,311):{'3_1':0.06,'5_1':0.0,'7_3':0.0,'7_5':0.0},(27,310):{'3_1':0.06,'5_1':0.0},(27,309):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(27,308):{'3_1':0.06},(27,307):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'8_10':0.0},(27,306):{'3_1':0.06},(27,305):{'3_1':0.09,'7_2':0.0},(27,304):{'3_1':0.0,'5_2':0.0},(27,303):{'3_1':0.03,'5_2':0.0},(27,302):{'3_1':0.03,'4_1':0.0},(27,301):{'3_1':0.0,'4_1':0.0},(27,300):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(27,299):{'3_1':0.03,'5_2':0.0},(27,298):{'3_1':0.0,'5_2':0.0},(27,297):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(27,296):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(27,295):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(27,294):{'3_1':0.03,'5_2':0.0},(27,293):{'3_1':0.03},(27,292):{'3_1':0.0,'5_2':0.0},(27,291):{'5_2':0.03,'3_1':0.0,'7_5':0.0},(27,290):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(27,289):{'3_1':0.03,'4_1':0.0,'8_19':0.0},(27,288):{'3_1':0.0,'5_2':0.0},(27,287):{'3_1':0.03,'5_2':0.0},(27,286):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(27,285):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(27,284):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(27,283):{'3_1':0.03,'4_1':0.0},(27,282):{'3_1':0.03,'5_2':0.0},(27,281):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(27,280):{'3_1':0.03,'5_2':0.0},(27,279):{'3_1':0.0},(27,278):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(27,277):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(27,276):{'3_1':0.0,'5_1':0.0},(27,275):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(27,274):{'3_1':0.0,'5_2':0.0},(27,273):{'3_1':0.0},(27,272):{'3_1':0.03,'5_1':0.0},(27,271):{'3_1':0.09},(27,270):{'3_1':0.03},(27,269):{'3_1':0.0},(27,268):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(27,267):{'3_1':0.0,'4_1':0.0},(27,266):{'3_1':0.0,'5_1':0.0},(27,265):{'3_1':0.06,'5_1':0.0},(27,264):{'3_1':0.06,'5_1':0.0,'8_14':0.0},(27,263):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(27,262):{'3_1':0.12},(27,261):{'3_1':0.06,'5_1':0.0},(27,260):{'3_1':0.09,'4_1':0.0},(27,259):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(27,258):{'3_1':0.09,'5_2':0.0},(27,257):{'3_1':0.03,'5_1':0.0},(27,256):{'3_1':0.0},(27,255):{'3_1':0.03,'5_1':0.0},(27,254):{'3_1':0.06,'5_2':0.0},(27,253):{'3_1':0.0,'5_2':0.0},(27,252):{'3_1':0.0,'5_2':0.0},(27,251):{'3_1':0.03,'5_2':0.0},(27,250):{'3_1':0.0,'5_2':0.0},(27,249):{'3_1':0.0,'5_2':0.0},(27,248):{'3_1':0.03,'5_2':0.0},(27,247):{'3_1':0.0,'5_2':0.0},(27,246):{'3_1':0.03,'5_2':0.0},(27,245):{'3_1':0.0,'5_2':0.0},(27,244):{'3_1':0.0,'4_1':0.0},(27,243):{'3_1':0.06},(27,242):{'3_1':0.0,'5_2':0.0},(27,241):{'3_1':0.0,'5_2':0.0},(27,240):{'3_1':0.03,'5_2':0.0},(27,239):{'3_1':0.0,'4_1':0.0},(27,238):{'3_1':0.03},(27,237):{'3_1':0.0,'5_2':0.0},(27,236):{'3_1':0.0,'5_1':0.0},(27,235):{'3_1':0.0},(27,234):{'3_1':0.0,'5_2':0.0},(27,233):{'3_1':0.06,'5_2':0.0},(27,232):{'3_1':0.03},(27,231):{'3_1':0.0,'5_2':0.0},(27,230):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(27,229):{'3_1':0.0,'5_2':0.0},(27,228):{'3_1':0.03},(27,227):{'3_1':0.0,'4_1':0.0},(27,226):{'3_1':0.06},(27,225):{'3_1':0.03},(27,224):{'3_1':0.09,'6_2':0.0},(27,223):{'3_1':0.06,'5_1':0.0},(27,222):{'3_1':0.03,'5_1':0.0},(27,221):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(27,220):{'3_1':0.06,'5_1':0.0},(27,219):{'3_1':0.0,'5_1':0.0},(27,218):{'3_1':0.06,'5_2':0.0},(27,217):{'3_1':0.06},(27,216):{'3_1':0.03,'5_1':0.0},(27,215):{'3_1':0.09},(27,214):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(27,213):{'3_1':0.06,'5_1':0.0},(27,212):{'3_1':0.03},(27,211):{'3_1':0.03},(27,210):{'3_1':0.06},(27,209):{'3_1':0.09,'5_1':0.0},(27,208):{'3_1':0.06},(27,207):{'3_1':0.03,'5_1':0.0},(27,206):{'3_1':0.09,'5_2':0.0},(27,205):{'3_1':0.0},(27,204):{'3_1':0.03,'5_2':0.0},(27,203):{'3_1':0.0,'5_2':0.0},(27,202):{'3_1':0.0},(27,201):{'3_1':0.03},(27,200):{'3_1':0.0,'5_2':0.0},(27,199):{'3_1':0.03},(27,198):{'3_1':0.0},(27,197):{'3_1':0.0,'5_2':0.0},(27,196):{'3_1':0.0,'5_2':0.0},(27,195):{'3_1':0.03},(27,194):{'3_1':0.03},(27,193):{'3_1':0.03},(27,191):{'3_1':0.03},(27,190):{'3_1':0.06},(27,189):{'3_1':0.03},(27,188):{'3_1':0.03},(27,187):{'3_1':0.06},(27,186):{'3_1':0.03},(27,185):{'3_1':0.0},(27,184):{'3_1':0.03},(27,183):{'3_1':0.03},(27,182):{'3_1':0.03},(27,181):{'3_1':0.06},(27,180):{'3_1':0.03},(27,179):{'3_1':0.03},(27,178):{'3_1':0.06},(27,177):{'3_1':0.0},(27,176):{'3_1':0.0},(27,175):{'3_1':0.03},(27,174):{'3_1':0.0},(27,173):{'3_1':0.06},(27,172):{'3_1':0.0},(27,171):{'3_1':0.0},(27,170):{'3_1':0.0},(27,169):{'3_1':0.03},(27,168):{'3_1':0.03},(27,167):{'3_1':0.0},(27,166):{'3_1':0.03},(27,165):{'3_1':0.03},(27,164):{'3_1':0.0},(27,163):{'3_1':0.03},(27,162):{'3_1':0.03},(27,161):{'3_1':0.0},(27,160):{'3_1':0.0},(27,158):{'3_1':0.0},(27,156):{'3_1':0.0},(27,155):{'3_1':0.0},(27,153):{'3_1':0.03},(27,152):{'3_1':0.0},(27,150):{'3_1':0.0},(27,149):{'3_1':0.03},(27,148):{'3_1':0.0},(27,146):{'3_1':0.03},(27,145):{'3_1':0.0},(27,143):{'3_1':0.06},(27,142):{'3_1':0.0},(27,141):{'3_1':0.03},(27,140):{'3_1':0.0},(27,139):{'3_1':0.0},(27,138):{'3_1':0.03},(27,136):{'3_1':0.0},(27,135):{'3_1':0.0},(27,134):{'3_1':0.0},(27,133):{'4_1':0.0},(27,132):{'3_1':0.0},(27,131):{'3_1':0.03},(27,130):{'3_1':0.0,'4_1':0.0},(27,129):{'3_1':0.0},(27,128):{'3_1':0.0},(27,127):{'3_1':0.0},(27,126):{'3_1':0.03},(27,124):{'3_1':0.0},(27,123):{'3_1':0.0},(27,122):{'3_1':0.0,'4_1':0.0},(27,121):{'3_1':0.0},(27,120):{'3_1':0.0},(27,119):{'3_1':0.0},(27,118):{'3_1':0.03},(27,117):{'3_1':0.0},(27,116):{'3_1':0.0},(27,115):{'3_1':0.0},(27,114):{'3_1':0.0},(27,113):{'3_1':0.0},(27,112):{'3_1':0.0},(27,111):{'3_1':0.0},(27,110):{'3_1':0.03},(27,109):{'3_1':0.0},(27,108):{'3_1':0.0},(27,106):{'3_1':0.0},(27,105):{'3_1':0.0},(27,103):{'3_1':0.0},(27,101):{'3_1':0.0},(27,99):{'3_1':0.0},(28,459):{'3_1':0.9,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0},(28,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'-3':0.0},(28,457):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0},(28,456):{'3_1':0.9,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(28,455):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(28,454):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'8_10':0.0},(28,453):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_5':0.0,'-3':0.0},(28,452):{'3_1':0.9,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(28,451):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(28,450):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'8_4':0.0,'8_19':0.0},(28,449):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0},(28,448):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0},(28,447):{'3_1':0.9,'7_1':0.0},(28,446):{'3_1':0.9,'5_1':0.0,'-3':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(28,445):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(28,444):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(28,443):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(28,442):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'8_19':0.0,'3_1#5_2':0.0},(28,441):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0},(28,440):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'1':-0.03},(28,439):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0},(28,438):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_1':0.0,'8_19':0.0},(28,437):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0},(28,436):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0,'8_19':0.0},(28,435):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_10':0.0,'8_19':0.0},(28,434):{'3_1':0.9,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(28,433):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_2':0.0},(28,432):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_1':0.0,'5_2':0.0},(28,431):{'3_1':0.78,'8_20|3_1#3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(28,430):{'3_1':0.81,'5_1':0.03,'7_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(28,429):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'3_1#5_1':0.0},(28,428):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_19':0.0},(28,427):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_8':0.0,'-3':0.0},(28,426):{'3_1':0.84,'5_1':0.06,'8_20|3_1#3_1':0.0,'7_1':0.0},(28,425):{'3_1':0.78,'5_1':0.09,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0,'8_6':0.0},(28,424):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(28,423):{'3_1':0.84,'5_1':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(28,422):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0,'8_2':0.0,'8_10':0.0},(28,421):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(28,420):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(28,419):{'3_1':0.69,'8_2':0.06,'8_20|3_1#3_1':0.03,'5_1':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_5':0.0,'3_1#5_1':0.0},(28,418):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.06,'5_2':0.0,'8_2':0.0,'8_6':0.0,'-3':0.0},(28,417):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(28,416):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0},(28,415):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'8_14':0.0},(28,414):{'3_1':0.63,'5_1':0.12,'8_20|3_1#3_1':0.03,'7_3':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'6_3':0.0,'3_1#5_1':0.0},(28,413):{'3_1':0.66,'5_1':0.09,'8_20|3_1#3_1':0.06,'5_2':0.03,'-3':0.0,'7_3':0.0,'8_2':0.0},(28,412):{'3_1':0.72,'5_2':0.06,'5_1':0.03,'8_2':0.03,'7_5':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(28,411):{'3_1':0.66,'5_1':0.15,'5_2':0.03,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'8_10':0.0,'3_1#5_1':0.0},(28,410):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_19':0.0,'3_1#5_1':0.0},(28,409):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.03,'7_1':0.0,'8_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(28,408):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0},(28,407):{'3_1':0.66,'5_2':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'3_1#5_1':0.0},(28,406):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'-3':0.0},(28,405):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_2':0.03,'8_11':0.0,'6_2':0.0,'-3':0.0},(28,404):{'3_1':0.9,'6_2':0.0},(28,403):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(28,402):{'3_1':0.87,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0},(28,401):{'3_1':0.78,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0},(28,400):{'3_1':0.81,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_7':0.0,'8_10':0.0,'3_1#5_1':0.0,'-3':0.0},(28,399):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(28,398):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_10':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(28,397):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0},(28,396):{'3_1':0.78,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(28,395):{'3_1':0.78,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(28,394):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.0},(28,393):{'3_1':0.69,'5_2':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(28,392):{'3_1':0.6,'8_20|3_1#3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0},(28,391):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_10':0.0},(28,390):{'3_1':0.54,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0},(28,389):{'3_1':0.51,'6_2':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0},(28,388):{'3_1':0.51,'8_20|3_1#3_1':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(28,387):{'3_1':0.24,'4_1':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'8_8':0.0},(28,386):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(28,385):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(28,384):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0},(28,383):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(28,382):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0},(28,381):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0},(28,380):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(28,379):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(28,378):{'5_2':0.03,'4_1':0.0,'8_8':0.0},(28,377):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(28,376):{'3_1':0.03,'4_1':0.0},(28,375):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(28,374):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(28,373):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(28,372):{'3_1':0.03,'4_1':0.0},(28,371):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(28,370):{'3_1':0.03,'8_20|3_1#3_1':0.0},(28,369):{'3_1':0.09},(28,368):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(28,367):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(28,366):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(28,365):{'3_1':0.06,'5_2':0.0},(28,364):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(28,363):{'3_1':0.09,'4_1':0.0,'-3':0.0},(28,362):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(28,361):{'5_2':0.0},(28,360):{'5_2':0.0,'3_1':0.0},(28,359):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'8_18':0.0},(28,358):{'3_1':0.03,'4_1':0.0},(28,357):{'3_1':0.0,'6_3':0.0,'5_2':0.0},(28,356):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(28,355):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(28,354):{'3_1':0.0,'5_2':0.0},(28,353):{'3_1':0.03,'4_1':0.0,'8_8':0.0},(28,352):{'3_1':0.03,'6_3':0.0},(28,351):{'3_1':0.0},(28,350):{'3_1':0.03},(28,349):{'3_1':0.03,'4_1':0.0},(28,348):{'3_1':0.03},(28,347):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(28,346):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(28,345):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0},(28,344):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(28,343):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(28,342):{'3_1':0.0,'5_2':0.0},(28,341):{'3_1':0.09,'5_1':0.0},(28,340):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0},(28,339):{'3_1':0.0},(28,338):{'3_1':0.03,'7_5':0.0},(28,337):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(28,336):{'3_1':0.03,'6_2':0.0},(28,335):{'3_1':0.06},(28,334):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(28,333):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(28,332):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(28,331):{'3_1':0.06,'5_2':0.0},(28,330):{'3_1':0.06,'6_2':0.0},(28,329):{'3_1':0.06,'5_2':0.0},(28,328):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(28,327):{'3_1':0.0,'4_1':0.0},(28,326):{'3_1':0.06},(28,325):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(28,324):{'3_1':0.03,'6_2':0.0},(28,323):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(28,322):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(28,321):{'3_1':0.0,'5_2':0.0},(28,320):{'3_1':0.06},(28,319):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(28,318):{'3_1':0.0,'5_2':0.0},(28,317):{'3_1':0.03,'5_2':0.0},(28,316):{'3_1':0.09,'4_1':0.0},(28,315):{'3_1':0.06,'5_2':0.0},(28,314):{'3_1':0.03,'5_2':0.0},(28,313):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(28,312):{'3_1':0.03},(28,311):{'3_1':0.06,'5_1':0.0},(28,310):{'3_1':0.06,'5_1':0.0},(28,309):{'3_1':0.0,'4_1':0.0},(28,308):{'3_1':0.06,'4_1':0.0},(28,307):{'3_1':0.03,'5_2':0.0},(28,306):{'3_1':0.06,'5_2':0.0},(28,305):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0},(28,304):{'3_1':0.03},(28,303):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(28,302):{'3_1':0.03},(28,301):{'3_1':0.03,'5_2':0.0},(28,300):{'3_1':0.03,'4_1':0.0},(28,299):{'3_1':0.0,'5_1':0.0},(28,298):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(28,297):{'3_1':0.03,'4_1':0.0},(28,296):{'3_1':0.0},(28,295):{'3_1':0.03},(28,294):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(28,293):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(28,292):{'3_1':0.0,'5_2':0.0},(28,291):{'3_1':0.03},(28,290):{'3_1':0.03,'5_2':0.0},(28,289):{'3_1':0.0,'8_20|3_1#3_1':0.0},(28,288):{'3_1':0.0},(28,287):{'3_1':0.03,'5_2':0.0},(28,286):{'3_1':0.03},(28,285):{'3_1':0.03},(28,284):{'3_1':0.03,'5_2':0.0},(28,283):{'3_1':0.03},(28,282):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(28,281):{'3_1':0.03},(28,280):{'3_1':0.03,'5_2':0.0},(28,279):{'3_1':0.03},(28,278):{'3_1':0.0},(28,277):{'3_1':0.0,'5_2':0.0},(28,276):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(28,275):{'3_1':0.03,'5_2':0.0},(28,274):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(28,273):{'3_1':0.03},(28,272):{'3_1':0.0,'4_1':0.0},(28,271):{'3_1':0.0,'6_3':0.0},(28,270):{'3_1':0.03,'5_1':0.0},(28,269):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(28,268):{'3_1':0.03,'5_1':0.0},(28,267):{'3_1':0.03},(28,266):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(28,265):{'3_1':0.0,'5_2':0.0},(28,264):{'3_1':0.06,'7_1':0.0},(28,263):{'3_1':0.09,'5_1':0.0},(28,262):{'3_1':0.0,'5_2':0.0},(28,261):{'3_1':0.06,'5_2':0.0},(28,260):{'3_1':0.0,'5_1':0.0},(28,259):{'3_1':0.06,'5_1':0.0},(28,258):{'3_1':0.06,'5_2':0.0},(28,257):{'3_1':0.06},(28,256):{'3_1':0.06},(28,255):{'3_1':0.03},(28,254):{'3_1':0.0},(28,253):{'3_1':0.03},(28,252):{'3_1':0.0},(28,251):{'3_1':0.0},(28,250):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(28,249):{'3_1':0.03,'5_2':0.0},(28,248):{'3_1':0.03},(28,247):{'3_1':0.03,'5_2':0.0},(28,246):{'3_1':0.03,'5_2':0.0},(28,245):{'3_1':0.03,'5_2':0.0},(28,244):{'3_1':0.03},(28,243):{'3_1':0.0,'5_2':0.0},(28,242):{'3_1':0.0},(28,241):{'3_1':0.03,'5_2':0.0},(28,240):{'3_1':0.0},(28,239):{'3_1':0.0,'5_1':0.0},(28,238):{'3_1':0.0},(28,237):{'3_1':0.0},(28,236):{'3_1':0.0},(28,235):{'3_1':0.0,'5_2':0.0},(28,234):{'3_1':0.03},(28,233):{'3_1':0.03,'5_2':0.0},(28,232):{'3_1':0.03},(28,231):{'3_1':0.0,'5_2':0.0},(28,230):{'3_1':0.06,'5_2':0.0},(28,229):{'3_1':0.03},(28,228):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(28,227):{'3_1':0.0,'5_2':0.0},(28,226):{'3_1':0.06,'5_2':0.0},(28,225):{'3_1':0.0},(28,224):{'3_1':0.06},(28,223):{'3_1':0.06},(28,222):{'3_1':0.09},(28,221):{'3_1':0.03},(28,220):{'3_1':0.06},(28,219):{'3_1':0.0},(28,218):{'3_1':0.06},(28,217):{'3_1':0.06},(28,216):{'3_1':0.06,'5_1':0.0},(28,215):{'3_1':0.03},(28,214):{'3_1':0.03,'5_1':0.0},(28,213):{'3_1':0.09},(28,212):{'3_1':0.03},(28,211):{'3_1':0.03},(28,210):{'3_1':0.03},(28,209):{'3_1':0.03},(28,208):{'3_1':0.09,'5_2':0.0},(28,207):{'3_1':0.06},(28,206):{'3_1':0.06},(28,205):{'3_1':0.03,'4_1':0.0},(28,204):{'3_1':0.0,'5_2':0.0},(28,203):{'3_1':0.06},(28,202):{'3_1':0.03},(28,201):{'3_1':0.0},(28,200):{'3_1':0.0},(28,199):{'3_1':0.0},(28,198):{'3_1':0.0},(28,197):{'3_1':0.03,'5_2':0.0},(28,196):{'3_1':0.0},(28,195):{'3_1':0.0},(28,194):{'3_1':0.06},(28,193):{'3_1':0.0},(28,192):{'3_1':0.0},(28,191):{'3_1':0.03},(28,190):{'3_1':0.03},(28,189):{'3_1':0.03},(28,188):{'3_1':0.03},(28,187):{'3_1':0.03},(28,186):{'3_1':0.03},(28,185):{'3_1':0.0},(28,184):{'3_1':0.0},(28,183):{'3_1':0.03},(28,182):{'3_1':0.03},(28,181):{'3_1':0.09},(28,180):{'3_1':0.06},(28,179):{'3_1':0.03},(28,178):{'3_1':0.06},(28,177):{'3_1':0.03},(28,176):{'3_1':0.03},(28,175):{'3_1':0.0},(28,174):{'3_1':0.0},(28,173):{'3_1':0.03},(28,172):{'3_1':0.03},(28,171):{'3_1':0.03},(28,170):{'3_1':0.06},(28,169):{'3_1':0.0},(28,168):{'3_1':0.03},(28,167):{'3_1':0.0},(28,166):{'3_1':0.03},(28,165):{'3_1':0.0},(28,164):{'3_1':0.0},(28,163):{'3_1':0.03},(28,162):{'3_1':0.03},(28,161):{'3_1':0.03},(28,160):{'3_1':0.0},(28,159):{'3_1':0.03},(28,158):{'3_1':0.0},(28,157):{'3_1':0.03},(28,156):{'3_1':0.0},(28,155):{'3_1':0.0},(28,154):{'3_1':0.03},(28,153):{'3_1':0.0},(28,152):{'3_1':0.0},(28,151):{'3_1':0.03},(28,150):{'3_1':0.0},(28,149):{'3_1':0.0},(28,147):{'3_1':0.0},(28,146):{'3_1':0.0},(28,145):{'3_1':0.0},(28,144):{'3_1':0.03},(28,143):{'3_1':0.0},(28,142):{'3_1':0.0},(28,141):{'3_1':0.0},(28,140):{'3_1':0.0},(28,139):{'3_1':0.0},(28,138):{'3_1':0.03},(28,137):{'3_1':0.03},(28,135):{'3_1':0.0},(28,134):{'3_1':0.0},(28,133):{'3_1':0.03},(28,132):{'3_1':0.0},(28,131):{'3_1':0.03},(28,130):{'3_1':0.0},(28,129):{'3_1':0.0,'4_1':0.0},(28,128):{'3_1':0.0},(28,127):{'3_1':0.0},(28,126):{'3_1':0.0},(28,123):{'3_1':0.03},(28,122):{'3_1':0.0},(28,121):{'3_1':0.0},(28,120):{'3_1':0.03},(28,119):{'3_1':0.0},(28,118):{'3_1':0.0},(28,117):{'3_1':0.0},(28,116):{'3_1':0.0},(28,115):{'3_1':0.03},(28,114):{'3_1':0.03},(28,113):{'3_1':0.0},(28,112):{'3_1':0.0},(28,111):{'3_1':0.03},(28,110):{'3_1':0.03},(28,109):{'3_1':0.03},(28,108):{'3_1':0.0},(28,107):{'3_1':0.03},(28,106):{'3_1':0.0},(28,105):{'3_1':0.0},(28,102):{'3_1':0.0},(28,100):{'3_1':0.0},(28,99):{'3_1':0.0},(28,98):{'3_1':0.0},(28,97):{'3_1':0.0},(28,94):{'3_1':0.0},(29,459):{'3_1':0.9,'5_1':0.03},(29,458):{'3_1':0.9,'5_1':0.03,'7_5':0.0},(29,457):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(29,456):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(29,455):{'3_1':0.9,'5_1':0.0},(29,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(29,453):{'3_1':0.9,'8_20|3_1#3_1':0.0},(29,452):{'3_1':0.9,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(29,451):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0},(29,450):{'3_1':0.9,'5_2':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(29,449):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0},(29,448):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(29,447):{'3_1':0.87,'7_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0},(29,446):{'3_1':0.9,'8_20|3_1#3_1':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0},(29,445):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0,'8_5':0.0},(29,444):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(29,443):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(29,442):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'4_1':0.0,'8_2':0.0,'3_1#5_2':0.0},(29,441):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(29,440):{'3_1':0.9,'5_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0},(29,439):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(29,438):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(29,437):{'3_1':0.9,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_19':0.0,'-3':0.0},(29,436):{'3_1':0.81,'5_1':0.06,'-3':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(29,435):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(29,434):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0},(29,433):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(29,432):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(29,431):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(29,430):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'8_4':0.0,'3_1#5_2':0.0,'-3':0.0},(29,429):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'3_1#5_1':0.0,'-3':0.0},(29,428):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_6':0.0},(29,427):{'3_1':0.81,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0},(29,426):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(29,425):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(29,424):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(29,423):{'3_1':0.81,'5_1':0.03,'8_19':0.0,'6_2':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(29,422):{'3_1':0.81,'6_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.0},(29,421):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0},(29,420):{'3_1':0.72,'5_2':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'3_1#5_1':0.0},(29,419):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'8_5':0.0,'8_21|3_1#4_1':0.0},(29,418):{'3_1':0.78,'5_1':0.03,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(29,417):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(29,416):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'8_2':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(29,415):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0,'-3':0.0},(29,414):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_5':0.0,'8_19':0.0,'-3':0.0},(29,413):{'3_1':0.72,'5_1':0.06,'8_20|3_1#3_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'1':-0.03},(29,412):{'3_1':0.63,'5_1':0.09,'8_2':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(29,411):{'3_1':0.75,'5_1':0.09,'8_2':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(29,410):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0},(29,409):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0},(29,408):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_10':0.0},(29,407):{'3_1':0.78,'5_1':0.03,'7_3':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'8_2':0.0,'8_5':0.0},(29,406):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'8_5':0.0,'8_21|3_1#4_1':0.0},(29,405):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(29,404):{'3_1':0.84,'5_1':0.06,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(29,403):{'3_1':0.81,'8_20|3_1#3_1':0.06,'5_1':0.03,'5_2':0.0},(29,402):{'3_1':0.87,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(29,401):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(29,400):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(29,399):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(29,398):{'3_1':0.84,'8_20|3_1#3_1':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(29,397):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(29,396):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'8_10':0.0},(29,395):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(29,394):{'3_1':0.66,'5_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(29,393):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_6':0.0},(29,392):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(29,391):{'3_1':0.72,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0},(29,390):{'3_1':0.63,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(29,389):{'3_1':0.42,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0},(29,388):{'3_1':0.42,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(29,387):{'3_1':0.27,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0},(29,386):{'3_1':0.21,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'-3':0.0},(29,385):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(29,384):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_3':0.0},(29,383):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(29,382):{'3_1':0.06,'4_1':0.06,'-3':0.0,'8_9':0.0,'8_10':0.0},(29,381):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(29,380):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_1':0.0,'8_17':0.0,'-3':0.0},(29,379):{'3_1':0.06,'4_1':0.0},(29,378):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_2':0.0},(29,377):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_6':0.0},(29,376):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(29,375):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(29,374):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(29,373):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(29,372):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(29,371):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(29,370):{'3_1':0.03},(29,369):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(29,368):{'3_1':0.06,'6_3':0.0},(29,367):{'3_1':0.06,'8_8':0.0},(29,366):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(29,365):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(29,364):{'3_1':0.06,'5_2':0.0},(29,363):{'3_1':0.09},(29,362):{'3_1':0.0,'5_2':0.0,'8_8':0.0},(29,361):{'3_1':0.0,'5_1':0.0,'6_3':0.0},(29,360):{'3_1':0.0,'8_8':0.0},(29,359):{'3_1':0.06,'5_2':0.0,'-3':0.0},(29,358):{'3_1':0.06,'6_3':0.0},(29,357):{'3_1':0.03},(29,356):{'3_1':0.0},(29,355):{'3_1':0.0,'5_2':0.0},(29,354):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(29,353):{'3_1':0.03,'6_3':0.0},(29,352):{'3_1':0.06,'5_2':0.0},(29,351):{'3_1':0.0,'4_1':0.0},(29,350):{'3_1':0.03,'4_1':0.0},(29,349):{'3_1':0.03,'5_2':0.0},(29,348):{'3_1':0.0},(29,347):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(29,346):{'3_1':0.03},(29,345):{'4_1':0.03,'3_1':0.0},(29,344):{'3_1':0.06,'5_1':0.0},(29,343):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(29,342):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(29,341):{'4_1':0.03,'3_1':0.0,'6_2':0.0,'8_2':0.0},(29,340):{'3_1':0.03,'5_2':0.0,'-3':0.0},(29,339):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(29,338):{'3_1':0.0,'5_2':0.0},(29,337):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(29,336):{'3_1':0.03},(29,335):{'3_1':0.09,'5_1':0.0,'8_21|3_1#4_1':0.0},(29,334):{'3_1':0.09},(29,333):{'3_1':0.03,'5_2':0.0},(29,332):{'3_1':0.03,'6_2':0.0,'3_1#5_2':0.0},(29,331):{'3_1':0.09,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_8':0.0},(29,330):{'3_1':0.03,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(29,329):{'3_1':0.03,'6_3':0.0},(29,328):{'3_1':0.03},(29,327):{'3_1':0.03},(29,326):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(29,325):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(29,324):{'3_1':0.03,'5_2':0.0},(29,323):{'3_1':0.03},(29,322):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(29,321):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(29,320):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(29,319):{'3_1':0.06,'5_2':0.0},(29,318):{'5_2':0.0,'3_1':0.0},(29,317):{'3_1':0.03,'5_2':0.0},(29,316):{'3_1':0.03},(29,315):{'3_1':0.03,'5_2':0.0},(29,314):{'3_1':0.03},(29,313):{'3_1':0.06,'5_2':0.0},(29,312):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(29,311):{'3_1':0.03,'5_1':0.0},(29,310):{'3_1':0.0,'5_2':0.0},(29,309):{'3_1':0.03,'5_2':0.0},(29,308):{'3_1':0.03,'5_1':0.0},(29,307):{'3_1':0.03,'5_2':0.0},(29,306):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(29,305):{'3_1':0.0,'4_1':0.0},(29,304):{'3_1':0.03,'5_2':0.0},(29,303):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(29,302):{'3_1':0.09,'5_2':0.0,'-3':0.0},(29,301):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(29,300):{'3_1':0.0,'5_2':0.0},(29,299):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(29,298):{'3_1':0.0,'5_2':0.0},(29,297):{'3_1':0.0,'8_20|3_1#3_1':0.0},(29,296):{'5_2':0.0,'3_1':0.0},(29,295):{'3_1':0.0},(29,294):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(29,293):{'5_2':0.0},(29,292):{'3_1':0.0,'5_2':0.0},(29,291):{'3_1':0.0,'4_1':0.0},(29,290):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(29,289):{'3_1':0.03,'5_2':0.0},(29,288):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(29,287):{'3_1':0.0},(29,286):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(29,285):{'3_1':0.03,'5_2':0.0},(29,284):{'5_2':0.0,'3_1':0.0},(29,283):{'3_1':0.0},(29,282):{'3_1':0.03,'5_2':0.0},(29,281):{'5_2':0.0},(29,280):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(29,279):{'5_2':0.0,'3_1':0.0},(29,278):{'3_1':0.03,'5_2':0.0},(29,277):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(29,276):{'3_1':0.03,'5_1':0.0},(29,275):{'3_1':0.0,'5_2':0.0},(29,274):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(29,273):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(29,272):{'3_1':0.06},(29,271):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(29,270):{'3_1':0.03,'7_5':0.0},(29,269):{'3_1':0.03},(29,268):{'3_1':0.06,'5_2':0.0},(29,267):{'3_1':0.06},(29,266):{'3_1':0.06},(29,265):{'3_1':0.03},(29,264):{'3_1':0.0,'4_1':0.0},(29,263):{'3_1':0.06,'4_1':0.0},(29,262):{'3_1':0.03},(29,261):{'3_1':0.09},(29,260):{'3_1':0.06,'5_1':0.0},(29,259):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(29,258):{'3_1':0.06,'5_2':0.0},(29,257):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(29,256):{'3_1':0.09,'5_1':0.0},(29,255):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_3':0.0},(29,254):{'3_1':0.03,'5_2':0.0},(29,253):{'3_1':0.03,'5_2':0.0},(29,252):{'3_1':0.03,'5_2':0.0},(29,251):{'3_1':0.03,'5_1':0.0},(29,250):{'3_1':0.03},(29,249):{'3_1':0.0},(29,248):{'3_1':0.0,'8_20|3_1#3_1':0.0},(29,247):{'3_1':0.06,'5_2':0.0},(29,246):{'3_1':0.0,'5_2':0.0},(29,245):{'3_1':0.03},(29,244):{'3_1':0.03,'5_2':0.0},(29,243):{'3_1':0.06},(29,242):{'3_1':0.03,'5_2':0.0},(29,241):{'3_1':0.03,'5_2':0.0},(29,240):{'3_1':0.03},(29,238):{'3_1':0.03},(29,237):{'3_1':0.03,'5_2':0.0},(29,236):{'3_1':0.0},(29,235):{'3_1':0.06,'5_2':0.0},(29,234):{'3_1':0.03},(29,233):{'3_1':0.03,'5_2':0.0},(29,232):{'3_1':0.0,'5_1':0.0},(29,231):{'3_1':0.03},(29,230):{'3_1':0.03,'5_2':0.0},(29,229):{'3_1':0.03},(29,228):{'3_1':0.0},(29,227):{'3_1':0.03},(29,226):{'3_1':0.0,'5_2':0.0},(29,225):{'3_1':0.03,'5_2':0.0},(29,224):{'3_1':0.06},(29,223):{'3_1':0.03},(29,222):{'3_1':0.0},(29,221):{'3_1':0.06},(29,220):{'3_1':0.06,'4_1':0.0},(29,219):{'3_1':0.03},(29,218):{'3_1':0.03},(29,217):{'3_1':0.03},(29,216):{'3_1':0.0},(29,215):{'3_1':0.03},(29,214):{'3_1':0.03,'5_2':0.0},(29,213):{'3_1':0.03},(29,212):{'3_1':0.06},(29,211):{'3_1':0.06},(29,210):{'3_1':0.06,'5_1':0.0},(29,209):{'3_1':0.03},(29,208):{'3_1':0.03},(29,207):{'3_1':0.03},(29,206):{'3_1':0.03},(29,205):{'3_1':0.0},(29,204):{'3_1':0.03},(29,203):{'3_1':0.03},(29,202):{'3_1':0.0},(29,201):{'3_1':0.0},(29,200):{'3_1':0.0,'5_2':0.0},(29,199):{'3_1':0.03,'5_2':0.0},(29,198):{'3_1':0.0,'5_2':0.0},(29,197):{'3_1':0.03,'5_2':0.0},(29,196):{'3_1':0.0},(29,195):{'3_1':0.0},(29,194):{'3_1':0.03},(29,193):{'3_1':0.06},(29,192):{'3_1':0.0},(29,191):{'3_1':0.03},(29,190):{'3_1':0.03},(29,189):{'3_1':0.03},(29,188):{'3_1':0.03},(29,187):{'3_1':0.0},(29,186):{'3_1':0.06},(29,185):{'3_1':0.03},(29,184):{'3_1':0.03},(29,183):{'3_1':0.03},(29,181):{'3_1':0.03},(29,180):{'3_1':0.0},(29,179):{'3_1':0.0},(29,178):{'3_1':0.03},(29,177):{'3_1':0.03},(29,176):{'3_1':0.03},(29,175):{'3_1':0.03},(29,174):{'3_1':0.0},(29,173):{'3_1':0.03},(29,172):{'3_1':0.0},(29,171):{'3_1':0.0},(29,170):{'3_1':0.06},(29,169):{'3_1':0.0},(29,168):{'3_1':0.0},(29,167):{'3_1':0.0},(29,166):{'3_1':0.03},(29,165):{'3_1':0.03},(29,164):{'3_1':0.0},(29,163):{'3_1':0.03},(29,162):{'3_1':0.03},(29,160):{'3_1':0.0},(29,159):{'3_1':0.03},(29,158):{'3_1':0.03},(29,157):{'3_1':0.0},(29,156):{'3_1':0.03},(29,155):{'3_1':0.0},(29,154):{'3_1':0.03},(29,153):{'3_1':0.0},(29,152):{'3_1':0.0},(29,151):{'3_1':0.0},(29,150):{'3_1':0.0},(29,149):{'3_1':0.03},(29,148):{'3_1':0.0},(29,146):{'3_1':0.0},(29,145):{'3_1':0.0},(29,144):{'3_1':0.0},(29,143):{'3_1':0.0},(29,142):{'3_1':0.0},(29,140):{'3_1':0.0},(29,139):{'3_1':0.0},(29,138):{'3_1':0.0},(29,136):{'3_1':0.03},(29,135):{'3_1':0.06},(29,134):{'3_1':0.0},(29,132):{'3_1':0.0},(29,131):{'3_1':0.0},(29,130):{'3_1':0.0},(29,129):{'3_1':0.03},(29,127):{'3_1':0.0},(29,126):{'3_1':0.0},(29,125):{'3_1':0.0},(29,124):{'3_1':0.0},(29,123):{'3_1':0.0},(29,122):{'3_1':0.0},(29,121):{'3_1':0.0},(29,120):{'3_1':0.0},(29,119):{'3_1':0.0},(29,118):{'3_1':0.0},(29,117):{'3_1':0.0},(29,116):{'3_1':0.0},(29,115):{'3_1':0.0},(29,114):{'3_1':0.0},(29,112):{'3_1':0.0},(29,110):{'3_1':0.03},(29,109):{'3_1':0.0},(29,108):{'3_1':0.0},(29,107):{'3_1':0.0},(29,106):{'3_1':0.0},(29,105):{'3_1':0.0},(29,103):{'3_1':0.0},(29,100):{'3_1':0.0},(29,93):{'3_1':0.0},(30,459):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(30,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(30,457):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0},(30,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0},(30,455):{'3_1':0.9,'5_2':0.0,'-3':0.0},(30,454):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(30,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(30,452):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(30,451):{'3_1':0.87,'8_20|3_1#3_1':0.03,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(30,450):{'3_1':0.9,'6_2':0.0,'8_20|3_1#3_1':0.0},(30,449):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0},(30,448):{'3_1':0.9,'5_2':0.0,'7_3':0.0,'6_2':0.0},(30,447):{'3_1':0.9,'7_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(30,446):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(30,445):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(30,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(30,443):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_1':0.0,'5_1':0.0},(30,442):{'3_1':0.84,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(30,441):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(30,440):{'3_1':0.87,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'3_1#5_1':0.0},(30,439):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'3_1#5_2':0.0},(30,438):{'3_1':0.84,'8_20|3_1#3_1':0.0,'7_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_6':0.0,'8_10':0.0},(30,437):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(30,436):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(30,435):{'3_1':0.84,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_1':0.0,'7_5':0.0},(30,434):{'3_1':0.87,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_10':0.0,'8_19':0.0},(30,433):{'3_1':0.84,'5_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0},(30,432):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(30,431):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(30,430):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0},(30,429):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_10':0.0},(30,428):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(30,427):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(30,426):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'8_4':0.0,'8_10':0.0,'-3':0.0},(30,425):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(30,424):{'3_1':0.81,'5_1':0.09,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(30,423):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0},(30,422):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(30,421):{'3_1':0.84,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(30,420):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0},(30,419):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'7_3':0.0,'7_5':0.0,'8_5':0.0,'8_10':0.0,'-3':0.0},(30,418):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(30,417):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(30,416):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0},(30,415):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(30,414):{'3_1':0.69,'5_1':0.12,'8_2':0.03,'5_2':0.03,'8_10':0.0,'-3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(30,413):{'3_1':0.75,'5_1':0.15,'5_2':0.0,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(30,412):{'3_1':0.69,'5_1':0.12,'8_2':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_10':0.0,'-3':0.0},(30,411):{'3_1':0.69,'5_1':0.09,'6_2':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_7':0.0,'8_10':0.0,'-3':0.0},(30,410):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'8_5':0.0,'8_10':0.0,'3_1#5_1':0.0},(30,409):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0,'3_1#5_2':0.0,'-3':0.0},(30,408):{'3_1':0.69,'5_1':0.06,'8_20|3_1#3_1':0.06,'5_2':0.03,'6_2':0.03,'-3':0.0,'6_3':0.0},(30,407):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'8_10':0.0,'8_2':0.0,'-3':0.0},(30,406):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(30,405):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_11':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0},(30,404):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(30,403):{'3_1':0.87,'8_20|3_1#3_1':0.03,'8_10':0.0,'5_1':0.0},(30,402):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(30,401):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(30,400):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(30,399):{'3_1':0.78,'8_20|3_1#3_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(30,398):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(30,397):{'3_1':0.84,'8_10':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0},(30,396):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(30,395):{'3_1':0.78,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_10':0.0},(30,394):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(30,393):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'8_6':0.0},(30,392):{'3_1':0.69,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_6':0.0},(30,391):{'3_1':0.6,'8_20|3_1#3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(30,390):{'3_1':0.57,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(30,389):{'3_1':0.45,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_8':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(30,388):{'3_1':0.42,'5_1':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_10':0.0},(30,387):{'3_1':0.3,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_10':0.0},(30,386):{'3_1':0.24,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(30,385):{'3_1':0.21,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(30,384):{'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(30,383):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'8_10':0.0},(30,382):{'3_1':0.03,'4_1':0.03,'8_8':0.0,'5_1':0.0,'-3':0.0},(30,381):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(30,380):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(30,379):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(30,378):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(30,377):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(30,376):{'3_1':0.03,'4_1':0.0},(30,375):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(30,374):{'3_1':0.03,'4_1':0.0,'-3':0.0},(30,373):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0},(30,372):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(30,371):{'3_1':0.06},(30,370):{'3_1':0.03,'5_2':0.0},(30,369):{'3_1':0.09,'5_2':0.0,'6_3':0.0,'8_10':0.0},(30,368):{'3_1':0.03,'6_3':0.0,'5_2':0.0},(30,367):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_8':0.0},(30,366):{'3_1':0.06,'6_3':0.0,'8_8':0.0,'-3':0.0},(30,365):{'3_1':0.0},(30,364):{'3_1':0.03,'8_7':0.0},(30,363):{'3_1':0.03},(30,362):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(30,361):{'3_1':0.06},(30,360):{'3_1':0.03,'6_3':0.0,'8_21|3_1#4_1':0.0},(30,359):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(30,358):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(30,357):{'3_1':0.06},(30,356):{'3_1':0.06,'5_2':0.0},(30,355):{'3_1':0.03,'7_5':0.0,'8_21|3_1#4_1':0.0},(30,354):{'3_1':0.03,'7_5':0.0},(30,353):{'3_1':0.03,'6_3':0.0},(30,352):{'3_1':0.03,'6_3':0.0},(30,351):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(30,350):{'3_1':0.0,'6_3':0.0},(30,349):{'3_1':0.06,'5_1':0.0},(30,348):{'3_1':0.06,'5_2':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(30,347):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(30,346):{'3_1':0.0},(30,345):{'3_1':0.0},(30,344):{'3_1':0.03,'4_1':0.0},(30,343):{'3_1':0.03,'6_3':0.0},(30,342):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0},(30,341):{'3_1':0.0},(30,340):{'3_1':0.06},(30,339):{'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(30,338):{'3_1':0.03,'5_2':0.0},(30,337):{'3_1':0.03},(30,336):{'3_1':0.0,'8_20|3_1#3_1':0.0},(30,335):{'3_1':0.03,'4_1':0.0},(30,334):{'3_1':0.06,'-3':0.0},(30,333):{'3_1':0.03,'6_3':0.0},(30,332):{'3_1':0.06,'5_2':0.0},(30,331):{'3_1':0.03,'6_3':0.0},(30,330):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(30,329):{'3_1':0.06,'5_1':0.0},(30,328):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(30,327):{'3_1':0.03,'5_1':0.0,'6_3':0.0,'-3':0.0},(30,326):{'3_1':0.09,'5_1':0.0},(30,325):{'3_1':0.0,'5_1':0.0},(30,324):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(30,323):{'3_1':0.03,'6_3':0.0},(30,322):{'3_1':0.06},(30,321):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(30,320):{'3_1':0.03},(30,319):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(30,318):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0},(30,317):{'3_1':0.0,'6_3':0.0},(30,316):{'3_1':0.03},(30,315):{'3_1':0.0,'5_2':0.0},(30,314):{'3_1':0.03},(30,313):{'3_1':0.03},(30,312):{'3_1':0.03,'5_2':0.0},(30,311):{'3_1':0.03},(30,310):{'3_1':0.03,'5_2':0.0},(30,309):{'3_1':0.03},(30,308):{'3_1':0.0,'5_2':0.0},(30,307):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(30,306):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(30,305):{'3_1':0.03},(30,304):{'3_1':0.03,'4_1':0.0},(30,303):{'3_1':0.03,'5_2':0.0},(30,302):{'3_1':0.03,'4_1':0.0},(30,301):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(30,300):{'3_1':0.03},(30,299):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(30,298):{'3_1':0.03},(30,297):{'3_1':0.03},(30,296):{'3_1':0.0},(30,295):{'3_1':0.03,'5_2':0.0},(30,294):{'3_1':0.03},(30,293):{'3_1':0.03,'5_2':0.0},(30,292):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(30,291):{'5_2':0.0,'3_1':0.0},(30,290):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(30,289):{'3_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0},(30,288):{'3_1':0.03},(30,287):{'3_1':0.09,'5_2':0.0},(30,286):{'3_1':0.03,'5_2':0.0},(30,285):{'3_1':0.03},(30,284):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(30,283):{'3_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(30,282):{'3_1':0.03,'5_2':0.0},(30,281):{'3_1':0.03},(30,280):{'3_1':0.06,'4_1':0.0},(30,279):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(30,278):{'3_1':0.03},(30,277):{'3_1':0.03,'5_2':0.0},(30,276):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(30,275):{'3_1':0.03,'4_1':0.0},(30,274):{'3_1':0.03,'7_5':0.0},(30,273):{'3_1':0.03,'5_1':0.0},(30,272):{'3_1':0.03},(30,271):{'3_1':0.06,'4_1':0.0},(30,270):{'3_1':0.0},(30,269):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(30,268):{'3_1':0.09},(30,267):{'3_1':0.03,'5_1':0.0,'7_5':0.0},(30,266):{'3_1':0.06,'7_1':0.0},(30,265):{'3_1':0.03},(30,264):{'3_1':0.03,'5_1':0.0},(30,263):{'3_1':0.09},(30,262):{'3_1':0.03,'5_1':0.0},(30,261):{'3_1':0.03,'5_1':0.0},(30,260):{'3_1':0.06,'5_1':0.0},(30,259):{'3_1':0.03},(30,258):{'3_1':0.06},(30,257):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(30,256):{'3_1':0.0,'5_1':0.0},(30,255):{'3_1':0.03},(30,254):{'3_1':0.06,'6_3':0.0},(30,253):{'5_2':0.0},(30,252):{'3_1':0.03,'5_2':0.0},(30,251):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(30,250):{'3_1':0.0,'5_2':0.0},(30,249):{'3_1':0.0,'5_2':0.0},(30,248):{'3_1':0.03},(30,247):{'3_1':0.03},(30,246):{'3_1':0.0,'6_3':0.0},(30,245):{'3_1':0.06,'6_3':0.0},(30,244):{'3_1':0.03},(30,243):{'3_1':0.06,'5_2':0.0},(30,242):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(30,241):{'3_1':0.0,'5_2':0.0},(30,240):{'3_1':0.0,'5_2':0.0},(30,239):{'5_1':0.0,'5_2':0.0},(30,238):{'3_1':0.0,'6_3':0.0},(30,237):{'3_1':0.03,'5_2':0.03},(30,236):{'3_1':0.0,'5_2':0.0},(30,235):{'3_1':0.06,'5_2':0.0},(30,234):{'3_1':0.0},(30,233):{'3_1':0.03,'5_2':0.0},(30,232):{'3_1':0.0},(30,231):{'3_1':0.03,'5_2':0.0},(30,230):{'3_1':0.0,'5_2':0.0},(30,229):{'3_1':0.03,'5_2':0.0},(30,228):{'3_1':0.0,'5_2':0.0},(30,227):{'3_1':0.03},(30,226):{'3_1':0.03},(30,225):{'3_1':0.0,'5_2':0.0},(30,224):{'3_1':0.0},(30,223):{'3_1':0.03},(30,222):{'3_1':0.03},(30,221):{'3_1':0.06},(30,220):{'3_1':0.03},(30,219):{'3_1':0.03},(30,218):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(30,217):{'3_1':0.03},(30,216):{'3_1':0.06,'5_1':0.0},(30,215):{'3_1':0.03,'5_1':0.0},(30,214):{'3_1':0.06,'5_1':0.0},(30,213):{'3_1':0.03,'5_1':0.0},(30,212):{'3_1':0.06,'5_2':0.0},(30,211):{'3_1':0.03},(30,210):{'3_1':0.03,'5_2':0.0},(30,209):{'3_1':0.03,'5_1':0.0},(30,208):{'3_1':0.03},(30,207):{'3_1':0.03,'5_1':0.0},(30,206):{'3_1':0.03},(30,205):{'3_1':0.06},(30,204):{'3_1':0.06},(30,203):{'3_1':0.03,'5_2':0.0},(30,202):{'3_1':0.0,'5_2':0.0},(30,201):{'3_1':0.03},(30,200):{'3_1':0.0},(30,199):{'3_1':0.03,'5_2':0.0},(30,198):{'3_1':0.03,'5_2':0.0},(30,197):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(30,196):{'3_1':0.0,'5_2':0.0},(30,195):{'3_1':0.03},(30,194):{'3_1':0.03},(30,193):{'3_1':0.0},(30,192):{'3_1':0.03},(30,191):{'3_1':0.0},(30,190):{'3_1':0.06},(30,189):{'3_1':0.0},(30,188):{'3_1':0.03},(30,187):{'3_1':0.06},(30,186):{'3_1':0.06},(30,185):{'3_1':0.03},(30,184):{'3_1':0.0},(30,183):{'3_1':0.03},(30,182):{'3_1':0.03},(30,181):{'3_1':0.03},(30,180):{'3_1':0.06},(30,179):{'3_1':0.0},(30,178):{'3_1':0.0},(30,177):{'3_1':0.03},(30,176):{'3_1':0.03},(30,174):{'3_1':0.03},(30,173):{'3_1':0.03},(30,172):{'3_1':0.06},(30,171):{'3_1':0.03},(30,170):{'3_1':0.06},(30,169):{'3_1':0.06,'5_1':0.0},(30,168):{'3_1':0.03},(30,167):{'3_1':0.0},(30,166):{'3_1':0.0},(30,165):{'3_1':0.03},(30,164):{'3_1':0.0},(30,163):{'3_1':0.03},(30,162):{'3_1':0.0},(30,161):{'3_1':0.0},(30,160):{'3_1':0.03},(30,159):{'3_1':0.0},(30,158):{'3_1':0.03},(30,157):{'3_1':0.0},(30,155):{'3_1':0.0},(30,154):{'3_1':0.0},(30,153):{'3_1':0.0},(30,151):{'3_1':0.0},(30,150):{'3_1':0.03},(30,149):{'3_1':0.0},(30,148):{'3_1':0.0},(30,145):{'3_1':0.0},(30,144):{'3_1':0.0},(30,143):{'3_1':0.0},(30,142):{'3_1':0.0},(30,141):{'3_1':0.0},(30,140):{'3_1':0.0},(30,139):{'3_1':0.0},(30,138):{'3_1':0.0},(30,137):{'3_1':0.0},(30,136):{'3_1':0.0},(30,135):{'3_1':0.0},(30,134):{'3_1':0.0},(30,133):{'3_1':0.03},(30,132):{'3_1':0.03},(30,131):{'3_1':0.0},(30,130):{'3_1':0.0},(30,129):{'3_1':0.0},(30,128):{'3_1':0.0},(30,126):{'3_1':0.0},(30,125):{'3_1':0.0},(30,124):{'3_1':0.0},(30,123):{'3_1':0.0},(30,122):{'3_1':0.0},(30,121):{'3_1':0.0},(30,120):{'3_1':0.0,'4_1':0.0},(30,119):{'3_1':0.0},(30,118):{'3_1':0.03},(30,117):{'3_1':0.06},(30,116):{'3_1':0.0},(30,115):{'3_1':0.0},(30,114):{'3_1':0.03},(30,113):{'3_1':0.0},(30,112):{'3_1':0.0},(30,110):{'3_1':0.03},(30,109):{'3_1':0.0},(30,108):{'3_1':0.06},(30,107):{'3_1':0.0},(30,106):{'3_1':0.0},(30,105):{'3_1':0.0},(30,103):{'3_1':0.0},(30,97):{'3_1':0.0},(31,459):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(31,458):{'3_1':0.9,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(31,457):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(31,456):{'3_1':0.87,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(31,455):{'3_1':0.9,'8_20|3_1#3_1':0.0},(31,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(31,453):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0},(31,452):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(31,451):{'3_1':0.9,'5_1':0.0,'8_21|3_1#4_1':0.0},(31,450):{'3_1':0.9,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(31,449):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(31,448):{'3_1':0.9,'5_1':0.0,'8_10':0.0},(31,447):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(31,446):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(31,445):{'3_1':0.9,'5_1':0.0,'7_3':0.0,'8_2':0.0},(31,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_19':0.0},(31,443):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0},(31,442):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(31,441):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(31,440):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0},(31,439):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_19':0.0},(31,438):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(31,437):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(31,436):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0},(31,435):{'3_1':0.84,'8_20|3_1#3_1':0.0,'7_5':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0},(31,434):{'3_1':0.81,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0},(31,433):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(31,432):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(31,431):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(31,430):{'3_1':0.87,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'3_1#5_1':0.0,'-3':0.0},(31,429):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0,'8_10':0.0,'-3':0.0},(31,428):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_2':0.0,'8_19':0.0},(31,427):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0},(31,426):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0},(31,425):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(31,424):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_2':0.0},(31,423):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(31,422):{'3_1':0.78,'5_2':0.06,'8_20|3_1#3_1':0.03,'5_1':0.03,'-3':0.0,'7_6':0.0,'8_8':0.0},(31,421):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'8_10':0.0,'-3':0.0},(31,420):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(31,419):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(31,418):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_10':0.0,'7_1':0.0,'8_4':0.0},(31,417):{'3_1':0.72,'5_1':0.12,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(31,416):{'3_1':0.78,'5_1':0.03,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_10':0.0,'-3':0.0},(31,415):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(31,414):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_10':0.0,'-3':0.0},(31,413):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(31,412):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_10':0.0,'-3':0.0},(31,411):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(31,410):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(31,409):{'3_1':0.66,'5_1':0.12,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'7_5':0.0,'8_5':0.0,'8_10':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(31,408):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0},(31,407):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(31,406):{'3_1':0.78,'6_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_10':0.0,'-3':0.0},(31,405):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(31,404):{'3_1':0.81,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0},(31,403):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0},(31,402):{'3_1':0.81,'8_20|3_1#3_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(31,401):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_10':0.0},(31,400):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0},(31,399):{'3_1':0.81,'6_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_10':0.0},(31,398):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0},(31,397):{'3_1':0.81,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_10':0.0},(31,396):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_2':0.03,'4_1':0.0,'8_10':0.0},(31,395):{'3_1':0.78,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'-3':0.0},(31,394):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_10':0.0,'4_1':0.0,'6_2':0.0},(31,393):{'3_1':0.66,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_10':0.0,'4_1':0.0,'7_6':0.0},(31,392):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(31,391):{'3_1':0.66,'8_10':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(31,390):{'3_1':0.57,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(31,389):{'3_1':0.45,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(31,388):{'3_1':0.39,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(31,387):{'3_1':0.42,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(31,386):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'8_8':0.0,'-3':0.0},(31,385):{'3_1':0.15,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0},(31,384):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_1':0.0},(31,383):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(31,382):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(31,381):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_8':0.0},(31,380):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_8':0.0},(31,379):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(31,378):{'3_1':0.06,'5_2':0.0,'7_6':0.0,'4_1':0.0,'6_3':0.0},(31,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(31,376):{'3_1':0.09,'4_1':0.0,'7_2':0.0},(31,375):{'3_1':0.12,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(31,374):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(31,373):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(31,372):{'3_1':0.03,'5_2':0.0},(31,371):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(31,370):{'3_1':0.0},(31,369):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(31,368):{'3_1':0.03,'4_1':0.0},(31,367):{'3_1':0.06,'8_8':0.0},(31,366):{'3_1':0.09,'5_2':0.0,'8_14':0.0},(31,365):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(31,364):{'3_1':0.06,'4_1':0.0},(31,363):{'3_1':0.12,'6_3':0.0,'8_20|3_1#3_1':0.0},(31,362):{'3_1':0.06,'8_20|3_1#3_1':0.0},(31,361):{'3_1':0.0},(31,360):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(31,359):{'3_1':0.03,'5_1':0.0},(31,358):{'3_1':0.03,'5_2':0.0},(31,357):{'3_1':0.03,'5_2':0.0},(31,356):{'3_1':0.06,'5_2':0.0},(31,355):{'3_1':0.06,'5_2':0.0},(31,354):{'3_1':0.03},(31,353):{'3_1':0.06},(31,352):{'3_1':0.09,'6_3':0.0},(31,351):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(31,350):{'3_1':0.06,'5_2':0.0},(31,349):{'3_1':0.0,'8_14':0.0},(31,348):{'3_1':0.03},(31,347):{'3_1':0.0,'4_1':0.0},(31,346):{'3_1':0.03,'5_2':0.0},(31,345):{'3_1':0.03},(31,344):{'3_1':0.06,'5_2':0.0},(31,343):{'3_1':0.03,'5_2':0.0},(31,342):{'3_1':0.06},(31,341):{'3_1':0.03,'6_2':0.0},(31,340):{'3_1':0.06},(31,339):{'3_1':0.06,'4_1':0.0},(31,338):{'3_1':0.06},(31,337):{'3_1':0.03,'8_20|3_1#3_1':0.0},(31,336):{'3_1':0.03,'5_1':0.0},(31,335):{'3_1':0.03,'5_2':0.0},(31,334):{'3_1':0.0,'4_1':0.0,'-3':0.0},(31,333):{'3_1':0.03},(31,332):{'3_1':0.03},(31,331):{'3_1':0.0},(31,330):{'3_1':0.0,'4_1':0.0},(31,329):{'3_1':0.09,'3_1#5_2':0.0},(31,328):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(31,327):{'3_1':0.03},(31,326):{'3_1':0.03,'4_1':0.0},(31,325):{'3_1':0.03,'6_2':0.0},(31,324):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(31,323):{'5_2':0.0,'3_1':0.0},(31,322):{'3_1':0.03,'4_1':0.0},(31,321):{'3_1':0.03},(31,320):{'3_1':0.06},(31,319):{'3_1':0.0},(31,318):{'3_1':0.06,'5_2':0.0},(31,317):{'3_1':0.06,'5_2':0.0},(31,315):{'3_1':0.09,'5_2':0.0},(31,314):{'3_1':0.03,'5_2':0.0},(31,313):{'3_1':0.0},(31,312):{'3_1':0.06,'5_1':0.0},(31,311):{'3_1':0.0,'5_1':0.0},(31,310):{'3_1':0.0,'5_1':0.0},(31,309):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(31,308):{'3_1':0.0,'4_1':0.0},(31,307):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(31,306):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(31,305):{'3_1':0.0},(31,304):{'3_1':0.03},(31,303):{'3_1':0.06,'5_2':0.0},(31,302):{'3_1':0.03},(31,301):{'3_1':0.0},(31,300):{'3_1':0.0,'5_2':0.0},(31,299):{'3_1':0.03,'5_2':0.0},(31,298):{'3_1':0.0,'5_2':0.0,'7_5':0.0},(31,297):{'3_1':0.03,'5_2':0.0},(31,296):{'3_1':0.0,'5_2':0.0},(31,295):{'3_1':0.03},(31,294):{'3_1':0.03,'4_1':0.0},(31,293):{'3_1':0.03,'5_2':0.0},(31,292):{'3_1':0.0,'5_2':0.0},(31,291):{'3_1':0.0,'5_2':0.0},(31,290):{'3_1':0.03},(31,289):{'3_1':0.0,'5_2':0.0},(31,288):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(31,287):{'3_1':0.03,'5_2':0.0},(31,286):{'3_1':0.0,'5_2':0.0},(31,285):{'3_1':0.0,'5_1':0.0},(31,284):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(31,283):{'3_1':0.0,'7_5':0.0},(31,282):{'3_1':0.03},(31,281):{'3_1':0.03},(31,280):{'3_1':0.0},(31,279):{'3_1':0.0,'7_5':0.0},(31,278):{'3_1':0.0},(31,277):{'3_1':0.03},(31,276):{'3_1':0.0},(31,275):{'3_1':0.06},(31,274):{'3_1':0.03},(31,273):{'3_1':0.03},(31,272):{'3_1':0.0},(31,271):{'3_1':0.03,'5_2':0.0},(31,270):{'3_1':0.06,'5_1':0.0},(31,269):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(31,268):{'3_1':0.0,'5_1':0.0},(31,267):{'3_1':0.0},(31,266):{'5_1':0.0,'3_1':0.0},(31,265):{'3_1':0.12,'4_1':0.0},(31,264):{'3_1':0.03},(31,263):{'3_1':0.03,'5_1':0.0},(31,262):{'3_1':0.06},(31,261):{'3_1':0.03},(31,260):{'3_1':0.03},(31,259):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(31,258):{'3_1':0.03,'5_1':0.0},(31,257):{'3_1':0.0},(31,256):{'3_1':0.03},(31,255):{'3_1':0.03,'5_2':0.0},(31,254):{'3_1':0.06},(31,253):{'3_1':0.03,'5_2':0.0},(31,252):{'3_1':0.0},(31,251):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(31,250):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(31,249):{'3_1':0.0,'5_2':0.0},(31,248):{'3_1':0.06,'5_2':0.0},(31,247):{'3_1':0.0,'5_2':0.0},(31,246):{'3_1':0.03},(31,245):{'3_1':0.0,'5_1':0.0},(31,244):{'3_1':0.0},(31,243):{'3_1':0.0,'5_2':0.0},(31,242):{'3_1':0.0,'5_2':0.0},(31,241):{'3_1':0.03,'5_2':0.0},(31,240):{'3_1':0.03,'5_2':0.0},(31,239):{'5_2':0.0,'3_1':0.0},(31,238):{'3_1':0.03},(31,237):{'3_1':0.0,'5_1':0.0},(31,236):{'3_1':0.03},(31,235):{'3_1':0.0},(31,234):{'3_1':0.03,'5_2':0.0},(31,233):{'3_1':0.0},(31,232):{'3_1':0.0},(31,231):{'3_1':0.03,'5_2':0.0},(31,230):{'3_1':0.0},(31,229):{'3_1':0.0},(31,228):{'3_1':0.0},(31,227):{'3_1':0.0},(31,226):{'3_1':0.06,'5_2':0.0},(31,224):{'3_1':0.03,'5_2':0.0},(31,223):{'3_1':0.03},(31,222):{'3_1':0.06,'5_1':0.0},(31,221):{'3_1':0.06},(31,220):{'3_1':0.03},(31,219):{'3_1':0.03},(31,218):{'3_1':0.03},(31,217):{'3_1':0.03,'5_2':0.0},(31,216):{'3_1':0.03},(31,215):{'3_1':0.03,'5_1':0.0},(31,214):{'3_1':0.03,'7_1':0.0},(31,213):{'3_1':0.09},(31,212):{'3_1':0.06},(31,211):{'3_1':0.03},(31,210):{'3_1':0.03},(31,209):{'3_1':0.0,'5_1':0.0},(31,208):{'3_1':0.0,'5_1':0.0},(31,207):{'3_1':0.03},(31,206):{'3_1':0.0,'6_3':0.0},(31,205):{'3_1':0.0},(31,204):{'5_2':0.0},(31,203):{'3_1':0.0},(31,202):{'3_1':0.03,'5_2':0.0},(31,201):{'3_1':0.03,'5_2':0.0},(31,200):{'3_1':0.0},(31,199):{'3_1':0.0},(31,198):{'3_1':0.0},(31,197):{'3_1':0.06},(31,196):{'3_1':0.03},(31,195):{'3_1':0.03},(31,194):{'3_1':0.0},(31,193):{'3_1':0.0},(31,192):{'3_1':0.0},(31,191):{'3_1':0.03},(31,190):{'3_1':0.03},(31,189):{'3_1':0.03},(31,188):{'3_1':0.0},(31,187):{'3_1':0.03},(31,186):{'3_1':0.03},(31,185):{'3_1':0.03},(31,184):{'3_1':0.03},(31,183):{'3_1':0.03},(31,182):{'3_1':0.0},(31,181):{'3_1':0.0},(31,180):{'3_1':0.0},(31,179):{'3_1':0.03},(31,178):{'3_1':0.0},(31,177):{'3_1':0.03},(31,176):{'3_1':0.0},(31,175):{'3_1':0.03},(31,174):{'3_1':0.0},(31,173):{'3_1':0.0},(31,172):{'3_1':0.06},(31,171):{'3_1':0.03},(31,170):{'3_1':0.0},(31,169):{'3_1':0.03},(31,168):{'3_1':0.03},(31,167):{'3_1':0.0},(31,166):{'3_1':0.0},(31,165):{'3_1':0.0},(31,164):{'3_1':0.0},(31,163):{'3_1':0.0},(31,161):{'3_1':0.03},(31,160):{'3_1':0.0},(31,159):{'3_1':0.0},(31,158):{'3_1':0.0},(31,157):{'3_1':0.0},(31,156):{'3_1':0.0},(31,155):{'3_1':0.03},(31,154):{'3_1':0.0},(31,153):{'3_1':0.0},(31,151):{'3_1':0.0},(31,150):{'3_1':0.03},(31,149):{'3_1':0.0},(31,148):{'3_1':0.0},(31,147):{'3_1':0.0},(31,146):{'3_1':0.0},(31,145):{'3_1':0.0},(31,144):{'3_1':0.03},(31,143):{'3_1':0.0},(31,142):{'3_1':0.0},(31,141):{'3_1':0.0},(31,140):{'3_1':0.0},(31,139):{'3_1':0.0},(31,138):{'3_1':0.0},(31,137):{'3_1':0.0},(31,136):{'3_1':0.03},(31,135):{'3_1':0.0},(31,134):{'3_1':0.0},(31,133):{'3_1':0.0},(31,132):{'3_1':0.0},(31,131):{'3_1':0.0},(31,130):{'3_1':0.0},(31,129):{'3_1':0.0},(31,128):{'3_1':0.0},(31,127):{'3_1':0.0},(31,126):{'3_1':0.0},(31,125):{'3_1':0.0},(31,124):{'3_1':0.0},(31,123):{'3_1':0.0},(31,122):{'3_1':0.0},(31,121):{'3_1':0.0},(31,120):{'3_1':0.0},(31,119):{'3_1':0.0},(31,118):{'3_1':0.0},(31,117):{'3_1':0.0},(31,116):{'3_1':0.0},(31,115):{'3_1':0.03},(31,114):{'3_1':0.0},(31,112):{'3_1':0.0},(31,111):{'3_1':0.0},(31,110):{'3_1':0.0},(31,109):{'3_1':0.0},(31,108):{'3_1':0.0},(31,107):{'3_1':0.0},(31,106):{'3_1':0.0},(31,105):{'3_1':0.03},(31,103):{'3_1':0.0},(31,102):{'3_1':0.0},(31,99):{'3_1':0.0},(31,97):{'3_1':0.0},(31,96):{'3_1':0.0},(31,95):{'3_1':0.0},(31,94):{'3_1':0.0},(32,459):{'3_1':0.9,'5_1':0.0},(32,458):{'3_1':0.9,'5_2':0.0},(32,457):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(32,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(32,455):{'3_1':0.9,'5_2':0.0,'7_1':0.0},(32,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(32,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(32,452):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(32,451):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(32,450):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(32,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(32,448):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(32,447):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_2':0.0,'8_6':0.0},(32,446):{'3_1':0.9,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(32,445):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(32,444):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(32,443):{'3_1':0.84,'8_20|3_1#3_1':0.03,'6_2':0.0,'7_1':0.0,'5_1':0.0,'8_2':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0},(32,442):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(32,441):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0},(32,440):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(32,439):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0},(32,438):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_2':0.0,'-3':0.0,'7_5':0.0},(32,437):{'3_1':0.84,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_2':0.0,'7_1':0.0},(32,436):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(32,435):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(32,434):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(32,433):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(32,432):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'8_10':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(32,431):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(32,430):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(32,429):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_10':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(32,428):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(32,427):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(32,426):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_10':0.0,'3_1#5_1':0.0,'-3':0.0},(32,425):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_6':0.0,'8_7':0.0},(32,424):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_5':0.0,'8_10':0.0,'8_19':0.0,'-3':0.0},(32,423):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(32,422):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_10':0.0,'7_1':0.0},(32,421):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'6_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(32,420):{'3_1':0.87,'8_2':0.0,'5_1':0.0,'8_19':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(32,419):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'8_10':0.0},(32,418):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_5':0.0,'-3':0.0,'1':-0.03},(32,417):{'3_1':0.69,'5_1':0.12,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_3':0.0,'-3':0.0,'8_2':0.0,'8_19':0.0},(32,416):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'3_1#5_1':0.0,'-3':0.0,'1':-0.03},(32,415):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_10':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(32,414):{'3_1':0.72,'5_1':0.06,'6_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(32,413):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'7_5':0.0,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(32,412):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0,'8_5':0.0,'8_19':0.0,'3_1#5_1':0.0},(32,411):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'3_1#5_1':0.0},(32,410):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(32,409):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(32,408):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(32,407):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_4':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(32,406):{'3_1':0.81,'5_1':0.09,'6_2':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(32,405):{'3_1':0.84,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(32,404):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(32,403):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_1':0.0,'8_10':0.0,'3_1#5_1':0.0},(32,402):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'8_10':0.0},(32,401):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0},(32,400):{'3_1':0.84,'8_20|3_1#3_1':0.0,'8_10':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_8':0.0},(32,399):{'3_1':0.81,'6_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0},(32,398):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0},(32,397):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(32,396):{'3_1':0.72,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_10':0.0},(32,395):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_10':0.0},(32,394):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_10':0.0},(32,393):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(32,392):{'3_1':0.66,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(32,391):{'3_1':0.72,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0},(32,390):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0},(32,389):{'3_1':0.51,'4_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_3':0.0},(32,388):{'3_1':0.45,'5_1':0.03,'6_2':0.03,'4_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'8_9':0.0},(32,387):{'3_1':0.42,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(32,386):{'3_1':0.3,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(32,385):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0},(32,384):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(32,383):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(32,382):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(32,381):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'8_8':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(32,380):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(32,379):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_3':0.0},(32,378):{'5_2':0.03,'4_1':0.0,'3_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0},(32,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(32,376):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(32,375):{'4_1':0.03,'3_1':0.03,'6_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(32,374):{'3_1':0.03,'8_21|3_1#4_1':0.0},(32,373):{'3_1':0.09,'4_1':0.0,'8_10':0.0},(32,372):{'3_1':0.03,'5_2':0.0},(32,371):{'3_1':0.06},(32,370):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'-3':0.0},(32,369):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(32,368):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(32,367):{'3_1':0.03,'7_6':0.0},(32,366):{'3_1':0.06,'6_3':0.0},(32,365):{'3_1':0.06,'6_3':0.0,'8_8':0.0},(32,364):{'3_1':0.03,'8_8':0.0},(32,363):{'3_1':0.06},(32,362):{'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(32,361):{'3_1':0.0,'8_8':0.0},(32,360):{'3_1':0.0,'5_2':0.0,'8_8':0.0},(32,359):{'3_1':0.0,'6_3':0.0},(32,358):{'3_1':0.06,'5_2':0.0,'8_8':0.0},(32,357):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(32,356):{'3_1':0.03,'6_3':0.0},(32,355):{'3_1':0.06,'5_2':0.0},(32,354):{'3_1':0.0,'5_2':0.0},(32,353):{'3_1':0.0,'5_2':0.0},(32,352):{'3_1':0.0,'6_3':0.0},(32,351):{'3_1':0.03,'5_2':0.0},(32,350):{'3_1':0.03,'4_1':0.0},(32,349):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(32,348):{'3_1':0.03,'5_1':0.0},(32,347):{'3_1':0.0},(32,346):{'3_1':0.03,'5_2':0.0},(32,345):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(32,344):{'3_1':0.06},(32,343):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(32,342):{'3_1':0.03},(32,341):{'3_1':0.06},(32,340):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(32,339):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(32,338):{'3_1':0.03},(32,337):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(32,336):{'3_1':0.0},(32,335):{'3_1':0.03,'5_1':0.0},(32,334):{'3_1':0.03,'5_2':0.0},(32,333):{'3_1':0.03,'6_2':0.0},(32,332):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_15':0.0},(32,331):{'3_1':0.09},(32,330):{'3_1':0.06,'5_2':0.0},(32,329):{'3_1':0.03,'8_20|3_1#3_1':0.0},(32,328):{'3_1':0.03,'4_1':0.0},(32,327):{'3_1':0.03,'5_1':0.0},(32,326):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(32,325):{'3_1':0.0},(32,324):{'3_1':0.03,'5_2':0.0},(32,323):{'3_1':0.0,'5_2':0.0},(32,322):{'3_1':0.03},(32,321):{'3_1':0.03},(32,320):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(32,319):{'3_1':0.0,'5_2':0.0},(32,318):{'3_1':0.03,'5_2':0.0},(32,317):{'3_1':0.0,'6_3':0.0},(32,316):{'3_1':0.0},(32,315):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(32,314):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(32,313):{'3_1':0.03,'5_2':0.0},(32,312):{'3_1':0.06},(32,311):{'3_1':0.0,'5_2':0.0},(32,310):{'3_1':0.03},(32,309):{'3_1':0.03,'5_2':0.0},(32,308):{'3_1':0.0,'5_2':0.0},(32,307):{'3_1':0.06,'5_2':0.0},(32,306):{'3_1':0.03,'5_2':0.0},(32,305):{'3_1':0.0,'5_1':0.0},(32,304):{'3_1':0.0},(32,303):{'3_1':0.03,'4_1':0.0},(32,302):{'3_1':0.09},(32,301):{'3_1':0.03},(32,300):{'3_1':0.0,'5_2':0.0},(32,299):{'3_1':0.06,'4_1':0.0},(32,298):{'3_1':0.0},(32,297):{'3_1':0.03},(32,296):{'3_1':0.0},(32,295):{'3_1':0.03},(32,294):{'3_1':0.03,'5_2':0.0},(32,293):{'3_1':0.0},(32,292):{'3_1':0.06,'5_2':0.0},(32,291):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(32,290):{'3_1':0.03,'5_2':0.0},(32,289):{'3_1':0.03,'5_2':0.0},(32,288):{'3_1':0.0},(32,287):{'3_1':0.03,'5_2':0.0},(32,286):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(32,285):{'3_1':0.06,'5_2':0.0},(32,284):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(32,283):{'3_1':0.06,'5_2':0.0},(32,282):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(32,281):{'4_1':0.0,'5_1':0.0,'5_2':0.0},(32,280):{'3_1':0.0,'5_2':0.0},(32,279):{'5_2':0.03,'3_1':0.03,'4_1':0.0,'5_1':0.0},(32,278):{'3_1':0.0,'5_2':0.0},(32,277):{'3_1':0.03},(32,276):{'3_1':0.03,'5_2':0.0},(32,275):{'3_1':0.0,'5_2':0.0},(32,274):{'3_1':0.03,'4_1':0.0},(32,273):{'3_1':0.06,'5_2':0.0},(32,272):{'3_1':0.03},(32,271):{'3_1':0.03},(32,270):{'3_1':0.03,'5_2':0.0},(32,269):{'3_1':0.0,'6_1':0.0},(32,268):{'3_1':0.0},(32,267):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(32,266):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(32,265):{'3_1':0.09,'5_1':0.0},(32,264):{'3_1':0.06},(32,263):{'3_1':0.06,'5_1':0.0},(32,262):{'3_1':0.06,'5_2':0.0},(32,261):{'3_1':0.0},(32,260):{'3_1':0.03},(32,259):{'3_1':0.09},(32,258):{'3_1':0.09},(32,257):{'3_1':0.06,'5_1':0.0},(32,256):{'3_1':0.06},(32,255):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(32,254):{'3_1':0.0,'5_2':0.0},(32,253):{'3_1':0.03},(32,252):{'3_1':0.06,'5_1':0.0},(32,251):{'3_1':0.03},(32,250):{'3_1':0.0,'5_2':0.0},(32,249):{'3_1':0.0},(32,248):{'3_1':0.03,'5_2':0.0},(32,247):{'3_1':0.03,'5_2':0.0},(32,246):{'3_1':0.03},(32,245):{'3_1':0.03,'5_2':0.0},(32,244):{'3_1':0.0},(32,243):{'3_1':0.06,'5_2':0.0},(32,242):{'3_1':0.0},(32,241):{'3_1':0.0},(32,240):{'3_1':0.0},(32,239):{'3_1':0.03,'5_2':0.0},(32,238):{'3_1':0.0,'5_2':0.0},(32,237):{'3_1':0.03},(32,236):{'3_1':0.0,'5_2':0.0},(32,235):{'3_1':0.06},(32,234):{'3_1':0.0},(32,233):{'3_1':0.0,'5_2':0.0},(32,232):{'3_1':0.0,'5_2':0.0},(32,231):{'3_1':0.0,'5_2':0.0},(32,230):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(32,229):{'3_1':0.0},(32,228):{'3_1':0.03},(32,227):{'3_1':0.03},(32,226):{'3_1':0.03,'5_2':0.0},(32,225):{'3_1':0.0,'5_2':0.0},(32,224):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(32,223):{'3_1':0.06},(32,222):{'3_1':0.03},(32,221):{'3_1':0.03},(32,220):{'3_1':0.06},(32,219):{'3_1':0.03},(32,218):{'3_1':0.03},(32,217):{'3_1':0.03,'5_1':0.0},(32,216):{'3_1':0.03},(32,215):{'3_1':0.03},(32,214):{'3_1':0.0},(32,213):{'3_1':0.03},(32,212):{'3_1':0.03},(32,211):{'3_1':0.0},(32,210):{'3_1':0.03},(32,209):{'3_1':0.03},(32,208):{'3_1':0.06},(32,207):{'3_1':0.0},(32,206):{'3_1':0.03},(32,205):{'3_1':0.0},(32,204):{'3_1':0.03},(32,203):{'3_1':0.0},(32,202):{'3_1':0.0},(32,201):{'3_1':0.0},(32,200):{'3_1':0.0},(32,199):{'3_1':0.03,'5_2':0.0},(32,198):{'3_1':0.03},(32,197):{'3_1':0.0},(32,196):{'3_1':0.03,'5_2':0.0},(32,195):{'3_1':0.03},(32,194):{'3_1':0.03},(32,193):{'3_1':0.03,'5_2':0.0},(32,192):{'3_1':0.0},(32,191):{'3_1':0.0},(32,190):{'3_1':0.0},(32,189):{'3_1':0.0},(32,188):{'3_1':0.0},(32,187):{'3_1':0.0},(32,186):{'3_1':0.03},(32,185):{'3_1':0.0},(32,184):{'3_1':0.06},(32,183):{'3_1':0.06,'5_1':0.0},(32,182):{'3_1':0.0},(32,181):{'3_1':0.03},(32,180):{'3_1':0.03},(32,179):{'3_1':0.03},(32,178):{'3_1':0.03},(32,177):{'3_1':0.0},(32,176):{'3_1':0.0},(32,175):{'3_1':0.06},(32,174):{'3_1':0.0},(32,173):{'3_1':0.0},(32,172):{'3_1':0.0},(32,171):{'3_1':0.06},(32,170):{'3_1':0.03},(32,169):{'3_1':0.03},(32,168):{'3_1':0.0},(32,167):{'3_1':0.0},(32,166):{'3_1':0.03},(32,165):{'3_1':0.03},(32,164):{'3_1':0.0},(32,163):{'3_1':0.03},(32,162):{'3_1':0.0},(32,161):{'3_1':0.0},(32,160):{'3_1':0.0},(32,159):{'3_1':0.0},(32,158):{'3_1':0.03},(32,157):{'3_1':0.0},(32,156):{'3_1':0.0},(32,154):{'3_1':0.0},(32,153):{'3_1':0.0},(32,152):{'3_1':0.03},(32,151):{'3_1':0.0},(32,150):{'3_1':0.0},(32,149):{'3_1':0.0},(32,148):{'3_1':0.0},(32,147):{'3_1':0.0},(32,146):{'3_1':0.03},(32,145):{'3_1':0.0},(32,144):{'3_1':0.03},(32,143):{'3_1':0.0},(32,142):{'3_1':0.0},(32,141):{'3_1':0.0},(32,140):{'3_1':0.0},(32,139):{'3_1':0.0},(32,138):{'3_1':0.03},(32,137):{'3_1':0.0},(32,136):{'3_1':0.0},(32,135):{'3_1':0.0},(32,134):{'3_1':0.0},(32,133):{'3_1':0.0},(32,132):{'3_1':0.0},(32,131):{'3_1':0.0},(32,130):{'3_1':0.0},(32,129):{'3_1':0.0},(32,128):{'3_1':0.0},(32,126):{'3_1':0.0},(32,125):{'3_1':0.0},(32,124):{'3_1':0.0},(32,123):{'3_1':0.0},(32,122):{'3_1':0.0},(32,121):{'3_1':0.0},(32,120):{'3_1':0.0},(32,119):{'3_1':0.0},(32,118):{'3_1':0.0},(32,117):{'3_1':0.0},(32,116):{'3_1':0.0},(32,114):{'3_1':0.0},(32,113):{'3_1':0.0},(32,112):{'3_1':0.0},(32,111):{'3_1':0.0},(32,110):{'3_1':0.0},(32,108):{'3_1':0.0},(32,107):{'3_1':0.0},(32,106):{'3_1':0.0},(32,103):{'3_1':0.0},(32,102):{'3_1':0.0},(32,100):{'3_1':0.0},(32,99):{'3_1':0.0},(32,95):{'3_1':0.0},(32,93):{'3_1':0.0},(32,92):{'3_1':0.0},(33,459):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(33,458):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0},(33,457):{'3_1':0.87,'8_10':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(33,456):{'3_1':0.87,'8_20|3_1#3_1':0.0,'8_2':0.0},(33,455):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(33,454):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'8_19':0.0},(33,453):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(33,452):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0},(33,451):{'3_1':0.87,'8_10':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(33,450):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(33,449):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(33,448):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_10':0.0},(33,447):{'3_1':0.9,'5_1':0.0,'8_2':0.0},(33,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0},(33,445):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'5_2':0.0,'8_19':0.0},(33,444):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0,'8_4':0.0},(33,443):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(33,442):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'3_1#5_1':0.0,'-3':0.0},(33,441):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(33,440):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_2':0.0,'6_2':0.0},(33,439):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(33,438):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(33,437):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(33,436):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_19':0.0},(33,435):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(33,434):{'3_1':0.81,'5_1':0.06,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(33,433):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_7':0.0,'3_1#5_1':0.0,'-3':0.0},(33,432):{'3_1':0.87,'5_1':0.0,'8_19':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(33,431):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_5':0.0,'8_10':0.0,'8_19':0.0},(33,430):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(33,429):{'3_1':0.84,'6_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0},(33,428):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(33,427):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(33,426):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(33,425):{'3_1':0.81,'5_1':0.06,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(33,424):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(33,423):{'3_1':0.75,'5_1':0.03,'8_2':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(33,422):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0},(33,421):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(33,420):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_10':0.0},(33,419):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0,'-3':0.0},(33,418):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(33,417):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0},(33,416):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'7_5':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(33,415):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(33,414):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'-3':0.03,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(33,413):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(33,412):{'3_1':0.72,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(33,411):{'3_1':0.69,'5_1':0.12,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_2':0.0,'7_5':0.0,'8_10':0.0,'-3':0.0},(33,410):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'6_1':0.0,'6_2':0.0},(33,409):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_2':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_19':0.0},(33,408):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(33,407):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_19':0.0,'-3':0.0},(33,406):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.03,'8_20|3_1#3_1':0.03,'8_6':0.0},(33,405):{'3_1':0.81,'6_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0},(33,404):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(33,403):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_10':0.0,'6_2':0.0,'7_4':0.0},(33,402):{'3_1':0.87,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0,'8_10':0.0},(33,401):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_9':0.0},(33,400):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0},(33,399):{'3_1':0.72,'8_20|3_1#3_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_10':0.0},(33,398):{'3_1':0.75,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_4':0.0,'8_10':0.0},(33,397):{'3_1':0.78,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_10':0.0},(33,396):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(33,395):{'3_1':0.75,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_10':0.0},(33,394):{'3_1':0.69,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(33,393):{'3_1':0.72,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_10':0.0},(33,392):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'8_10':0.0},(33,391):{'3_1':0.72,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0},(33,390):{'3_1':0.63,'5_1':0.0,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(33,389):{'3_1':0.48,'4_1':0.03,'8_10':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(33,388):{'3_1':0.36,'5_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_2':0.0,'8_10':0.0,'-3':0.0},(33,387):{'3_1':0.33,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0},(33,386):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0},(33,385):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(33,384):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(33,383):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(33,382):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'-3':0.0},(33,381):{'3_1':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(33,380):{'3_1':0.06,'5_1':0.06,'4_1':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(33,379):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(33,378):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(33,377):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_3':0.0,'-3':0.0},(33,376):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(33,375):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(33,374):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_6':0.0},(33,373):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(33,372):{'3_1':0.03,'5_2':0.0,'8_10':0.0},(33,371):{'3_1':0.0,'4_1':0.0},(33,370):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(33,369):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(33,368):{'3_1':0.09},(33,367):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(33,366):{'3_1':0.06,'5_2':0.0},(33,365):{'3_1':0.03,'5_2':0.0,'8_14':0.0},(33,364):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(33,363):{'3_1':0.03},(33,362):{'3_1':0.03,'8_8':0.0},(33,361):{'3_1':0.03,'5_2':0.0},(33,360):{'3_1':0.0,'4_1':0.0},(33,359):{'3_1':0.03},(33,358):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(33,357):{'3_1':0.03},(33,356):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(33,355):{'3_1':0.0},(33,354):{'3_1':0.03},(33,353):{'3_1':0.03,'5_2':0.0},(33,352):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(33,350):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(33,349):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(33,348):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(33,347):{'3_1':0.0,'4_1':0.0,'8_14':0.0},(33,346):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(33,345):{'3_1':0.03},(33,344):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(33,343):{'3_1':0.06,'5_2':0.0},(33,342):{'3_1':0.03,'6_1':0.0},(33,341):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(33,340):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(33,339):{'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_3':0.0},(33,338):{'3_1':0.06,'5_2':0.0,'8_19':0.0},(33,337):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(33,336):{'3_1':0.03,'5_1':0.0},(33,335):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(33,334):{'3_1':0.03},(33,333):{'3_1':0.06},(33,332):{'3_1':0.03},(33,331):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(33,330):{'3_1':0.03,'8_14':0.0},(33,329):{'3_1':0.09,'5_1':0.0},(33,328):{'3_1':0.03,'7_5':0.0},(33,327):{'3_1':0.03,'5_1':0.0},(33,326):{'3_1':0.03,'5_1':0.0},(33,325):{'3_1':0.0,'5_1':0.0},(33,324):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(33,323):{'3_1':0.03},(33,322):{'3_1':0.03,'5_2':0.0},(33,321):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(33,320):{'3_1':0.09,'5_2':0.0},(33,319):{'3_1':0.03,'5_2':0.03,'5_1':0.0},(33,318):{'3_1':0.0},(33,317):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(33,316):{'3_1':0.03,'5_2':0.0},(33,315):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(33,314):{'3_1':0.0},(33,313):{'3_1':0.06,'5_2':0.0},(33,312):{'3_1':0.06,'5_2':0.0},(33,311):{'3_1':0.0,'5_2':0.0},(33,310):{'3_1':0.03,'5_1':0.0,'7_5':0.0},(33,309):{'3_1':0.03,'5_1':0.0},(33,308):{'3_1':0.03,'5_2':0.0},(33,307):{'3_1':0.06},(33,306):{'3_1':0.0},(33,305):{'3_1':0.03,'5_2':0.0},(33,304):{'3_1':0.03},(33,303):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(33,302):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(33,301):{'3_1':0.0},(33,300):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(33,299):{'3_1':0.03,'6_3':0.0},(33,298):{'3_1':0.03,'5_2':0.0},(33,297):{'5_2':0.03,'3_1':0.0},(33,296):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(33,295):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(33,294):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(33,293):{'3_1':0.03,'5_2':0.0},(33,292):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(33,291):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(33,290):{'3_1':0.0,'8_20|3_1#3_1':0.0},(33,289):{'3_1':0.0,'5_2':0.0},(33,288):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(33,287):{'3_1':0.03,'5_2':0.0},(33,286):{'5_2':0.0,'3_1':0.0},(33,285):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(33,284):{'3_1':0.0,'5_2':0.0},(33,283):{'3_1':0.06,'5_2':0.0},(33,282):{'3_1':0.0,'4_1':0.0},(33,281):{'5_2':0.0},(33,280):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(33,279):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(33,278):{'3_1':0.0,'4_1':0.0},(33,277):{'3_1':0.0},(33,276):{'3_1':0.03,'6_3':0.0},(33,275):{'3_1':0.0,'5_2':0.0},(33,274):{'3_1':0.0,'4_1':0.0},(33,273):{'5_2':0.0,'3_1':0.0},(33,272):{'3_1':0.0,'5_2':0.0},(33,271):{'3_1':0.03},(33,270):{'3_1':0.03,'5_2':0.0},(33,269):{'3_1':0.0},(33,268):{'3_1':0.03,'5_1':0.0},(33,267):{'3_1':0.03,'5_2':0.0},(33,266):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(33,265):{'3_1':0.09,'5_1':0.0},(33,264):{'3_1':0.06},(33,263):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(33,262):{'3_1':0.0},(33,261):{'3_1':0.03,'5_1':0.0},(33,260):{'3_1':0.03,'5_1':0.0},(33,259):{'3_1':0.0},(33,258):{'3_1':0.06,'5_1':0.0},(33,257):{'3_1':0.06,'5_1':0.0},(33,256):{'3_1':0.03,'5_1':0.0},(33,255):{'3_1':0.03,'5_2':0.0},(33,254):{'3_1':0.03,'5_1':0.0},(33,253):{'3_1':0.0},(33,252):{'3_1':0.0},(33,251):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(33,250):{'3_1':0.03,'5_1':0.0},(33,249):{'3_1':0.0,'5_1':0.0},(33,248):{'3_1':0.0},(33,247):{'3_1':0.0},(33,246):{'3_1':0.03,'5_2':0.03},(33,245):{'5_2':0.0},(33,244):{'3_1':0.03,'5_2':0.0},(33,243):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(33,242):{'3_1':0.03},(33,241):{'3_1':0.0,'5_2':0.0},(33,240):{'3_1':0.0,'5_2':0.0},(33,239):{'3_1':0.0,'5_2':0.0},(33,238):{'3_1':0.0,'5_2':0.0},(33,237):{'5_2':0.0,'3_1':0.0},(33,236):{'3_1':0.0,'5_2':0.0},(33,235):{'3_1':0.03},(33,234):{'5_2':0.0,'3_1':0.0},(33,233):{'3_1':0.0},(33,232):{'3_1':0.03,'5_2':0.0},(33,231):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(33,230):{'3_1':0.03,'5_2':0.0},(33,229):{'3_1':0.0},(33,228):{'3_1':0.03},(33,227):{'3_1':0.03,'5_2':0.0},(33,226):{'3_1':0.0,'5_2':0.0},(33,225):{'3_1':0.06,'5_1':0.0},(33,224):{'3_1':0.0,'5_2':0.0},(33,223):{'3_1':0.0,'5_2':0.0},(33,222):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(33,221):{'3_1':0.03},(33,220):{'3_1':0.03},(33,219):{'3_1':0.06,'5_2':0.0},(33,218):{'3_1':0.03,'5_2':0.0},(33,217):{'3_1':0.0},(33,216):{'3_1':0.06},(33,215):{'3_1':0.06},(33,214):{'3_1':0.03},(33,213):{'3_1':0.03},(33,212):{'3_1':0.0},(33,211):{'3_1':0.03},(33,210):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(33,209):{'3_1':0.06,'6_3':0.0},(33,208):{'3_1':0.0},(33,207):{'3_1':0.03},(33,206):{'3_1':0.03,'5_2':0.0},(33,205):{'3_1':0.03},(33,204):{'3_1':0.0,'5_2':0.0},(33,203):{'3_1':0.0},(33,202):{'3_1':0.03},(33,201):{'3_1':0.03},(33,200):{'3_1':0.0},(33,199):{'3_1':0.0},(33,198):{'3_1':0.0},(33,197):{'3_1':0.0,'5_2':0.0},(33,196):{'3_1':0.0,'5_1':0.0},(33,195):{'3_1':0.0,'5_1':0.0},(33,194):{'3_1':0.03},(33,193):{'3_1':0.0},(33,192):{'3_1':0.0},(33,191):{'3_1':0.03,'5_2':0.0},(33,190):{'3_1':0.03},(33,189):{'3_1':0.03},(33,188):{'3_1':0.06},(33,187):{'3_1':0.0,'5_1':0.0},(33,186):{'3_1':0.06},(33,185):{'3_1':0.06,'5_1':0.0},(33,184):{'3_1':0.0,'5_1':0.0},(33,183):{'3_1':0.06},(33,182):{'3_1':0.03},(33,181):{'3_1':0.03},(33,180):{'3_1':0.0},(33,179):{'3_1':0.03},(33,178):{'3_1':0.03},(33,177):{'3_1':0.0},(33,176):{'3_1':0.03},(33,175):{'3_1':0.06},(33,174):{'3_1':0.03},(33,173):{'3_1':0.03},(33,172):{'3_1':0.0},(33,171):{'3_1':0.03},(33,170):{'3_1':0.0},(33,169):{'3_1':0.0},(33,168):{'3_1':0.0},(33,167):{'3_1':0.03},(33,166):{'3_1':0.03},(33,165):{'3_1':0.03},(33,164):{'3_1':0.06},(33,163):{'3_1':0.0},(33,162):{'3_1':0.0},(33,161):{'3_1':0.0},(33,160):{'3_1':0.03},(33,159):{'3_1':0.0},(33,158):{'3_1':0.06},(33,157):{'3_1':0.0},(33,156):{'3_1':0.0},(33,155):{'3_1':0.0},(33,154):{'3_1':0.0},(33,153):{'3_1':0.03},(33,151):{'3_1':0.0},(33,150):{'3_1':0.0},(33,148):{'3_1':0.0},(33,147):{'3_1':0.06},(33,146):{'3_1':0.03},(33,144):{'3_1':0.0},(33,143):{'3_1':0.0},(33,142):{'3_1':0.03},(33,141):{'3_1':0.0},(33,139):{'3_1':0.0},(33,138):{'3_1':0.0},(33,137):{'3_1':0.0},(33,136):{'3_1':0.03},(33,135):{'3_1':0.0},(33,134):{'3_1':0.0},(33,133):{'3_1':0.0},(33,131):{'3_1':0.0},(33,130):{'3_1':0.0},(33,129):{'3_1':0.0},(33,128):{'3_1':0.0},(33,127):{'3_1':0.0},(33,126):{'3_1':0.0},(33,125):{'3_1':0.0},(33,124):{'3_1':0.0},(33,123):{'3_1':0.0},(33,122):{'3_1':0.0},(33,121):{'3_1':0.0},(33,120):{'3_1':0.0},(33,119):{'3_1':0.03},(33,118):{'3_1':0.0},(33,117):{'3_1':0.0},(33,116):{'3_1':0.0},(33,115):{'3_1':0.0},(33,114):{'3_1':0.0},(33,113):{'3_1':0.0},(33,110):{'3_1':0.0},(33,109):{'3_1':0.0},(33,108):{'3_1':0.0},(33,107):{'3_1':0.0},(33,106):{'3_1':0.03},(33,105):{'3_1':0.0},(33,99):{'3_1':0.0},(34,459):{'3_1':0.9,'5_1':0.0},(34,458):{'3_1':0.9,'5_1':0.0},(34,457):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0},(34,456):{'3_1':0.9,'7_1':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(34,455):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_10':0.0},(34,454):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_6':0.0,'8_19':0.0},(34,453):{'3_1':0.9,'6_1':0.0},(34,452):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'8_10':0.0},(34,451):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'5_2':0.0,'8_10':0.0},(34,450):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(34,449):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'-3':0.0},(34,448):{'3_1':0.9,'6_1':0.0,'7_1':0.0,'8_10':0.0,'-3':0.0},(34,447):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(34,446):{'3_1':0.9,'8_10':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(34,445):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(34,444):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(34,443):{'3_1':0.9,'5_2':0.0,'7_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(34,442):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_4':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(34,441):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(34,440):{'3_1':0.9,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(34,439):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0,'-3':0.0},(34,438):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0},(34,437):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'8_4':0.0,'8_10':0.0},(34,436):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(34,435):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'8_4':0.0,'-3':0.0},(34,434):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(34,433):{'3_1':0.9,'5_1':0.0,'-3':0.0,'6_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(34,432):{'3_1':0.9,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(34,431):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(34,430):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(34,429):{'3_1':0.87,'5_2':0.0,'8_10':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(34,428):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_2':0.0,'5_2':0.0,'8_19':0.0},(34,427):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(34,426):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'8_10':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(34,425):{'3_1':0.81,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(34,424):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'-3':0.0},(34,423):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_4':0.0,'8_10':0.0,'-3':0.0},(34,422):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(34,421):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'8_4':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(34,420):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(34,419):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0},(34,418):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'5_2':0.0,'7_3':0.0},(34,417):{'3_1':0.84,'5_1':0.06,'5_2':0.03,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(34,416):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(34,415):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0,'8_11':0.0,'-3':0.0},(34,414):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0},(34,413):{'3_1':0.72,'5_1':0.03,'8_2':0.03,'7_1':0.03,'-3':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(34,412):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(34,411):{'3_1':0.66,'5_1':0.09,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0,'3_1#5_1':0.0},(34,410):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'8_20|3_1#3_1':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0},(34,409):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'6_2':0.03,'8_2':0.0,'7_1':0.0,'-3':0.0},(34,408):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(34,407):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'8_2':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(34,406):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0},(34,405):{'3_1':0.75,'6_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_10':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(34,404):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(34,403):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_10':0.0,'-3':0.0},(34,402):{'3_1':0.9,'5_1':0.03},(34,401):{'3_1':0.84,'8_20|3_1#3_1':0.03,'6_2':0.0,'6_1':0.0,'8_4':0.0},(34,400):{'3_1':0.84,'6_1':0.0,'8_10':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(34,399):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0},(34,398):{'3_1':0.81,'8_20|3_1#3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0,'8_10':0.0},(34,397):{'3_1':0.87,'6_1':0.0,'5_2':0.0,'6_2':0.0},(34,396):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0},(34,395):{'3_1':0.69,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(34,394):{'3_1':0.78,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(34,393):{'3_1':0.63,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0},(34,392):{'3_1':0.6,'5_1':0.03,'8_20|3_1#3_1':0.0,'8_10':0.0},(34,391):{'3_1':0.63,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'8_10':0.0,'-3':0.0},(34,390):{'3_1':0.63,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'3_1#5_1':0.0},(34,389):{'3_1':0.48,'6_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(34,388):{'3_1':0.45,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_10':0.0},(34,387):{'3_1':0.36,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(34,386):{'3_1':0.24,'4_1':0.03,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(34,385):{'3_1':0.18,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'5_1':0.0},(34,384):{'3_1':0.15,'6_2':0.0,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(34,383):{'3_1':0.09,'6_2':0.0,'4_1':0.0},(34,382):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(34,381):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_8':0.0,'8_16':0.0},(34,380):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(34,379):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(34,378):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'7_6':0.0},(34,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(34,376):{'3_1':0.06,'8_21|3_1#4_1':0.0},(34,375):{'3_1':0.03,'4_1':0.0},(34,374):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(34,373):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(34,372):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(34,371):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(34,370):{'3_1':0.06,'5_2':0.0},(34,369):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(34,368):{'3_1':0.06,'5_1':0.0},(34,367):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(34,366):{'3_1':0.06,'5_2':0.0},(34,365):{'3_1':0.03,'6_3':0.0,'8_8':0.0},(34,364):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(34,363):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(34,362):{'3_1':0.03},(34,361):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(34,360):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(34,359):{'3_1':0.03,'5_1':0.0},(34,358):{'3_1':0.03,'5_2':0.0},(34,357):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(34,356):{'3_1':0.03},(34,355):{'3_1':0.03},(34,354):{'3_1':0.0,'5_2':0.0},(34,353):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(34,352):{'3_1':0.03},(34,351):{'3_1':0.0,'5_1':0.0},(34,350):{'5_2':0.0,'3_1':0.0},(34,349):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(34,347):{'3_1':0.03},(34,346):{'3_1':0.03,'5_2':0.0},(34,345):{'3_1':0.03,'5_2':0.0},(34,344):{'3_1':0.0},(34,343):{'3_1':0.0},(34,342):{'3_1':0.03,'6_2':0.0},(34,341):{'3_1':0.03,'4_1':0.0},(34,340):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(34,339):{'3_1':0.06},(34,338):{'3_1':0.03},(34,337):{'3_1':0.06},(34,336):{'3_1':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0},(34,335):{'3_1':0.06},(34,334):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(34,333):{'3_1':0.06,'4_1':0.0},(34,332):{'3_1':0.03},(34,331):{'3_1':0.06,'5_2':0.0},(34,330):{'3_1':0.06,'5_2':0.0},(34,329):{'3_1':0.06,'5_2':0.0},(34,328):{'3_1':0.03,'8_14':0.0},(34,327):{'3_1':0.03},(34,326):{'3_1':0.03},(34,325):{'3_1':0.03,'5_2':0.0},(34,324):{'3_1':0.03,'5_2':0.0},(34,323):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(34,322):{'3_1':0.03,'5_2':0.0},(34,321):{'3_1':0.06,'5_2':0.0},(34,320):{'3_1':0.06},(34,319):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(34,318):{'3_1':0.06,'5_2':0.0},(34,317):{'3_1':0.03,'5_2':0.0},(34,316):{'3_1':0.03},(34,315):{'3_1':0.0,'5_2':0.0},(34,314):{'3_1':0.06},(34,313):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(34,312):{'3_1':0.03,'5_2':0.0},(34,311):{'3_1':0.0},(34,310):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(34,309):{'3_1':0.0,'5_2':0.0},(34,308):{'3_1':0.03},(34,307):{'3_1':0.0,'5_2':0.0},(34,306):{'3_1':0.0},(34,305):{'3_1':0.0},(34,304):{'3_1':0.03,'8_20|3_1#3_1':0.0},(34,303):{'3_1':0.03},(34,302):{'3_1':0.06},(34,301):{'3_1':0.06,'4_1':0.0},(34,300):{'3_1':0.03,'4_1':0.0},(34,299):{'3_1':0.0},(34,298):{'3_1':0.03},(34,297):{'3_1':0.06,'4_1':0.0},(34,296):{'4_1':0.0},(34,295):{'3_1':0.03,'5_2':0.0},(34,294):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(34,293):{'3_1':0.03,'5_2':0.0},(34,292):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(34,291):{'3_1':0.06,'5_2':0.0},(34,290):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(34,289):{'3_1':0.03,'5_2':0.0},(34,288):{'5_2':0.0,'3_1':0.0},(34,287):{'3_1':0.03,'5_2':0.0},(34,286):{'3_1':0.0},(34,285):{'3_1':0.06,'5_2':0.0},(34,284):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(34,283):{'5_2':0.0},(34,282):{'3_1':0.0},(34,281):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(34,280):{'3_1':0.03,'5_2':0.0},(34,279):{'3_1':0.0,'4_1':0.0},(34,278):{'3_1':0.0},(34,277):{'5_2':0.0,'3_1':0.0},(34,276):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(34,275):{'3_1':0.03},(34,274):{'3_1':0.03,'5_1':0.0},(34,273):{'5_1':0.0,'5_2':0.0},(34,272):{'3_1':0.06},(34,271):{'3_1':0.0,'4_1':0.0},(34,270):{'3_1':0.06},(34,269):{'3_1':0.03,'5_1':0.0},(34,268):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(34,267):{'3_1':0.03},(34,266):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(34,265):{'3_1':0.03,'5_2':0.0},(34,264):{'3_1':0.06},(34,263):{'3_1':0.06,'4_1':0.0},(34,262):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(34,261):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(34,260):{'3_1':0.03,'5_1':0.0},(34,259):{'3_1':0.0},(34,258):{'3_1':0.03,'5_2':0.0},(34,257):{'3_1':0.06},(34,256):{'3_1':0.0},(34,255):{'3_1':0.0,'5_1':0.0},(34,254):{'3_1':0.03,'5_2':0.0},(34,253):{'3_1':0.03,'5_1':0.0},(34,252):{'3_1':0.0,'5_2':0.0},(34,251):{'3_1':0.03},(34,250):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(34,249):{'3_1':0.03},(34,248):{'3_1':0.03},(34,247):{'3_1':0.0},(34,246):{'3_1':0.0},(34,245):{'3_1':0.0},(34,244):{'3_1':0.0,'5_2':0.0},(34,243):{'3_1':0.0},(34,242):{'3_1':0.0},(34,241):{'3_1':0.03,'5_2':0.0},(34,240):{'3_1':0.0},(34,239):{'3_1':0.0},(34,238):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(34,237):{'3_1':0.0,'5_2':0.0},(34,236):{'3_1':0.03},(34,235):{'3_1':0.0},(34,234):{'3_1':0.03,'5_2':0.0},(34,233):{'3_1':0.0,'5_2':0.0},(34,232):{'3_1':0.03,'5_2':0.0},(34,231):{'3_1':0.0,'5_2':0.0},(34,230):{'3_1':0.0},(34,229):{'3_1':0.0},(34,228):{'3_1':0.03,'5_2':0.0},(34,227):{'3_1':0.0},(34,226):{'3_1':0.0},(34,225):{'3_1':0.03},(34,224):{'3_1':0.03},(34,223):{'3_1':0.03,'5_2':0.0},(34,222):{'3_1':0.0},(34,221):{'3_1':0.03},(34,220):{'3_1':0.06},(34,219):{'3_1':0.03},(34,218):{'3_1':0.03},(34,217):{'3_1':0.0},(34,216):{'3_1':0.06},(34,215):{'3_1':0.03},(34,214):{'3_1':0.03},(34,213):{'3_1':0.06},(34,212):{'3_1':0.03},(34,211):{'3_1':0.03},(34,210):{'3_1':0.03,'6_3':0.0},(34,209):{'3_1':0.03},(34,208):{'3_1':0.03},(34,207):{'3_1':0.03},(34,206):{'3_1':0.03},(34,205):{'3_1':0.03},(34,204):{'3_1':0.0,'5_2':0.0},(34,203):{'3_1':0.0},(34,202):{'3_1':0.0},(34,201):{'3_1':0.03},(34,200):{'3_1':0.03},(34,199):{'3_1':0.0},(34,198):{'3_1':0.0},(34,197):{'3_1':0.0},(34,196):{'3_1':0.0},(34,195):{'3_1':0.0},(34,194):{'3_1':0.0},(34,193):{'3_1':0.03},(34,192):{'3_1':0.0},(34,191):{'3_1':0.03},(34,190):{'3_1':0.0},(34,189):{'3_1':0.03},(34,188):{'3_1':0.03},(34,187):{'3_1':0.03},(34,186):{'3_1':0.0},(34,185):{'3_1':0.0},(34,184):{'3_1':0.03},(34,183):{'3_1':0.0},(34,182):{'3_1':0.0},(34,181):{'3_1':0.0},(34,180):{'3_1':0.0},(34,179):{'3_1':0.03},(34,178):{'3_1':0.0},(34,176):{'3_1':0.0,'5_1':0.0},(34,175):{'3_1':0.0},(34,174):{'3_1':0.0},(34,173):{'3_1':0.0},(34,171):{'3_1':0.03},(34,170):{'3_1':0.0},(34,169):{'3_1':0.0},(34,168):{'3_1':0.03},(34,167):{'3_1':0.0},(34,166):{'3_1':0.0},(34,165):{'3_1':0.0},(34,164):{'3_1':0.0},(34,163):{'3_1':0.0},(34,162):{'3_1':0.0},(34,161):{'3_1':0.03},(34,157):{'3_1':0.0},(34,156):{'3_1':0.0},(34,155):{'3_1':0.0},(34,154):{'3_1':0.03},(34,153):{'3_1':0.0},(34,152):{'3_1':0.0},(34,151):{'3_1':0.0},(34,149):{'3_1':0.0},(34,147):{'3_1':0.0},(34,146):{'3_1':0.0},(34,145):{'3_1':0.0},(34,144):{'3_1':0.0},(34,141):{'3_1':0.03},(34,140):{'3_1':0.0},(34,139):{'3_1':0.03},(34,138):{'3_1':0.03},(34,136):{'3_1':0.0},(34,133):{'3_1':0.0},(34,131):{'3_1':0.0},(34,129):{'3_1':0.03},(34,128):{'3_1':0.0},(34,127):{'3_1':0.0},(34,125):{'3_1':0.03},(34,123):{'3_1':0.0},(34,122):{'3_1':0.0},(34,121):{'3_1':0.0},(34,120):{'3_1':0.0},(34,118):{'3_1':0.0},(34,117):{'3_1':0.0},(34,116):{'3_1':0.03},(34,115):{'3_1':0.0},(34,114):{'3_1':0.0},(34,113):{'3_1':0.0},(34,112):{'3_1':0.0},(34,111):{'3_1':0.0},(34,110):{'3_1':0.0},(34,106):{'3_1':0.0},(34,102):{'3_1':0.0},(34,101):{'3_1':0.0},(34,100):{'3_1':0.0},(34,97):{'3_1':0.0},(34,95):{'3_1':0.0},(35,459):{'3_1':0.9,'6_2':0.0,'8_20|3_1#3_1':0.0},(35,458):{'3_1':0.9,'6_1':0.0,'5_1':0.0,'6_2':0.0},(35,457):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(35,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(35,455):{'3_1':0.9,'5_2':0.0,'7_1':0.0},(35,454):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(35,453):{'3_1':0.9,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(35,452):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(35,451):{'3_1':0.9,'5_1':0.0},(35,450):{'3_1':0.9,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0},(35,449):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(35,448):{'3_1':0.9,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0},(35,447):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0},(35,446):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(35,445):{'3_1':0.87,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(35,444):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(35,443):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0},(35,442):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0},(35,441):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0,'8_10':0.0,'8_19':0.0},(35,440):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_10':0.0},(35,439):{'3_1':0.9,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0},(35,438):{'3_1':0.87,'5_1':0.0,'8_10':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(35,437):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(35,436):{'3_1':0.84,'5_1':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0},(35,435):{'3_1':0.87,'6_2':0.03,'8_2':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(35,434):{'3_1':0.9,'5_2':0.0,'8_21|3_1#4_1':0.0,'8_20|3_1#3_1':0.0},(35,433):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0},(35,432):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(35,431):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(35,430):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(35,429):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'6_2':0.0,'8_10':0.0,'-3':0.0},(35,428):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0},(35,427):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_19':0.0,'-3':0.0},(35,426):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(35,425):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(35,424):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'-3':0.0},(35,423):{'3_1':0.84,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'8_10':0.0},(35,422):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(35,421):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(35,420):{'3_1':0.81,'5_1':0.03,'6_1':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(35,419):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.03,'5_2':0.0,'8_10':0.0,'-3':0.0},(35,418):{'3_1':0.75,'5_1':0.06,'-3':0.0,'5_2':0.0,'8_2':0.0,'7_5':0.0,'8_7':0.0},(35,417):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0},(35,416):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_2':0.0,'8_19':0.0},(35,415):{'3_1':0.81,'8_2':0.06,'5_1':0.0,'5_2':0.0,'8_10':0.0,'-3':0.0,'6_2':0.0},(35,414):{'3_1':0.75,'5_1':0.12,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(35,413):{'3_1':0.63,'5_1':0.15,'8_2':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(35,412):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(35,411):{'3_1':0.78,'5_1':0.09,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(35,410):{'3_1':0.69,'5_1':0.12,'8_2':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'8_6':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(35,409):{'3_1':0.63,'5_1':0.12,'5_2':0.06,'6_2':0.03,'8_2':0.0,'7_1':0.0,'7_5':0.0},(35,408):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(35,407):{'3_1':0.81,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(35,406):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(35,405):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(35,404):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(35,403):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(35,402):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(35,401):{'3_1':0.81,'8_20|3_1#3_1':0.06,'5_1':0.0,'8_10':0.0,'6_2':0.0},(35,400):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_10':0.0},(35,399):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(35,398):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(35,397):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'4_1':0.0},(35,396):{'3_1':0.69,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0,'8_10':0.0},(35,395):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0},(35,394):{'3_1':0.75,'5_1':0.03,'8_10':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_4':0.0,'-3':0.0},(35,393):{'3_1':0.69,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0},(35,392):{'3_1':0.69,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(35,391):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(35,390):{'3_1':0.57,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0},(35,389):{'3_1':0.57,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(35,388):{'3_1':0.45,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'-3':0.0},(35,387):{'3_1':0.33,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(35,386):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(35,385):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(35,384):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_10':0.0},(35,383):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(35,382):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(35,381):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(35,380):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(35,379):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_8':0.0},(35,378):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_6':0.0},(35,377):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(35,376):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'5_2':0.0,'-3':0.0},(35,375):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(35,374):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(35,373):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(35,372):{'3_1':0.0,'3_1#5_1':0.0},(35,371):{'3_1':0.03,'8_8':0.0},(35,370):{'3_1':0.03,'4_1':0.0},(35,369):{'3_1':0.03,'4_1':0.0,'8_14':0.0},(35,368):{'3_1':0.06},(35,367):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(35,366):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(35,365):{'3_1':0.03},(35,364):{'3_1':0.06,'6_3':0.0,'8_21|3_1#4_1':0.0},(35,363):{'3_1':0.03},(35,362):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(35,361):{'3_1':0.03,'5_2':0.0},(35,360):{'3_1':0.0,'6_3':0.0},(35,359):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(35,358):{'3_1':0.0,'5_2':0.0},(35,357):{'3_1':0.0},(35,356):{'3_1':0.03,'5_2':0.0,'8_8':0.0},(35,355):{'3_1':0.0},(35,354):{'3_1':0.03,'4_1':0.0},(35,353):{'3_1':0.03,'4_1':0.0},(35,352):{'3_1':0.03,'5_2':0.0},(35,351):{'3_1':0.0,'5_2':0.0,'8_8':0.0},(35,350):{'3_1':0.03},(35,349):{'3_1':0.03},(35,348):{'3_1':0.0},(35,347):{'3_1':0.0},(35,346):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(35,345):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(35,344):{'3_1':0.0,'5_1':0.0},(35,343):{'3_1':0.03,'5_1':0.0},(35,342):{'3_1':0.03},(35,341):{'3_1':0.03,'4_1':0.0},(35,340):{'3_1':0.06,'5_2':0.0},(35,339):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(35,338):{'3_1':0.03},(35,337):{'3_1':0.03,'5_2':0.0},(35,336):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(35,335):{'3_1':0.06,'4_1':0.0},(35,334):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(35,333):{'3_1':0.03,'4_1':0.0},(35,332):{'3_1':0.0,'5_1':0.0},(35,331):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(35,330):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0},(35,329):{'3_1':0.03,'6_2':0.0},(35,328):{'3_1':0.0,'5_2':0.0},(35,327):{'5_1':0.0,'5_2':0.0,'3_1':0.0,'4_1':0.0},(35,326):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(35,325):{'3_1':0.0},(35,324):{'3_1':0.03},(35,323):{'3_1':0.0},(35,322):{'3_1':0.03,'5_2':0.0},(35,321):{'3_1':0.0,'5_1':0.0},(35,320):{'3_1':0.03,'5_2':0.0},(35,319):{'3_1':0.03},(35,318):{'3_1':0.0},(35,317):{'3_1':0.09},(35,316):{'3_1':0.0},(35,315):{'3_1':0.03},(35,314):{'3_1':0.03},(35,313):{'3_1':0.03},(35,312):{'3_1':0.0,'5_2':0.0},(35,311):{'3_1':0.03,'5_2':0.0},(35,310):{'3_1':0.03},(35,309):{'3_1':0.03},(35,308):{'3_1':0.03,'5_2':0.0},(35,306):{'3_1':0.03},(35,305):{'3_1':0.0,'5_1':0.0},(35,304):{'3_1':0.0,'4_1':0.0},(35,303):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(35,302):{'3_1':0.09,'4_1':0.0},(35,301):{'3_1':0.03,'4_1':0.0},(35,300):{'3_1':0.0},(35,299):{'3_1':0.03},(35,298):{'3_1':0.03,'6_2':0.0},(35,297):{'3_1':0.03,'4_1':0.0},(35,296):{'3_1':0.0},(35,295):{'3_1':0.0,'5_2':0.0},(35,294):{'3_1':0.0},(35,293):{'3_1':0.03,'5_2':0.0},(35,292):{'3_1':0.0},(35,291):{'5_2':0.0},(35,290):{'3_1':0.0,'5_2':0.0},(35,289):{'3_1':0.0},(35,288):{'3_1':0.03},(35,287):{'3_1':0.03,'5_2':0.0},(35,286):{'3_1':0.03,'5_2':0.0},(35,285):{'3_1':0.0,'4_1':0.0},(35,284):{'3_1':0.06},(35,283):{'3_1':0.0},(35,282):{'3_1':0.03,'4_1':0.0},(35,281):{'3_1':0.03,'5_1':0.0},(35,280):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(35,279):{'3_1':0.0},(35,278):{'3_1':0.0},(35,277):{'3_1':0.0,'6_2':0.0},(35,276):{'3_1':0.0},(35,275):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(35,274):{'3_1':0.03},(35,273):{'3_1':0.03},(35,272):{'3_1':0.03},(35,271):{'3_1':0.03},(35,270):{'3_1':0.03,'4_1':0.0},(35,269):{'3_1':0.06,'6_3':0.0},(35,268):{'3_1':0.0},(35,267):{'3_1':0.03},(35,266):{'3_1':0.0},(35,265):{'3_1':0.03},(35,264):{'3_1':0.06},(35,263):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(35,262):{'3_1':0.06},(35,261):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(35,260):{'3_1':0.03},(35,259):{'3_1':0.03},(35,258):{'3_1':0.0,'5_1':0.0},(35,257):{'3_1':0.03,'5_2':0.0},(35,256):{'3_1':0.03},(35,255):{'3_1':0.03},(35,254):{'3_1':0.03,'5_2':0.0},(35,253):{'3_1':0.0},(35,252):{'3_1':0.03},(35,251):{'3_1':0.0,'5_1':0.0},(35,250):{'3_1':0.0,'5_1':0.0},(35,249):{'3_1':0.03},(35,248):{'3_1':0.0,'5_1':0.0},(35,247):{'3_1':0.0},(35,246):{'3_1':0.0},(35,245):{'3_1':0.03,'5_2':0.0},(35,244):{'3_1':0.03,'5_2':0.0},(35,243):{'3_1':0.03,'5_2':0.0},(35,242):{'3_1':0.03,'5_2':0.0},(35,241):{'3_1':0.03},(35,240):{'3_1':0.0},(35,239):{'3_1':0.03},(35,237):{'3_1':0.03,'5_2':0.0},(35,236):{'3_1':0.0},(35,235):{'3_1':0.0},(35,234):{'3_1':0.03},(35,233):{'3_1':0.0},(35,232):{'3_1':0.0,'5_2':0.0},(35,231):{'3_1':0.0},(35,230):{'3_1':0.03},(35,229):{'3_1':0.0},(35,228):{'3_1':0.0,'5_2':0.0},(35,227):{'3_1':0.0},(35,226):{'3_1':0.0,'5_1':0.0},(35,225):{'3_1':0.0},(35,224):{'3_1':0.03},(35,223):{'3_1':0.0,'5_2':0.0},(35,222):{'3_1':0.0},(35,221):{'3_1':0.03},(35,220):{'3_1':0.03,'5_2':0.0},(35,219):{'3_1':0.06},(35,218):{'3_1':0.0,'5_2':0.0},(35,217):{'3_1':0.03},(35,216):{'3_1':0.0,'5_1':0.0},(35,215):{'3_1':0.0},(35,214):{'3_1':0.03},(35,213):{'3_1':0.06},(35,212):{'3_1':0.03},(35,211):{'3_1':0.03,'5_1':0.0},(35,210):{'3_1':0.03},(35,209):{'3_1':0.03},(35,208):{'3_1':0.03},(35,207):{'3_1':0.03},(35,206):{'3_1':0.0},(35,205):{'3_1':0.0},(35,204):{'3_1':0.06},(35,203):{'3_1':0.0},(35,202):{'3_1':0.03},(35,201):{'3_1':0.0},(35,200):{'3_1':0.0},(35,199):{'3_1':0.03},(35,198):{'3_1':0.03},(35,197):{'3_1':0.0},(35,196):{'5_2':0.0},(35,195):{'3_1':0.03},(35,194):{'3_1':0.0},(35,193):{'3_1':0.03},(35,192):{'3_1':0.0},(35,191):{'3_1':0.0},(35,190):{'3_1':0.03},(35,189):{'3_1':0.0},(35,188):{'3_1':0.0},(35,187):{'3_1':0.0},(35,186):{'3_1':0.0},(35,185):{'3_1':0.06},(35,184):{'3_1':0.0},(35,183):{'3_1':0.06},(35,182):{'3_1':0.03},(35,181):{'3_1':0.03},(35,180):{'3_1':0.06},(35,179):{'3_1':0.0},(35,178):{'3_1':0.03},(35,177):{'3_1':0.03},(35,176):{'3_1':0.0},(35,175):{'3_1':0.0},(35,174):{'3_1':0.06},(35,173):{'3_1':0.0},(35,172):{'3_1':0.03},(35,171):{'3_1':0.03},(35,170):{'3_1':0.0},(35,169):{'3_1':0.0},(35,168):{'3_1':0.0},(35,167):{'3_1':0.0},(35,166):{'3_1':0.0},(35,165):{'3_1':0.03},(35,164):{'3_1':0.0},(35,163):{'3_1':0.03},(35,162):{'3_1':0.0},(35,161):{'3_1':0.03},(35,159):{'3_1':0.0},(35,158):{'3_1':0.0},(35,155):{'3_1':0.0},(35,154):{'3_1':0.0},(35,153):{'3_1':0.03},(35,152):{'3_1':0.0},(35,151):{'3_1':0.0},(35,150):{'3_1':0.0},(35,149):{'3_1':0.0},(35,148):{'3_1':0.0},(35,146):{'3_1':0.0},(35,145):{'3_1':0.0},(35,144):{'3_1':0.0},(35,143):{'3_1':0.0},(35,142):{'3_1':0.03},(35,141):{'3_1':0.0},(35,140):{'3_1':0.0},(35,138):{'3_1':0.0},(35,137):{'3_1':0.0},(35,135):{'3_1':0.0},(35,134):{'3_1':0.0},(35,133):{'3_1':0.03},(35,132):{'3_1':0.0},(35,131):{'3_1':0.0},(35,128):{'3_1':0.0},(35,127):{'3_1':0.0},(35,125):{'3_1':0.0},(35,124):{'3_1':0.0},(35,123):{'3_1':0.0},(35,122):{'3_1':0.03},(35,121):{'3_1':0.0},(35,120):{'3_1':0.03},(35,118):{'3_1':0.0},(35,117):{'3_1':0.0},(35,116):{'3_1':0.0},(35,115):{'3_1':0.0},(35,114):{'3_1':0.0},(35,113):{'3_1':0.0},(35,112):{'3_1':0.0},(35,111):{'3_1':0.0},(35,110):{'3_1':0.0},(35,109):{'3_1':0.03},(35,108):{'3_1':0.0},(35,107):{'3_1':0.0},(35,106):{'3_1':0.0},(35,105):{'3_1':0.0},(35,101):{'3_1':0.0},(35,100):{'3_1':0.0},(35,98):{'3_1':0.0},(36,459):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(36,458):{'3_1':0.9,'5_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(36,457):{'3_1':0.9,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(36,456):{'3_1':0.9,'5_2':0.03,'8_20|3_1#3_1':0.0,'8_2':0.0,'-3':0.0},(36,455):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'8_10':0.0},(36,454):{'3_1':0.9,'6_2':0.0,'8_10':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(36,453):{'3_1':0.9,'8_20|3_1#3_1':0.0,'7_3':0.0},(36,452):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(36,451):{'3_1':0.9,'8_20|3_1#3_1':0.0,'6_2':0.0},(36,450):{'3_1':0.9,'7_3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(36,449):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(36,448):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_6':0.0,'8_19':0.0},(36,447):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(36,446):{'3_1':0.9,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(36,445):{'3_1':0.9,'8_20|3_1#3_1':0.0,'-3':0.0},(36,444):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(36,443):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(36,442):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(36,441):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(36,440):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'3_1#5_2':0.0},(36,439):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(36,438):{'3_1':0.9,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0},(36,437):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(36,436):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(36,435):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_19':0.0,'6_3':0.0},(36,434):{'3_1':0.87,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'5_1':0.0,'5_2':0.0},(36,433):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_3':0.0,'8_2':0.0,'-3':0.0,'3_1#5_1':0.0},(36,432):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(36,431):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0},(36,430):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(36,429):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(36,428):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(36,427):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'6_2':0.0},(36,426):{'3_1':0.84,'5_1':0.06,'8_20|3_1#3_1':0.03,'6_2':0.0,'-3':0.0},(36,425):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(36,424):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'8_19':0.0},(36,423):{'3_1':0.81,'5_1':0.03,'6_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_3':0.0,'-3':0.0},(36,422):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0},(36,421):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0},(36,420):{'3_1':0.87,'5_2':0.06,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(36,419):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'7_3':0.0,'8_10':0.0,'1':-0.03},(36,418):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(36,417):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(36,416):{'3_1':0.87,'8_2':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(36,415):{'3_1':0.75,'5_1':0.09,'6_2':0.03,'5_2':0.0,'8_2':0.0,'6_3':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(36,414):{'3_1':0.69,'5_1':0.15,'8_2':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(36,413):{'3_1':0.69,'5_1':0.09,'8_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_10':0.0,'-3':0.0},(36,412):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'3_1#5_1':0.0},(36,411):{'3_1':0.72,'5_1':0.12,'7_1':0.0,'3_1#5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(36,410):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'6_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(36,409):{'3_1':0.72,'5_1':0.09,'5_2':0.06,'8_2':0.03,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(36,408):{'3_1':0.78,'5_1':0.09,'6_2':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_10':0.0},(36,407):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0},(36,406):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(36,405):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(36,404):{'3_1':0.87,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_10':0.0},(36,403):{'3_1':0.87,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(36,402):{'3_1':0.84,'6_2':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0},(36,401):{'3_1':0.87,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_10':0.0},(36,400):{'3_1':0.84,'8_20|3_1#3_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0,'8_19':0.0},(36,399):{'3_1':0.78,'8_20|3_1#3_1':0.03,'6_2':0.03,'5_1':0.0},(36,398):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(36,397):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(36,396):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0},(36,395):{'3_1':0.78,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'4_1':0.0},(36,394):{'3_1':0.81,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(36,393):{'3_1':0.69,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0},(36,392):{'3_1':0.6,'8_20|3_1#3_1':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0},(36,391):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(36,390):{'3_1':0.54,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_4':0.0},(36,389):{'3_1':0.51,'6_2':0.03,'4_1':0.03,'5_1':0.0,'8_10':0.0},(36,388):{'3_1':0.39,'6_2':0.03,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0,'8_9':0.0},(36,387):{'3_1':0.27,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_10':0.0},(36,386):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'3_1#5_1':0.0},(36,385):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(36,384):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(36,383):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(36,382):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(36,381):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_3':0.0},(36,380):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(36,379):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(36,378):{'3_1':0.03,'4_1':0.0},(36,377):{'3_1':0.09,'4_1':0.0},(36,376):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(36,375):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(36,374):{'3_1':0.03,'4_1':0.0},(36,373):{'3_1':0.03,'4_1':0.0,'7_5':0.0,'8_8':0.0},(36,372):{'3_1':0.03},(36,371):{'3_1':0.03},(36,370):{'3_1':0.03},(36,369):{'3_1':0.09},(36,368):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(36,367):{'3_1':0.06,'4_1':0.0},(36,366):{'3_1':0.0},(36,365):{'3_1':0.09,'4_1':0.0},(36,364):{'3_1':0.03},(36,363):{'3_1':0.06},(36,362):{'3_1':0.03},(36,361):{'3_1':0.03,'4_1':0.0},(36,360):{'3_1':0.0},(36,359):{'3_1':0.0,'5_2':0.0},(36,358):{'3_1':0.0,'5_2':0.0},(36,357):{'3_1':0.03,'5_2':0.0},(36,356):{'3_1':0.03,'5_2':0.0},(36,355):{'3_1':0.0},(36,354):{'3_1':0.0},(36,353):{'3_1':0.03},(36,352):{'3_1':0.03,'5_2':0.0},(36,351):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(36,350):{'3_1':0.06},(36,349):{'3_1':0.03},(36,348):{'3_1':0.03,'5_2':0.0},(36,347):{'3_1':0.0,'4_1':0.0},(36,346):{'3_1':0.06},(36,345):{'3_1':0.0},(36,344):{'3_1':0.0,'7_2':0.0},(36,343):{'3_1':0.06,'4_1':0.0},(36,342):{'3_1':0.06},(36,341):{'3_1':0.06,'5_2':0.0},(36,340):{'3_1':0.0,'5_1':0.0,'7_5':0.0},(36,339):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(36,338):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(36,337):{'3_1':0.06,'5_2':0.0},(36,336):{'3_1':0.03},(36,335):{'3_1':0.03,'4_1':0.0},(36,334):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(36,333):{'3_1':0.03,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(36,332):{'3_1':0.03},(36,331):{'3_1':0.03},(36,330):{'3_1':0.06,'6_2':0.0},(36,329):{'3_1':0.0,'5_2':0.0},(36,328):{'3_1':0.03,'5_1':0.0},(36,327):{'3_1':0.06},(36,326):{'3_1':0.06,'5_2':0.0},(36,325):{'4_1':0.0,'5_2':0.0},(36,324):{'3_1':0.0,'5_1':0.0},(36,323):{'3_1':0.0},(36,322):{'3_1':0.03,'5_2':0.0},(36,321):{'3_1':0.0},(36,320):{'3_1':0.03,'5_2':0.0},(36,319):{'3_1':0.03,'5_2':0.0},(36,318):{'3_1':0.03,'4_1':0.0},(36,317):{'3_1':0.0,'5_2':0.0},(36,316):{'3_1':0.03},(36,315):{'3_1':0.06},(36,314):{'3_1':0.0},(36,313):{'3_1':0.03},(36,312):{'3_1':0.0},(36,311):{'3_1':0.0},(36,310):{'3_1':0.0,'5_2':0.0},(36,309):{'3_1':0.0},(36,308):{'3_1':0.0,'5_2':0.0},(36,307):{'3_1':0.03,'5_1':0.0},(36,306):{'3_1':0.0},(36,305):{'3_1':0.0,'8_2':0.0},(36,304):{'3_1':0.0,'4_1':0.0},(36,303):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(36,302):{'3_1':0.03},(36,301):{'3_1':0.0},(36,300):{'3_1':0.09},(36,299):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(36,298):{'3_1':0.06,'5_2':0.0},(36,297):{'3_1':0.06},(36,295):{'5_2':0.0},(36,294):{'3_1':0.03,'5_2':0.0},(36,293):{'3_1':0.0},(36,292):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(36,291):{'3_1':0.0},(36,290):{'3_1':0.0},(36,289):{'3_1':0.0,'5_2':0.0},(36,288):{'3_1':0.0},(36,287):{'3_1':0.0},(36,286):{'3_1':0.03,'5_2':0.0},(36,285):{'3_1':0.03},(36,284):{'3_1':0.03,'5_2':0.0},(36,283):{'3_1':0.03},(36,282):{'3_1':0.0,'5_2':0.0},(36,281):{'3_1':0.0,'5_2':0.0},(36,280):{'3_1':0.0},(36,279):{'3_1':0.0,'5_2':0.0},(36,278):{'5_2':0.0},(36,277):{'3_1':0.06},(36,276):{'3_1':0.03},(36,275):{'3_1':0.03},(36,274):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(36,273):{'3_1':0.03,'4_1':0.0},(36,272):{'3_1':0.0},(36,271):{'3_1':0.03,'5_1':0.0},(36,270):{'3_1':0.0},(36,269):{'3_1':0.06,'5_2':0.0},(36,268):{'3_1':0.09},(36,267):{'3_1':0.03,'4_1':0.0},(36,266):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(36,265):{'3_1':0.03},(36,264):{'3_1':0.03,'5_2':0.0},(36,263):{'3_1':0.09},(36,262):{'3_1':0.03,'5_2':0.0},(36,261):{'3_1':0.03,'4_1':0.0},(36,260):{'3_1':0.06,'5_2':0.0},(36,259):{'3_1':0.03},(36,258):{'3_1':0.06,'5_2':0.0},(36,257):{'3_1':0.06,'5_1':0.0},(36,256):{'3_1':0.06,'5_1':0.0},(36,255):{'3_1':0.06},(36,254):{'3_1':0.0},(36,253):{'3_1':0.03},(36,252):{'3_1':0.0,'5_2':0.0},(36,251):{'3_1':0.0},(36,250):{'3_1':0.03},(36,249):{'3_1':0.0},(36,248):{'3_1':0.0},(36,247):{'3_1':0.03},(36,246):{'3_1':0.03},(36,245):{'3_1':0.0,'5_1':0.0},(36,244):{'3_1':0.0},(36,243):{'3_1':0.03,'5_2':0.0},(36,242):{'3_1':0.0},(36,241):{'3_1':0.03,'5_1':0.0},(36,240):{'5_2':0.0},(36,239):{'3_1':0.03,'5_2':0.0},(36,238):{'3_1':0.0},(36,237):{'3_1':0.0},(36,236):{'3_1':0.0},(36,235):{'3_1':0.0,'5_2':0.0},(36,234):{'3_1':0.0},(36,233):{'3_1':0.03},(36,232):{'3_1':0.0},(36,231):{'3_1':0.0},(36,230):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(36,229):{'5_2':0.0},(36,228):{'3_1':0.03},(36,227):{'3_1':0.03},(36,226):{'3_1':0.0,'5_2':0.0},(36,225):{'3_1':0.0},(36,224):{'3_1':0.03},(36,223):{'3_1':0.03},(36,222):{'3_1':0.0},(36,221):{'3_1':0.03,'5_2':0.0},(36,220):{'3_1':0.06},(36,219):{'3_1':0.0,'5_1':0.0,'6_3':0.0},(36,218):{'3_1':0.03},(36,217):{'3_1':0.0},(36,216):{'3_1':0.0},(36,215):{'3_1':0.03},(36,214):{'3_1':0.0},(36,213):{'3_1':0.06,'6_3':0.0},(36,212):{'3_1':0.03},(36,211):{'3_1':0.03},(36,210):{'3_1':0.03},(36,209):{'3_1':0.03},(36,208):{'3_1':0.03},(36,207):{'3_1':0.03},(36,206):{'3_1':0.06},(36,205):{'3_1':0.0},(36,204):{'3_1':0.0},(36,203):{'3_1':0.0},(36,202):{'3_1':0.0,'5_2':0.0},(36,201):{'3_1':0.0},(36,200):{'3_1':0.0},(36,199):{'3_1':0.03,'5_2':0.0},(36,198):{'3_1':0.0,'5_1':0.0},(36,197):{'3_1':0.03},(36,195):{'3_1':0.03},(36,194):{'3_1':0.0},(36,193):{'3_1':0.0},(36,192):{'3_1':0.03},(36,191):{'3_1':0.0},(36,190):{'3_1':0.03},(36,189):{'3_1':0.03},(36,188):{'3_1':0.03},(36,187):{'3_1':0.03},(36,186):{'3_1':0.03},(36,185):{'3_1':0.0},(36,184):{'3_1':0.03},(36,183):{'3_1':0.06},(36,182):{'3_1':0.06},(36,181):{'3_1':0.03},(36,179):{'3_1':0.03},(36,178):{'3_1':0.03},(36,177):{'3_1':0.03},(36,176):{'3_1':0.03},(36,175):{'3_1':0.03},(36,174):{'3_1':0.0},(36,173):{'3_1':0.0},(36,172):{'3_1':0.06},(36,171):{'3_1':0.03},(36,170):{'3_1':0.03},(36,169):{'3_1':0.0},(36,168):{'3_1':0.0},(36,167):{'3_1':0.0},(36,166):{'3_1':0.0},(36,164):{'3_1':0.0},(36,163):{'3_1':0.0},(36,162):{'3_1':0.0},(36,161):{'3_1':0.0},(36,160):{'3_1':0.0},(36,159):{'3_1':0.0},(36,158):{'3_1':0.03},(36,157):{'3_1':0.0},(36,156):{'3_1':0.0},(36,154):{'3_1':0.0},(36,153):{'3_1':0.0},(36,151):{'3_1':0.0},(36,149):{'3_1':0.0},(36,148):{'3_1':0.0},(36,147):{'3_1':0.0,'5_2':0.0},(36,146):{'3_1':0.0},(36,145):{'3_1':0.0},(36,144):{'3_1':0.03},(36,143):{'3_1':0.0},(36,142):{'3_1':0.0},(36,141):{'3_1':0.0},(36,140):{'3_1':0.0},(36,139):{'3_1':0.0},(36,138):{'3_1':0.0},(36,137):{'3_1':0.0},(36,136):{'3_1':0.0},(36,135):{'3_1':0.0},(36,134):{'3_1':0.0},(36,133):{'3_1':0.0},(36,132):{'3_1':0.0},(36,131):{'3_1':0.0},(36,128):{'3_1':0.0},(36,127):{'3_1':0.0},(36,125):{'3_1':0.0},(36,124):{'3_1':0.0},(36,122):{'3_1':0.0},(36,119):{'3_1':0.0},(36,118):{'3_1':0.0},(36,117):{'3_1':0.0},(36,116):{'3_1':0.0},(36,115):{'3_1':0.0},(37,459):{'3_1':0.9,'8_20|3_1#3_1':0.0,'7_4':0.0},(37,458):{'3_1':0.9,'6_2':0.0,'7_4':0.0,'5_1':0.0,'8_2':0.0},(37,457):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0},(37,456):{'3_1':0.9,'8_20|3_1#3_1':0.03,'6_2':0.0},(37,455):{'3_1':0.9,'8_20|3_1#3_1':0.0,'8_2':0.0,'8_5':0.0},(37,454):{'3_1':0.9,'8_20|3_1#3_1':0.0,'7_4':0.0,'8_10':0.0},(37,453):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_4':0.0,'8_10':0.0},(37,452):{'3_1':0.9,'5_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(37,451):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_1':0.0},(37,450):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0},(37,449):{'3_1':0.9,'8_20|3_1#3_1':0.0,'7_1':0.0},(37,448):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(37,447):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(37,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(37,445):{'3_1':0.9,'8_20|3_1#3_1':0.03,'6_2':0.0,'7_4':0.0,'8_2':0.0,'8_10':0.0},(37,444):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_10':0.0},(37,443):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(37,442):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'-3':0.0},(37,441):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(37,440):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'8_10':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(37,439):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(37,438):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_2':0.0,'8_4':0.0},(37,437):{'3_1':0.87,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(37,436):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(37,435):{'3_1':0.87,'5_1':0.03,'8_10':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(37,434):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'8_10':0.0,'8_17':0.0,'-3':0.0},(37,433):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(37,432):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_2':0.0,'6_1':0.0,'7_6':0.0,'8_10':0.0},(37,431):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(37,430):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(37,429):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(37,428):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_2':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(37,427):{'3_1':0.84,'5_1':0.06,'7_3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_10':0.0,'8_19':0.0},(37,426):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'8_10':0.0,'-3':0.0,'7_1':0.0,'8_2':0.0},(37,425):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_4':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(37,424):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(37,423):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_2':0.0,'-3':0.0},(37,422):{'3_1':0.87,'5_1':0.03,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(37,421):{'3_1':0.81,'6_2':0.03,'5_1':0.03,'8_19':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(37,420):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0},(37,419):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'7_3':0.0},(37,418):{'3_1':0.84,'5_1':0.06,'5_2':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0},(37,417):{'3_1':0.81,'5_1':0.09,'7_3':0.0,'5_2':0.0,'8_2':0.0,'8_10':0.0},(37,416):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0,'8_19':0.0,'-3':0.0},(37,415):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_8':0.0,'8_10':0.0,'8_19':0.0,'-3':0.0},(37,414):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0},(37,413):{'3_1':0.69,'5_1':0.12,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_5':0.0,'-3':0.0},(37,412):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'8_2':0.06,'6_3':0.0,'7_1':0.0,'8_10':0.0},(37,411):{'3_1':0.66,'5_1':0.09,'6_2':0.06,'5_2':0.03,'8_2':0.03,'-3':0.0,'6_3':0.0},(37,410):{'3_1':0.69,'5_1':0.12,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_7':0.0,'8_10':0.0,'-3':0.0},(37,409):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(37,408):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_14':0.0},(37,407):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(37,406):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(37,405):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(37,404):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0},(37,403):{'3_1':0.78,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'6_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(37,402):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(37,401):{'3_1':0.87,'8_10':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0},(37,400):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(37,399):{'3_1':0.87,'5_2':0.03,'6_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(37,398):{'3_1':0.78,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_4':0.0},(37,397):{'3_1':0.84,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(37,396):{'3_1':0.75,'5_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0},(37,395):{'3_1':0.84,'8_10':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(37,394):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(37,393):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(37,392):{'3_1':0.66,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0},(37,391):{'3_1':0.72,'5_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(37,390):{'3_1':0.63,'5_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(37,389):{'3_1':0.57,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(37,388):{'3_1':0.39,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_10':0.0},(37,387):{'3_1':0.33,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(37,386):{'3_1':0.3,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(37,385):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(37,384):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'6_2':0.03,'6_1':0.0,'-3':0.0},(37,383):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0},(37,382):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_15':0.0},(37,381):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'6_3':0.0,'8_9':0.0},(37,380):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'6_3':0.0},(37,379):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(37,378):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(37,377):{'3_1':0.06,'4_1':0.0},(37,376):{'3_1':0.06,'4_1':0.0},(37,375):{'3_1':0.06,'4_1':0.06,'7_5':0.0,'-3':0.0},(37,374):{'3_1':0.06,'4_1':0.0},(37,373):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(37,372):{'3_1':0.03,'5_2':0.0},(37,371):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(37,370):{'3_1':0.06,'8_14':0.0},(37,369):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(37,368):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(37,367):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(37,366):{'3_1':0.0,'6_3':0.0},(37,365):{'3_1':0.06,'5_1':0.0},(37,364):{'3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(37,363):{'3_1':0.06,'6_3':0.0},(37,362):{'3_1':0.0},(37,361):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(37,360):{'3_1':0.06},(37,359):{'3_1':0.06,'5_2':0.0},(37,358):{'3_1':0.03,'5_2':0.0},(37,357):{'3_1':0.03,'5_2':0.0},(37,356):{'3_1':0.06,'5_2':0.0},(37,355):{'3_1':0.03},(37,354):{'3_1':0.03,'6_3':0.0},(37,353):{'3_1':0.03,'4_1':0.0},(37,352):{'3_1':0.0,'5_2':0.0},(37,351):{'3_1':0.03,'6_3':0.0},(37,350):{'3_1':0.0},(37,349):{'3_1':0.03},(37,348):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(37,347):{'3_1':0.0},(37,346):{'3_1':0.03},(37,345):{'3_1':0.0},(37,344):{'3_1':0.0,'5_2':0.0},(37,343):{'3_1':0.0,'5_1':0.0,'8_14':0.0},(37,342):{'3_1':0.03,'5_2':0.0},(37,341):{'3_1':0.0,'5_2':0.0},(37,340):{'3_1':0.03,'5_1':0.0,'3_1#5_2':0.0},(37,339):{'3_1':0.09},(37,338):{'3_1':0.03,'8_20|3_1#3_1':0.0},(37,337):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(37,336):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(37,335):{'3_1':0.0},(37,334):{'3_1':0.0,'5_1':0.0,'8_19':0.0},(37,333):{'3_1':0.06,'5_1':0.0},(37,332):{'3_1':0.03},(37,331):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(37,330):{'3_1':0.06,'5_2':0.0,'3_1#5_2':0.0},(37,329):{'3_1':0.03,'6_2':0.0,'7_1':0.0},(37,328):{'3_1':0.0,'5_2':0.0},(37,327):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(37,326):{'3_1':0.0},(37,325):{'3_1':0.0},(37,324):{'3_1':0.0,'5_2':0.0},(37,323):{'5_2':0.0,'3_1':0.0},(37,322):{'3_1':0.03,'5_1':0.0},(37,321):{'5_2':0.0,'3_1':0.0},(37,320):{'3_1':0.0,'5_2':0.0},(37,319):{'3_1':0.0,'5_2':0.0},(37,318):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(37,317):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(37,316):{'3_1':0.0,'5_2':0.0},(37,315):{'3_1':0.06,'5_2':0.0},(37,314):{'3_1':0.03,'5_2':0.0},(37,313):{'3_1':0.0,'5_2':0.0},(37,312):{'3_1':0.06,'5_2':0.0},(37,310):{'3_1':0.0,'5_2':0.0},(37,309):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(37,308):{'3_1':0.0,'5_2':0.0},(37,307):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(37,306):{'5_2':0.0,'7_5':0.0},(37,305):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(37,304):{'5_2':0.03,'3_1':0.0},(37,303):{'3_1':0.03,'6_2':0.0},(37,302):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(37,301):{'3_1':0.03},(37,300):{'3_1':0.0,'5_2':0.0},(37,299):{'3_1':0.03},(37,298):{'3_1':0.03,'5_2':0.0},(37,297):{'3_1':0.0,'5_2':0.0},(37,296):{'3_1':0.0},(37,295):{'3_1':0.0,'5_1':0.0},(37,294):{'3_1':0.0},(37,293):{'3_1':0.0,'8_20|3_1#3_1':0.0},(37,292):{'3_1':0.0,'5_2':0.0},(37,291):{'3_1':0.06,'5_2':0.0},(37,290):{'3_1':0.03,'5_2':0.0},(37,289):{'3_1':0.03,'5_2':0.0},(37,288):{'3_1':0.0,'5_2':0.0},(37,287):{'3_1':0.0},(37,286):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(37,285):{'3_1':0.03},(37,284):{'3_1':0.0},(37,283):{'3_1':0.0},(37,282):{'5_2':0.0},(37,281):{'3_1':0.0},(37,280):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(37,279):{'3_1':0.0},(37,278):{'3_1':0.0,'5_2':0.0},(37,277):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(37,276):{'3_1':0.03},(37,275):{'3_1':0.03,'5_2':0.0},(37,274):{'3_1':0.0},(37,273):{'3_1':0.0,'5_1':0.0},(37,272):{'3_1':0.03},(37,271):{'3_1':0.03,'5_1':0.0},(37,270):{'3_1':0.06,'5_1':0.0},(37,269):{'3_1':0.0,'5_2':0.0},(37,268):{'3_1':0.0},(37,267):{'3_1':0.0,'7_1':0.0},(37,266):{'3_1':0.03},(37,265):{'3_1':0.0,'4_1':0.0},(37,264):{'3_1':0.06,'4_1':0.0},(37,263):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(37,262):{'3_1':0.06,'5_1':0.0},(37,261):{'3_1':0.0},(37,260):{'3_1':0.03},(37,259):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(37,258):{'3_1':0.03,'5_1':0.0},(37,257):{'3_1':0.03},(37,256):{'3_1':0.03,'5_1':0.0},(37,255):{'3_1':0.03},(37,254):{'3_1':0.0},(37,253):{'3_1':0.0,'5_2':0.0},(37,252):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(37,251):{'3_1':0.03},(37,250):{'3_1':0.0,'5_1':0.0},(37,248):{'3_1':0.03},(37,247):{'3_1':0.0,'5_2':0.0},(37,246):{'3_1':0.0,'5_2':0.0},(37,245):{'3_1':0.0,'5_2':0.0},(37,244):{'3_1':0.0,'5_2':0.0},(37,243):{'3_1':0.03,'5_2':0.0},(37,242):{'3_1':0.0,'5_2':0.0},(37,241):{'3_1':0.03},(37,240):{'3_1':0.0},(37,239):{'3_1':0.0},(37,238):{'3_1':0.0,'5_2':0.0},(37,237):{'5_2':0.0},(37,236):{'5_2':0.0},(37,234):{'3_1':0.0,'5_2':0.0},(37,233):{'3_1':0.0},(37,232):{'3_1':0.03},(37,231):{'3_1':0.0},(37,230):{'3_1':0.0},(37,229):{'3_1':0.0,'7_2':0.0},(37,228):{'3_1':0.03,'5_2':0.0},(37,227):{'3_1':0.0},(37,226):{'3_1':0.0},(37,225):{'3_1':0.0,'5_1':0.0},(37,224):{'3_1':0.03,'5_1':0.0},(37,223):{'3_1':0.03},(37,222):{'3_1':0.03},(37,221):{'3_1':0.0,'6_3':0.0},(37,220):{'3_1':0.03},(37,219):{'3_1':0.06},(37,218):{'3_1':0.06},(37,217):{'3_1':0.0},(37,216):{'3_1':0.03},(37,215):{'3_1':0.06},(37,214):{'3_1':0.0},(37,212):{'3_1':0.0},(37,211):{'3_1':0.0},(37,210):{'3_1':0.03},(37,209):{'3_1':0.03},(37,208):{'3_1':0.03},(37,207):{'3_1':0.03},(37,206):{'3_1':0.0},(37,205):{'3_1':0.0},(37,204):{'3_1':0.03},(37,203):{'3_1':0.0},(37,202):{'3_1':0.0},(37,201):{'3_1':0.0},(37,200):{'3_1':0.0,'5_2':0.0},(37,199):{'3_1':0.03},(37,198):{'3_1':0.03,'5_2':0.0},(37,197):{'3_1':0.03},(37,196):{'3_1':0.0},(37,195):{'3_1':0.03},(37,194):{'3_1':0.06},(37,193):{'3_1':0.0},(37,192):{'3_1':0.0},(37,191):{'3_1':0.0},(37,190):{'3_1':0.03},(37,189):{'3_1':0.03},(37,188):{'3_1':0.0},(37,187):{'3_1':0.03},(37,186):{'3_1':0.0},(37,185):{'3_1':0.03},(37,184):{'3_1':0.03},(37,183):{'3_1':0.0},(37,182):{'3_1':0.03},(37,181):{'3_1':0.0},(37,180):{'3_1':0.03},(37,179):{'3_1':0.0},(37,178):{'3_1':0.0},(37,177):{'3_1':0.03},(37,176):{'3_1':0.03},(37,175):{'3_1':0.03},(37,174):{'3_1':0.03},(37,173):{'3_1':0.0},(37,172):{'3_1':0.0},(37,171):{'3_1':0.03},(37,169):{'3_1':0.0},(37,168):{'3_1':0.0},(37,166):{'3_1':0.03},(37,163):{'3_1':0.0},(37,162):{'3_1':0.0},(37,161):{'3_1':0.0},(37,159):{'3_1':0.0},(37,158):{'3_1':0.0},(37,157):{'3_1':0.0},(37,156):{'3_1':0.0},(37,155):{'3_1':0.0},(37,154):{'3_1':0.0},(37,153):{'3_1':0.0},(37,152):{'3_1':0.03},(37,150):{'3_1':0.0},(37,148):{'3_1':0.0},(37,147):{'3_1':0.03},(37,146):{'3_1':0.0},(37,145):{'3_1':0.03},(37,144):{'3_1':0.03},(37,143):{'3_1':0.0},(37,142):{'3_1':0.0},(37,141):{'3_1':0.0},(37,140):{'3_1':0.03},(37,139):{'3_1':0.0},(37,137):{'3_1':0.0},(37,136):{'3_1':0.0},(37,134):{'3_1':0.0},(37,133):{'3_1':0.03},(37,131):{'3_1':0.0},(37,128):{'3_1':0.0},(37,126):{'3_1':0.0},(37,125):{'3_1':0.0},(37,124):{'3_1':0.0},(37,121):{'3_1':0.0},(37,120):{'3_1':0.0},(37,119):{'3_1':0.0},(37,118):{'3_1':0.0},(37,116):{'3_1':0.03},(37,115):{'3_1':0.0},(37,113):{'3_1':0.0},(37,112):{'3_1':0.0},(37,111):{'3_1':0.0},(37,110):{'3_1':0.0},(37,109):{'3_1':0.0},(37,107):{'3_1':0.0},(37,105):{'3_1':0.0},(37,100):{'3_1':0.0},(38,459):{'3_1':0.9,'5_2':0.0,'6_3':0.0},(38,458):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(38,457):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(38,456):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(38,455):{'3_1':0.9,'7_1':0.0,'8_10':0.0},(38,454):{'3_1':0.9,'5_1':0.0},(38,453):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(38,452):{'3_1':0.9,'8_10':0.0,'5_1':0.0,'6_3':0.0},(38,451):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(38,450):{'3_1':0.9,'5_2':0.0},(38,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(38,448):{'3_1':0.9,'5_2':0.0,'7_1':0.0},(38,447):{'3_1':0.9,'6_3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(38,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(38,445):{'3_1':0.9,'7_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(38,444):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'8_2':0.0},(38,443):{'3_1':0.9,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(38,442):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(38,441):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_10':0.0},(38,440):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_10':0.0,'-3':0.0},(38,439):{'3_1':0.87,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'8_2':0.0},(38,438):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_19':0.0},(38,437):{'3_1':0.87,'6_2':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'8_2':0.0,'8_19':0.0},(38,436):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(38,435):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0},(38,434):{'3_1':0.87,'5_2':0.03,'6_2':0.0,'5_1':0.0,'8_2':0.0},(38,433):{'3_1':0.87,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(38,432):{'3_1':0.9,'5_1':0.0,'7_3':0.0,'8_2':0.0},(38,431):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_5':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(38,430):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(38,429):{'3_1':0.81,'5_1':0.06,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_10':0.0},(38,428):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(38,427):{'3_1':0.87,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(38,426):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(38,425):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(38,424):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(38,423):{'3_1':0.84,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(38,422):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(38,421):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0,'8_19':0.0,'-3':0.0},(38,420):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0},(38,419):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(38,418):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0},(38,417):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(38,416):{'3_1':0.75,'5_1':0.09,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0,'8_10':0.0},(38,415):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_10':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(38,414):{'3_1':0.78,'5_1':0.09,'6_2':0.0,'8_2':0.0,'5_2':0.0},(38,413):{'3_1':0.72,'5_1':0.12,'8_2':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(38,412):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_8':0.0},(38,411):{'3_1':0.6,'5_1':0.18,'5_2':0.06,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_7':0.0},(38,410):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(38,409):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'8_2':0.03,'7_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(38,408):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(38,407):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'7_3':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(38,406):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_2':0.03,'8_2':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(38,405):{'3_1':0.84,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(38,404):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(38,403):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_10':0.0},(38,402):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(38,401):{'3_1':0.9,'5_1':0.0,'8_10':0.0},(38,400):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'-3':0.0},(38,399):{'3_1':0.84,'6_2':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(38,398):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0},(38,397):{'3_1':0.87,'5_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(38,396):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0},(38,395):{'3_1':0.84,'-3':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(38,394):{'3_1':0.75,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_4':0.0},(38,393):{'3_1':0.78,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(38,392):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'8_10':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(38,391):{'3_1':0.72,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(38,390):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'7_5':0.0,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(38,389):{'3_1':0.51,'6_2':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_10':0.0},(38,388):{'3_1':0.48,'5_1':0.03,'6_2':0.0,'8_10':0.0},(38,387):{'3_1':0.27,'6_2':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(38,386):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_10':0.0},(38,385):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(38,384):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(38,383):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(38,382):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(38,381):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0},(38,380):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(38,379):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0},(38,378):{'3_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0},(38,377):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(38,376):{'3_1':0.03,'4_1':0.0},(38,375):{'3_1':0.03,'4_1':0.0},(38,374):{'3_1':0.09,'4_1':0.0},(38,373):{'3_1':0.06,'4_1':0.0},(38,372):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(38,371):{'3_1':0.03,'5_2':0.0},(38,370):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(38,369):{'3_1':0.06,'5_2':0.0},(38,368):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(38,367):{'3_1':0.09,'4_1':0.0},(38,366):{'3_1':0.03},(38,365):{'3_1':0.06,'8_8':0.0},(38,364):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(38,363):{'3_1':0.0,'5_2':0.0},(38,362):{'3_1':0.0,'8_8':0.0,'-3':0.0},(38,361):{'3_1':0.0},(38,360):{'3_1':0.0},(38,359):{'3_1':0.0},(38,358):{'3_1':0.0},(38,357):{'3_1':0.06},(38,356):{'3_1':0.03},(38,355):{'3_1':0.0,'4_1':0.0},(38,354):{'3_1':0.03},(38,353):{'3_1':0.03,'6_2':0.0},(38,352):{'3_1':0.03},(38,351):{'3_1':0.03,'4_1':0.0},(38,350):{'3_1':0.0},(38,349):{'3_1':0.0},(38,348):{'3_1':0.03,'5_2':0.0},(38,347):{'3_1':0.0},(38,346):{'3_1':0.03,'4_1':0.0},(38,345):{'5_2':0.0,'3_1':0.0},(38,344):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(38,343):{'3_1':0.0},(38,342):{'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(38,341):{'3_1':0.06,'6_2':0.0},(38,340):{'3_1':0.06},(38,339):{'3_1':0.03},(38,338):{'3_1':0.03,'7_5':0.0},(38,337):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_2':0.0},(38,336):{'3_1':0.06},(38,335):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(38,334):{'3_1':0.0,'5_1':0.0,'-3':0.0},(38,333):{'3_1':0.0},(38,332):{'3_1':0.03},(38,331):{'3_1':0.03,'5_2':0.0},(38,330):{'3_1':0.03,'5_1':0.0},(38,329):{'3_1':0.03,'4_1':0.0},(38,328):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(38,327):{'3_1':0.0},(38,326):{'3_1':0.0,'6_2':0.0},(38,325):{'3_1':0.03,'5_2':0.0},(38,324):{'3_1':0.03,'5_2':0.0},(38,323):{'3_1':0.03},(38,322):{'3_1':0.0,'5_2':0.0},(38,321):{'3_1':0.0},(38,320):{'3_1':0.0},(38,319):{'3_1':0.03,'5_2':0.0},(38,318):{'3_1':0.0,'5_2':0.0},(38,317):{'3_1':0.0,'5_2':0.0},(38,316):{'3_1':0.03},(38,315):{'3_1':0.03,'5_2':0.0},(38,314):{'3_1':0.0},(38,313):{'3_1':0.03},(38,312):{'3_1':0.0},(38,311):{'3_1':0.03,'5_2':0.0},(38,310):{'3_1':0.0},(38,309):{'3_1':0.0,'5_2':0.0},(38,308):{'3_1':0.0},(38,307):{'3_1':0.0,'5_2':0.0},(38,306):{'3_1':0.03,'5_2':0.0},(38,304):{'3_1':0.0,'5_2':0.0},(38,303):{'3_1':0.0,'4_1':0.0},(38,302):{'3_1':0.03},(38,301):{'3_1':0.03},(38,300):{'3_1':0.06},(38,299):{'3_1':0.03},(38,298):{'3_1':0.0,'5_2':0.0},(38,297):{'3_1':0.03,'5_1':0.0},(38,296):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(38,294):{'3_1':0.0},(38,293):{'3_1':0.0,'5_2':0.0},(38,292):{'3_1':0.0,'5_2':0.0},(38,291):{'3_1':0.03,'5_2':0.0},(38,290):{'3_1':0.06,'5_2':0.0},(38,289):{'3_1':0.0,'5_2':0.0},(38,288):{'3_1':0.03,'5_2':0.0},(38,286):{'3_1':0.0,'7_5':0.0},(38,285):{'3_1':0.0},(38,284):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(38,283):{'3_1':0.03,'5_2':0.0},(38,282):{'3_1':0.03},(38,281):{'3_1':0.0},(38,280):{'3_1':0.03,'4_1':0.0},(38,278):{'3_1':0.0,'5_1':0.0},(38,277):{'3_1':0.0},(38,275):{'3_1':0.0},(38,274):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(38,273):{'3_1':0.03,'5_1':0.0},(38,272):{'3_1':0.0},(38,271):{'3_1':0.03},(38,270):{'3_1':0.06},(38,269):{'3_1':0.0,'5_2':0.0},(38,268):{'3_1':0.03},(38,267):{'3_1':0.03},(38,266):{'3_1':0.03},(38,265):{'3_1':0.03,'5_1':0.0},(38,264):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(38,263):{'3_1':0.03},(38,262):{'3_1':0.09},(38,261):{'3_1':0.06,'5_1':0.0},(38,260):{'3_1':0.06,'5_1':0.0},(38,259):{'3_1':0.06},(38,258):{'3_1':0.03,'5_2':0.0},(38,257):{'3_1':0.03},(38,256):{'3_1':0.03},(38,255):{'3_1':0.0,'5_1':0.0},(38,254):{'3_1':0.03,'5_2':0.0},(38,253):{'3_1':0.0,'7_2':0.0},(38,252):{'3_1':0.03,'5_2':0.0},(38,251):{'3_1':0.0},(38,250):{'3_1':0.0},(38,249):{'3_1':0.03,'5_2':0.0},(38,248):{'3_1':0.0},(38,247):{'3_1':0.0},(38,246):{'3_1':0.0,'8_20|3_1#3_1':0.0},(38,245):{'3_1':0.0},(38,244):{'3_1':0.0},(38,243):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(38,242):{'3_1':0.06},(38,240):{'3_1':0.0},(38,239):{'3_1':0.0},(38,238):{'3_1':0.0,'5_2':0.0},(38,237):{'3_1':0.0,'5_1':0.0},(38,236):{'3_1':0.0},(38,235):{'3_1':0.0},(38,234):{'3_1':0.0},(38,233):{'3_1':0.03},(38,232):{'3_1':0.03},(38,231):{'3_1':0.0},(38,230):{'3_1':0.0},(38,229):{'3_1':0.03},(38,228):{'3_1':0.03},(38,227):{'3_1':0.03},(38,226):{'3_1':0.0,'4_1':0.0},(38,225):{'3_1':0.0},(38,224):{'3_1':0.0},(38,223):{'3_1':0.03},(38,222):{'3_1':0.03},(38,221):{'3_1':0.0},(38,220):{'3_1':0.03},(38,219):{'3_1':0.03,'6_3':0.0},(38,218):{'3_1':0.03},(38,217):{'3_1':0.0},(38,216):{'3_1':0.03},(38,215):{'3_1':0.0},(38,214):{'3_1':0.0},(38,213):{'3_1':0.03},(38,212):{'3_1':0.03},(38,211):{'3_1':0.03},(38,210):{'3_1':0.03},(38,209):{'3_1':0.06},(38,208):{'3_1':0.03},(38,207):{'3_1':0.0},(38,206):{'3_1':0.0,'5_2':0.0},(38,205):{'3_1':0.0},(38,204):{'3_1':0.0},(38,203):{'3_1':0.0},(38,202):{'3_1':0.0,'7_2':0.0},(38,201):{'3_1':0.0},(38,200):{'3_1':0.03},(38,199):{'3_1':0.0,'5_2':0.0},(38,198):{'3_1':0.03},(38,197):{'3_1':0.03},(38,196):{'3_1':0.03},(38,195):{'3_1':0.0},(38,194):{'7_3':0.0},(38,193):{'3_1':0.0},(38,192):{'3_1':0.0},(38,191):{'3_1':0.0},(38,190):{'3_1':0.0},(38,189):{'3_1':0.0},(38,188):{'3_1':0.06,'5_1':0.0},(38,187):{'3_1':0.03},(38,186):{'3_1':0.0},(38,185):{'3_1':0.06},(38,184):{'3_1':0.03},(38,183):{'3_1':0.0},(38,182):{'3_1':0.03},(38,180):{'3_1':0.06},(38,179):{'3_1':0.0},(38,178):{'3_1':0.03},(38,177):{'3_1':0.0},(38,176):{'3_1':0.0},(38,175):{'3_1':0.0},(38,174):{'3_1':0.0},(38,173):{'3_1':0.0},(38,172):{'3_1':0.03},(38,171):{'3_1':0.0},(38,170):{'3_1':0.0},(38,169):{'3_1':0.03},(38,168):{'3_1':0.03},(38,167):{'3_1':0.03},(38,166):{'3_1':0.0},(38,165):{'3_1':0.0},(38,164):{'3_1':0.0},(38,163):{'3_1':0.0},(38,162):{'3_1':0.0},(38,160):{'3_1':0.03},(38,159):{'3_1':0.0},(38,158):{'3_1':0.0},(38,157):{'3_1':0.03},(38,156):{'3_1':0.0},(38,155):{'3_1':0.03},(38,153):{'3_1':0.0},(38,152):{'3_1':0.0},(38,151):{'3_1':0.0},(38,150):{'3_1':0.0},(38,149):{'3_1':0.03},(38,148):{'3_1':0.0},(38,146):{'3_1':0.03},(38,145):{'3_1':0.03},(38,144):{'3_1':0.0},(38,143):{'3_1':0.0},(38,142):{'3_1':0.0},(38,141):{'3_1':0.03},(38,140):{'3_1':0.0},(38,139):{'3_1':0.0},(38,138):{'3_1':0.0},(38,136):{'3_1':0.0},(38,135):{'3_1':0.0},(38,134):{'3_1':0.0},(38,133):{'3_1':0.0},(38,132):{'3_1':0.0},(38,130):{'3_1':0.0},(38,128):{'3_1':0.0},(38,126):{'3_1':0.0},(38,125):{'3_1':0.0},(38,124):{'3_1':0.0},(38,123):{'3_1':0.0},(38,119):{'3_1':0.0},(38,116):{'3_1':0.0},(38,115):{'3_1':0.0},(38,113):{'3_1':0.0},(38,112):{'3_1':0.0},(38,110):{'3_1':0.0},(38,109):{'3_1':0.03},(38,108):{'3_1':0.0},(38,107):{'3_1':0.0},(38,106):{'3_1':0.0},(38,103):{'3_1':0.0},(38,101):{'3_1':0.0},(39,459):{'3_1':0.9,'5_1':0.03,'8_20|3_1#3_1':0.0},(39,458):{'3_1':0.9,'5_1':0.0},(39,457):{'3_1':0.9,'5_2':0.0,'6_3':0.0,'7_3':0.0,'8_2':0.0},(39,456):{'3_1':0.9,'6_2':0.0,'8_20|3_1#3_1':0.0},(39,455):{'3_1':0.9,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(39,454):{'3_1':0.9,'5_1':0.0,'6_3':0.0,'8_2':0.0},(39,453):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(39,452):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(39,451):{'3_1':0.9,'7_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(39,450):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(39,449):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0},(39,448):{'3_1':0.9,'7_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(39,447):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0,'8_2':0.0,'8_19':0.0},(39,446):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(39,445):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'8_10':0.0,'-3':0.0},(39,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_10':0.0},(39,443):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0},(39,442):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(39,441):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(39,440):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'8_10':0.0},(39,439):{'3_1':0.84,'5_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0},(39,438):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(39,437):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(39,436):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(39,435):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(39,434):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_2':0.0,'8_10':0.0},(39,433):{'3_1':0.87,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(39,432):{'3_1':0.84,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(39,431):{'3_1':0.87,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_2':0.0,'-3':0.0},(39,430):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_1':0.0,'8_2':0.0,'8_10':0.0},(39,429):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(39,428):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(39,427):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0},(39,426):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(39,425):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(39,424):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_3':0.0,'6_1':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(39,423):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(39,422):{'3_1':0.81,'5_1':0.03,'7_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(39,421):{'3_1':0.78,'5_1':0.09,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'8_10':0.0},(39,420):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'8_10':0.0,'-3':0.0},(39,419):{'3_1':0.75,'5_2':0.03,'5_1':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'8_19':0.0},(39,418):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(39,417):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_10':0.0,'8_2':0.0,'8_19':0.0},(39,416):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(39,415):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(39,414):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0},(39,413):{'3_1':0.75,'5_1':0.12,'8_2':0.03,'6_2':0.0},(39,412):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'-3':0.03,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(39,411):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_2':0.0,'7_5':0.0},(39,410):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(39,409):{'3_1':0.69,'5_1':0.09,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0},(39,408):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(39,407):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(39,406):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_10':0.0},(39,405):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_11':0.0},(39,404):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'8_10':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(39,403):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(39,402):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03},(39,401):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'8_10':0.0},(39,400):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(39,399):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(39,398):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(39,397):{'3_1':0.81,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'8_10':0.0,'-3':0.0},(39,396):{'3_1':0.81,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_10':0.0},(39,395):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(39,394):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'8_16':0.0},(39,393):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_10':0.0},(39,392):{'3_1':0.69,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_10':0.0,'-3':0.0},(39,391):{'3_1':0.72,'5_1':0.0,'4_1':0.0,'6_2':0.0,'5_2':0.0,'3_1#5_1':0.0,'-3':0.0},(39,390):{'3_1':0.63,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(39,389):{'3_1':0.54,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'8_7':0.0,'-3':0.0},(39,388):{'3_1':0.48,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(39,387):{'3_1':0.3,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(39,386):{'3_1':0.3,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(39,385):{'3_1':0.24,'4_1':0.03,'6_2':0.03,'5_1':0.0,'8_4':0.0,'-3':0.0},(39,384):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_2':0.0},(39,383):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(39,382):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0},(39,381):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0},(39,380):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(39,379):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(39,378):{'3_1':0.03,'4_1':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0},(39,377):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(39,376):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(39,375):{'3_1':0.06,'4_1':0.03,'6_3':0.0,'8_8':0.0},(39,374):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0},(39,373):{'3_1':0.03,'4_1':0.0},(39,372):{'3_1':0.03,'5_2':0.0},(39,371):{'3_1':0.03,'5_1':0.0},(39,370):{'3_1':0.03,'6_3':0.0},(39,369):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_8':0.0},(39,368):{'3_1':0.06,'4_1':0.0},(39,367):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(39,366):{'3_1':0.06},(39,365):{'3_1':0.03,'5_2':0.0},(39,364):{'3_1':0.03},(39,363):{'3_1':0.03},(39,362):{'3_1':0.0},(39,361):{'3_1':0.0,'5_2':0.0},(39,360):{'5_2':0.0,'8_9':0.0},(39,359):{'3_1':0.03},(39,358):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(39,357):{'3_1':0.0},(39,356):{'3_1':0.09},(39,355):{'3_1':0.03,'6_3':0.0},(39,354):{'3_1':0.03,'5_2':0.0},(39,353):{'3_1':0.0,'6_3':0.0},(39,352):{'3_1':0.0,'5_2':0.0},(39,351):{'3_1':0.03},(39,350):{'3_1':0.0},(39,349):{'3_1':0.0,'5_2':0.0},(39,348):{'3_1':0.0,'5_2':0.0},(39,347):{'3_1':0.0,'5_2':0.0},(39,346):{'3_1':0.0},(39,345):{'5_2':0.0,'5_1':0.0},(39,344):{'3_1':0.0},(39,343):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(39,342):{'3_1':0.03,'5_2':0.0},(39,341):{'3_1':0.0,'5_2':0.0},(39,340):{'3_1':0.0,'5_2':0.0,'8_6':0.0},(39,339):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(39,338):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(39,337):{'3_1':0.06,'5_2':0.0},(39,336):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(39,335):{'3_1':0.0,'6_2':0.0,'7_2':0.0},(39,334):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(39,333):{'3_1':0.03,'8_20|3_1#3_1':0.0},(39,332):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(39,331):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(39,330):{'3_1':0.03},(39,329):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(39,328):{'3_1':0.03,'4_1':0.0},(39,327):{'5_1':0.0},(39,326):{'3_1':0.03},(39,325):{'3_1':0.0,'5_2':0.0},(39,324):{'3_1':0.0,'5_2':0.0},(39,323):{'5_2':0.0,'3_1':0.0,'7_2':0.0},(39,322):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(39,321):{'3_1':0.0,'5_1':0.0},(39,320):{'3_1':0.0,'5_2':0.0},(39,319):{'3_1':0.0,'5_2':0.0},(39,318):{'3_1':0.0,'6_2':0.0},(39,317):{'3_1':0.0},(39,316):{'3_1':0.03},(39,315):{'3_1':0.03},(39,314):{'3_1':0.0},(39,313):{'3_1':0.0,'5_1':0.0},(39,312):{'3_1':0.0,'5_2':0.0},(39,311):{'3_1':0.0,'5_2':0.0},(39,310):{'3_1':0.03,'5_2':0.0},(39,309):{'3_1':0.0},(39,308):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(39,307):{'3_1':0.0},(39,306):{'3_1':0.0},(39,305):{'3_1':0.0,'5_2':0.0},(39,304):{'3_1':0.0,'5_2':0.0},(39,303):{'3_1':0.06},(39,302):{'3_1':0.0,'5_2':0.0},(39,301):{'3_1':0.03},(39,300):{'3_1':0.0,'5_2':0.0},(39,299):{'3_1':0.03,'5_2':0.0},(39,298):{'3_1':0.0},(39,297):{'3_1':0.0},(39,296):{'3_1':0.03},(39,295):{'3_1':0.0},(39,294):{'3_1':0.0,'5_2':0.0},(39,293):{'3_1':0.03},(39,292):{'3_1':0.03,'5_2':0.03},(39,291):{'3_1':0.0,'5_2':0.0},(39,290):{'3_1':0.03,'5_2':0.0},(39,289):{'3_1':0.0},(39,287):{'3_1':0.0,'5_2':0.0},(39,286):{'5_2':0.0,'3_1':0.0},(39,285):{'3_1':0.0,'5_2':0.0},(39,284):{'3_1':0.03},(39,283):{'3_1':0.0},(39,282):{'3_1':0.03},(39,281):{'3_1':0.03,'5_2':0.0},(39,280):{'3_1':0.0},(39,279):{'3_1':0.03},(39,278):{'3_1':0.0},(39,277):{'3_1':0.0,'5_1':0.0},(39,276):{'3_1':0.0},(39,275):{'3_1':0.0,'5_2':0.0},(39,274):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(39,273):{'3_1':0.0},(39,272):{'3_1':0.03},(39,271):{'3_1':0.0,'5_2':0.0},(39,270):{'3_1':0.0,'5_2':0.0},(39,269):{'3_1':0.06},(39,268):{'3_1':0.03},(39,267):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(39,266):{'3_1':0.03,'5_1':0.0},(39,265):{'3_1':0.03},(39,264):{'3_1':0.03,'4_1':0.0},(39,263):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(39,262):{'3_1':0.03},(39,261):{'3_1':0.06},(39,260):{'3_1':0.03},(39,259):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(39,258):{'3_1':0.06},(39,257):{'3_1':0.0},(39,256):{'3_1':0.03},(39,255):{'3_1':0.06},(39,254):{'3_1':0.03},(39,253):{'3_1':0.03},(39,252):{'3_1':0.03},(39,251):{'3_1':0.0},(39,250):{'3_1':0.0},(39,249):{'3_1':0.0,'5_2':0.0},(39,248):{'3_1':0.0},(39,247):{'3_1':0.0},(39,246):{'3_1':0.0,'5_1':0.0},(39,245):{'3_1':0.0},(39,244):{'3_1':0.03},(39,243):{'3_1':0.03,'5_2':0.0},(39,242):{'3_1':0.0},(39,241):{'3_1':0.03},(39,240):{'3_1':0.0},(39,239):{'3_1':0.03},(39,238):{'3_1':0.0},(39,237):{'3_1':0.0,'5_2':0.0},(39,236):{'3_1':0.0},(39,235):{'3_1':0.0},(39,234):{'3_1':0.0},(39,233):{'3_1':0.0},(39,232):{'3_1':0.03},(39,230):{'5_2':0.0},(39,229):{'3_1':0.0,'5_2':0.0},(39,228):{'3_1':0.0},(39,227):{'3_1':0.0,'5_2':0.0},(39,226):{'3_1':0.0},(39,225):{'3_1':0.0,'5_2':0.0},(39,224):{'3_1':0.0,'5_1':0.0},(39,223):{'3_1':0.03},(39,222):{'3_1':0.06,'5_2':0.0},(39,221):{'3_1':0.03},(39,220):{'3_1':0.06},(39,219):{'3_1':0.03},(39,218):{'3_1':0.03,'5_1':0.0},(39,217):{'3_1':0.0},(39,216):{'3_1':0.03},(39,215):{'3_1':0.03},(39,213):{'3_1':0.0},(39,212):{'3_1':0.0},(39,211):{'3_1':0.0},(39,210):{'3_1':0.03},(39,209):{'3_1':0.0},(39,208):{'3_1':0.03},(39,207):{'3_1':0.03},(39,206):{'3_1':0.0},(39,205):{'3_1':0.0},(39,204):{'3_1':0.0,'5_2':0.0},(39,203):{'3_1':0.0},(39,202):{'3_1':0.0},(39,200):{'3_1':0.0,'5_2':0.0},(39,199):{'3_1':0.0},(39,198):{'3_1':0.0},(39,197):{'3_1':0.03},(39,196):{'3_1':0.03},(39,195):{'3_1':0.03},(39,194):{'3_1':0.0},(39,193):{'3_1':0.03},(39,192):{'3_1':0.03},(39,191):{'3_1':0.0},(39,190):{'3_1':0.0},(39,189):{'3_1':0.0},(39,188):{'3_1':0.03},(39,187):{'3_1':0.0},(39,186):{'3_1':0.03},(39,185):{'3_1':0.03},(39,184):{'3_1':0.03},(39,183):{'3_1':0.0},(39,182):{'3_1':0.0},(39,181):{'3_1':0.0},(39,180):{'3_1':0.03},(39,179):{'3_1':0.03},(39,178):{'3_1':0.03},(39,177):{'3_1':0.03},(39,176):{'3_1':0.06},(39,175):{'3_1':0.0},(39,174):{'3_1':0.03},(39,173):{'3_1':0.0},(39,172):{'3_1':0.03},(39,171):{'3_1':0.0},(39,170):{'3_1':0.0},(39,169):{'3_1':0.0},(39,168):{'3_1':0.0},(39,167):{'3_1':0.03},(39,166):{'3_1':0.0},(39,165):{'3_1':0.0},(39,164):{'3_1':0.0},(39,163):{'3_1':0.0},(39,162):{'3_1':0.0},(39,161):{'3_1':0.0},(39,160):{'3_1':0.03},(39,159):{'3_1':0.0},(39,158):{'3_1':0.0},(39,157):{'3_1':0.0},(39,154):{'3_1':0.0},(39,153):{'3_1':0.0},(39,151):{'3_1':0.0},(39,150):{'3_1':0.0},(39,149):{'3_1':0.0},(39,148):{'3_1':0.0},(39,147):{'3_1':0.0},(39,146):{'3_1':0.0},(39,145):{'3_1':0.03},(39,144):{'3_1':0.0},(39,143):{'3_1':0.0},(39,142):{'3_1':0.0},(39,140):{'3_1':0.0},(39,139):{'3_1':0.0},(39,138):{'3_1':0.0},(39,137):{'3_1':0.0},(39,136):{'3_1':0.0},(39,134):{'3_1':0.0},(39,133):{'3_1':0.03},(39,132):{'3_1':0.0},(39,131):{'3_1':0.0},(39,130):{'3_1':0.0},(39,127):{'3_1':0.0},(39,126):{'3_1':0.0},(39,125):{'3_1':0.0},(39,122):{'3_1':0.0},(39,121):{'3_1':0.0},(39,120):{'3_1':0.0},(39,115):{'3_1':0.0},(39,113):{'3_1':0.0},(39,112):{'3_1':0.0},(39,111):{'3_1':0.0},(39,104):{'3_1':0.0},(39,102):{'3_1':0.0},(40,459):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0},(40,458):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(40,457):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(40,456):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_2':0.0},(40,455):{'3_1':0.9,'8_20|3_1#3_1':0.03},(40,454):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(40,453):{'3_1':0.9,'8_20|3_1#3_1':0.0},(40,452):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(40,451):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(40,450):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0},(40,449):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(40,448):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(40,447):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0},(40,446):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_2':0.0},(40,445):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_2':0.0,'-3':0.0},(40,444):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(40,443):{'3_1':0.9,'8_20|3_1#3_1':0.0,'7_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(40,442):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(40,441):{'3_1':0.84,'8_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(40,440):{'3_1':0.9,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(40,439):{'3_1':0.87,'8_20|3_1#3_1':0.06,'7_1':0.0,'5_1':0.0,'6_3':0.0,'8_2':0.0},(40,438):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'-3':0.0},(40,437):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(40,436):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(40,435):{'3_1':0.9,'8_20|3_1#3_1':0.0},(40,434):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0},(40,433):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0},(40,432):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0},(40,431):{'3_1':0.84,'7_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(40,430):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(40,429):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(40,428):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0},(40,427):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(40,426):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0},(40,425):{'3_1':0.78,'8_2':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0},(40,424):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(40,423):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0},(40,422):{'3_1':0.84,'5_2':0.03,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0},(40,421):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(40,420):{'3_1':0.87,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(40,419):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(40,418):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(40,417):{'3_1':0.72,'5_1':0.09,'7_3':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0,'8_6':0.0,'8_19':0.0,'-3':0.0},(40,416):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0},(40,415):{'3_1':0.78,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_11':0.0},(40,414):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(40,413):{'3_1':0.69,'5_1':0.12,'5_2':0.06,'8_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(40,412):{'3_1':0.66,'5_1':0.15,'5_2':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(40,411):{'3_1':0.72,'5_1':0.12,'8_2':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(40,410):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'7_1':0.0,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(40,409):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(40,408):{'3_1':0.75,'5_1':0.06,'5_2':0.06,'6_2':0.03,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(40,407):{'3_1':0.84,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_19':0.0},(40,406):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0},(40,405):{'3_1':0.84,'5_1':0.03,'-3':0.0,'5_2':0.0,'8_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(40,404):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_10':0.0},(40,403):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0},(40,402):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(40,401):{'3_1':0.81,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(40,400):{'3_1':0.84,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'8_10':0.0},(40,399):{'3_1':0.81,'6_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0},(40,398):{'3_1':0.87,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(40,397):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(40,396):{'3_1':0.84,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(40,395):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(40,394):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0},(40,393):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(40,392):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(40,391):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(40,390):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_9':0.0,'8_10':0.0},(40,389):{'3_1':0.57,'6_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(40,388):{'3_1':0.42,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(40,387):{'3_1':0.39,'8_10':0.0},(40,386):{'3_1':0.33,'5_2':0.0,'6_2':0.0,'5_1':0.0},(40,385):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(40,384):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(40,383):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(40,382):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(40,381):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(40,380):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_8':0.0,'-3':0.0},(40,379):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(40,378):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_9':0.0},(40,377):{'3_1':0.03,'5_2':0.0,'-3':0.0},(40,376):{'3_1':0.03,'4_1':0.0},(40,375):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(40,374):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(40,373):{'3_1':0.03},(40,372):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(40,371):{'3_1':0.0},(40,370):{'3_1':0.03,'5_2':0.0},(40,369):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0},(40,368):{'3_1':0.06,'4_1':0.0},(40,367):{'3_1':0.03,'4_1':0.0},(40,366):{'3_1':0.03,'4_1':0.0},(40,365):{'3_1':0.0,'5_2':0.0},(40,364):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(40,363):{'3_1':0.0},(40,362):{'3_1':0.0,'6_3':0.0},(40,361):{'3_1':0.03},(40,360):{'3_1':0.03},(40,359):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(40,358):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(40,357):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(40,356):{'3_1':0.06},(40,355):{'3_1':0.03,'5_2':0.0},(40,354):{'3_1':0.03},(40,353):{'3_1':0.03,'8_20|3_1#3_1':0.0},(40,352):{'3_1':0.03,'5_2':0.0},(40,351):{'3_1':0.03,'5_2':0.0},(40,350):{'3_1':0.0},(40,349):{'3_1':0.0,'5_1':0.0},(40,347):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(40,346):{'3_1':0.03},(40,345):{'3_1':0.0,'4_1':0.0},(40,344):{'3_1':0.03},(40,343):{'3_1':0.03},(40,342):{'3_1':0.03},(40,341):{'3_1':0.0},(40,340):{'3_1':0.03,'6_2':0.0},(40,339):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(40,338):{'3_1':0.03,'4_1':0.0},(40,337):{'3_1':0.06},(40,336):{'3_1':0.03,'5_2':0.0},(40,335):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(40,334):{'3_1':0.06,'5_2':0.0},(40,333):{'3_1':0.03},(40,332):{'3_1':0.03,'5_2':0.0},(40,331):{'3_1':0.06,'5_1':0.0},(40,330):{'3_1':0.03},(40,329):{'3_1':0.03},(40,328):{'3_1':0.03,'5_1':0.0},(40,327):{'3_1':0.09,'6_2':0.0},(40,326):{'3_1':0.03,'5_2':0.0},(40,325):{'3_1':0.0,'5_1':0.0},(40,324):{'3_1':0.03},(40,323):{'3_1':0.03},(40,322):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(40,321):{'3_1':0.0},(40,320):{'3_1':0.03,'5_2':0.0},(40,319):{'3_1':0.0},(40,318):{'5_2':0.0},(40,317):{'3_1':0.03},(40,316):{'3_1':0.0,'5_2':0.0},(40,315):{'3_1':0.0},(40,314):{'3_1':0.0,'5_2':0.0},(40,313):{'3_1':0.03},(40,312):{'3_1':0.03,'5_2':0.0},(40,311):{'3_1':0.0,'5_2':0.0},(40,310):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(40,309):{'3_1':0.03,'5_1':0.0},(40,308):{'3_1':0.0},(40,307):{'3_1':0.0},(40,306):{'3_1':0.0,'5_2':0.0},(40,305):{'3_1':0.0},(40,304):{'3_1':0.0,'5_2':0.0},(40,303):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(40,302):{'3_1':0.0,'4_1':0.0},(40,301):{'3_1':0.0,'4_1':0.0},(40,300):{'3_1':0.03},(40,299):{'3_1':0.0},(40,298):{'3_1':0.03},(40,297):{'3_1':0.0},(40,296):{'3_1':0.0,'5_2':0.0},(40,295):{'3_1':0.03,'5_2':0.0},(40,294):{'3_1':0.0},(40,293):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(40,292):{'3_1':0.03},(40,291):{'3_1':0.03},(40,290):{'3_1':0.03,'5_1':0.0},(40,289):{'3_1':0.03,'5_2':0.0},(40,288):{'3_1':0.0},(40,287):{'3_1':0.0,'5_2':0.0},(40,286):{'3_1':0.0,'5_2':0.0},(40,285):{'3_1':0.03,'5_2':0.0},(40,284):{'4_1':0.0},(40,283):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(40,282):{'3_1':0.0},(40,281):{'3_1':0.0,'5_2':0.0},(40,280):{'3_1':0.0,'5_2':0.0},(40,279):{'3_1':0.0,'5_2':0.0},(40,278):{'3_1':0.0,'5_2':0.0},(40,277):{'3_1':0.0,'5_2':0.0},(40,276):{'3_1':0.03},(40,274):{'3_1':0.03,'5_2':0.0},(40,273):{'3_1':0.0,'5_2':0.0},(40,272):{'3_1':0.03,'4_1':0.0},(40,271):{'3_1':0.06,'5_2':0.0},(40,270):{'3_1':0.0},(40,269):{'3_1':0.0},(40,268):{'3_1':0.03,'4_1':0.0},(40,267):{'3_1':0.03,'5_2':0.0},(40,266):{'3_1':0.03,'5_2':0.0},(40,265):{'3_1':0.09,'4_1':0.0},(40,264):{'3_1':0.03,'4_1':0.0},(40,263):{'3_1':0.03,'6_2':0.0},(40,262):{'3_1':0.06},(40,261):{'3_1':0.0},(40,260):{'3_1':0.03},(40,259):{'3_1':0.03},(40,258):{'3_1':0.0},(40,257):{'3_1':0.0},(40,256):{'3_1':0.0,'5_2':0.0},(40,255):{'3_1':0.03},(40,254):{'3_1':0.03,'4_1':0.0},(40,253):{'3_1':0.0},(40,252):{'3_1':0.0},(40,251):{'3_1':0.0},(40,250):{'3_1':0.0},(40,249):{'3_1':0.0},(40,248):{'3_1':0.0},(40,247):{'3_1':0.0},(40,246):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(40,244):{'3_1':0.0,'4_1':0.0},(40,243):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(40,242):{'3_1':0.06,'5_2':0.0},(40,240):{'3_1':0.03},(40,239):{'3_1':0.0,'4_1':0.0},(40,238):{'3_1':0.0,'5_1':0.0},(40,236):{'3_1':0.03},(40,235):{'3_1':0.0},(40,234):{'3_1':0.0},(40,233):{'3_1':0.03},(40,232):{'3_1':0.06,'5_2':0.0},(40,231):{'3_1':0.0},(40,230):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(40,229):{'4_1':0.0},(40,227):{'3_1':0.0,'4_1':0.0},(40,226):{'3_1':0.0},(40,225):{'3_1':0.0,'4_1':0.0},(40,224):{'3_1':0.0},(40,223):{'3_1':0.03},(40,222):{'3_1':0.03},(40,221):{'3_1':0.03},(40,220):{'3_1':0.03},(40,219):{'3_1':0.03},(40,218):{'3_1':0.0,'4_1':0.0},(40,217):{'3_1':0.0},(40,216):{'3_1':0.06},(40,215):{'3_1':0.03},(40,214):{'3_1':0.0},(40,213):{'3_1':0.03},(40,212):{'3_1':0.03},(40,211):{'3_1':0.03},(40,210):{'3_1':0.0},(40,209):{'3_1':0.0},(40,208):{'3_1':0.03},(40,207):{'3_1':0.0,'5_1':0.0},(40,206):{'3_1':0.0,'4_1':0.0},(40,205):{'3_1':0.03},(40,204):{'3_1':0.0},(40,203):{'3_1':0.0},(40,202):{'3_1':0.0},(40,201):{'3_1':0.03},(40,200):{'3_1':0.0},(40,199):{'3_1':0.03},(40,198):{'3_1':0.0,'5_1':0.0},(40,197):{'3_1':0.0},(40,196):{'3_1':0.0},(40,195):{'3_1':0.0,'5_2':0.0},(40,194):{'3_1':0.0},(40,193):{'3_1':0.0},(40,192):{'3_1':0.03},(40,191):{'3_1':0.03},(40,190):{'3_1':0.0},(40,189):{'3_1':0.0},(40,188):{'3_1':0.03},(40,187):{'3_1':0.03},(40,186):{'3_1':0.03,'5_1':0.0},(40,185):{'3_1':0.03},(40,184):{'3_1':0.03},(40,183):{'3_1':0.03},(40,182):{'3_1':0.03},(40,181):{'3_1':0.0,'5_1':0.0},(40,180):{'3_1':0.03},(40,179):{'3_1':0.0},(40,178):{'3_1':0.0},(40,177):{'3_1':0.03},(40,176):{'3_1':0.0},(40,175):{'3_1':0.0},(40,174):{'3_1':0.06},(40,173):{'3_1':0.0,'4_1':0.0},(40,172):{'3_1':0.03},(40,171):{'3_1':0.0},(40,170):{'3_1':0.03},(40,169):{'3_1':0.03},(40,168):{'3_1':0.03},(40,167):{'3_1':0.03},(40,166):{'3_1':0.0},(40,165):{'5_1':0.0},(40,164):{'3_1':0.0},(40,163):{'3_1':0.0,'5_1':0.0},(40,161):{'3_1':0.0,'5_1':0.0},(40,159):{'3_1':0.0},(40,158):{'3_1':0.0},(40,156):{'3_1':0.0},(40,155):{'3_1':0.0},(40,154):{'3_1':0.0},(40,151):{'3_1':0.0},(40,150):{'3_1':0.0},(40,149):{'3_1':0.0},(40,148):{'3_1':0.0},(40,147):{'3_1':0.0},(40,146):{'3_1':0.0},(40,145):{'3_1':0.0},(40,144):{'3_1':0.0},(40,143):{'3_1':0.0},(40,142):{'3_1':0.0},(40,141):{'3_1':0.0},(40,140):{'3_1':0.03},(40,139):{'3_1':0.0},(40,138):{'3_1':0.0},(40,137):{'3_1':0.0},(40,136):{'3_1':0.0},(40,133):{'3_1':0.03},(40,132):{'3_1':0.0},(40,131):{'3_1':0.0},(40,130):{'3_1':0.0},(40,128):{'3_1':0.0},(40,126):{'3_1':0.0},(40,124):{'3_1':0.0},(40,121):{'3_1':0.03},(40,120):{'3_1':0.0},(40,117):{'3_1':0.0},(40,116):{'3_1':0.0},(40,115):{'3_1':0.0},(40,113):{'3_1':0.0},(40,108):{'3_1':0.0},(40,107):{'3_1':0.0},(40,106):{'3_1':0.0},(40,102):{'3_1':0.0},(40,101):{'3_1':0.0},(41,459):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_5':0.0,'9_1':0.0},(41,458):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(41,457):{'3_1':0.9},(41,456):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_2':0.0,'5_1':0.0,'8_2':0.0},(41,455):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0},(41,454):{'3_1':0.9},(41,453):{'3_1':0.9,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(41,452):{'3_1':0.9,'5_1':0.03,'7_5':0.0},(41,451):{'3_1':0.9,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(41,450):{'3_1':0.9,'7_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(41,449):{'3_1':0.9,'6_2':0.0,'8_20|3_1#3_1':0.0},(41,448):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0},(41,447):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(41,446):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_19':0.0},(41,445):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0},(41,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(41,443):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0,'-3':0.0},(41,442):{'3_1':0.81,'5_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_2':0.0,'3_1#5_2':0.0},(41,441):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(41,440):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'7_5':0.0,'8_6':0.0},(41,439):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(41,438):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(41,437):{'3_1':0.84,'5_2':0.03,'5_1':0.03,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(41,436):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(41,435):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(41,434):{'3_1':0.81,'6_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(41,433):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(41,432):{'3_1':0.87,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0},(41,431):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0},(41,430):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(41,429):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(41,428):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(41,427):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0},(41,426):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(41,425):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(41,424):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(41,423):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(41,422):{'3_1':0.87,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'8_5':0.0,'-3':0.0},(41,421):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(41,420):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(41,419):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0},(41,418):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_10':0.0},(41,417):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(41,416):{'3_1':0.81,'8_2':0.03,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(41,415):{'3_1':0.78,'8_2':0.06,'5_1':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0},(41,414):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(41,413):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'8_2':0.03,'6_2':0.0,'7_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(41,412):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'6_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0},(41,411):{'3_1':0.63,'5_1':0.12,'5_2':0.06,'8_2':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'8_10':0.0,'-3':0.0},(41,410):{'3_1':0.72,'5_1':0.12,'8_2':0.06,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(41,409):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_7':0.0,'8_10':0.0},(41,408):{'3_1':0.72,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(41,407):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_5':0.0,'8_10':0.0},(41,406):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0},(41,405):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(41,404):{'3_1':0.78,'5_1':0.03,'6_2':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_5':0.0,'8_7':0.0},(41,403):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(41,402):{'3_1':0.9,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(41,401):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(41,400):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(41,399):{'3_1':0.81,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(41,398):{'3_1':0.81,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(41,397):{'3_1':0.87,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(41,396):{'3_1':0.78,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(41,395):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0},(41,394):{'3_1':0.75,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_10':0.0},(41,393):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0},(41,392):{'3_1':0.72,'5_2':0.03,'7_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0},(41,391):{'3_1':0.69,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0},(41,390):{'3_1':0.75,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(41,389):{'3_1':0.51,'6_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_12':0.0},(41,388):{'3_1':0.45,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(41,387):{'3_1':0.3,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(41,386):{'3_1':0.3,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(41,385):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'7_7':0.0},(41,384):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(41,383):{'3_1':0.06,'6_2':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(41,382):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_3':0.0},(41,381):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_15':0.0},(41,380):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(41,379):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(41,378):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0},(41,377):{'3_1':0.03,'4_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(41,376):{'4_1':0.0,'3_1':0.0},(41,375):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(41,374):{'3_1':0.03},(41,373):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(41,372):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(41,371):{'3_1':0.06,'5_2':0.0},(41,370):{'3_1':0.03},(41,369):{'3_1':0.0,'6_2':0.0,'6_3':0.0},(41,368):{'3_1':0.03,'4_1':0.0},(41,367):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(41,366):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(41,365):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(41,364):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(41,363):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(41,362):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(41,361):{'3_1':0.03,'4_1':0.0},(41,360):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(41,359):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(41,358):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(41,357):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(41,356):{'3_1':0.06},(41,355):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(41,354):{'3_1':0.03},(41,353):{'3_1':0.03,'5_2':0.0},(41,352):{'3_1':0.03},(41,351):{'3_1':0.03,'5_2':0.0},(41,350):{'3_1':0.03,'5_2':0.0},(41,349):{'3_1':0.0},(41,348):{'3_1':0.0,'5_1':0.0},(41,347):{'3_1':0.0,'6_2':0.0},(41,346):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(41,345):{'5_2':0.0,'3_1':0.0},(41,344):{'3_1':0.0,'4_1':0.0},(41,343):{'3_1':0.0,'6_2':0.0},(41,342):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(41,341):{'3_1':0.03,'8_6':0.0},(41,340):{'3_1':0.06,'5_1':0.0},(41,339):{'3_1':0.06,'6_2':0.0,'7_5':0.0},(41,338):{'3_1':0.03},(41,337):{'3_1':0.03,'5_2':0.0},(41,336):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(41,335):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(41,334):{'3_1':0.03,'5_1':0.0},(41,333):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(41,332):{'3_1':0.06},(41,331):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(41,330):{'3_1':0.0},(41,329):{'3_1':0.09,'5_2':0.0},(41,328):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(41,327):{'3_1':0.0},(41,326):{'5_2':0.0,'3_1':0.0},(41,325):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(41,324):{'3_1':0.0},(41,323):{'5_1':0.0,'5_2':0.0},(41,322):{'3_1':0.03},(41,321):{'3_1':0.0,'5_2':0.0},(41,320):{'3_1':0.03,'5_2':0.0},(41,319):{'3_1':0.03,'5_1':0.0},(41,318):{'3_1':0.0},(41,317):{'3_1':0.0},(41,316):{'3_1':0.0,'5_2':0.0},(41,315):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(41,314):{'3_1':0.0},(41,313):{'3_1':0.06,'5_2':0.0},(41,312):{'3_1':0.03},(41,311):{'3_1':0.03,'5_2':0.0},(41,310):{'3_1':0.0,'5_1':0.0},(41,309):{'3_1':0.0,'5_2':0.0},(41,308):{'3_1':0.0,'7_3':0.0},(41,307):{'3_1':0.0},(41,306):{'3_1':0.0,'4_1':0.0},(41,305):{'3_1':0.0,'7_5':0.0},(41,304):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(41,303):{'3_1':0.0,'5_2':0.0},(41,302):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(41,301):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(41,300):{'3_1':0.0,'5_2':0.0},(41,299):{'3_1':0.03,'8_20|3_1#3_1':0.0},(41,298):{'3_1':0.03,'5_2':0.0},(41,297):{'3_1':0.03},(41,296):{'3_1':0.03},(41,295):{'3_1':0.0,'5_1':0.0},(41,294):{'5_1':0.0,'3_1':0.0},(41,293):{'3_1':0.0},(41,292):{'3_1':0.03,'5_2':0.0},(41,291):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(41,290):{'3_1':0.0},(41,288):{'3_1':0.0},(41,287):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(41,286):{'3_1':0.03,'5_1':0.0},(41,285):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(41,284):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(41,283):{'3_1':0.0,'5_1':0.0},(41,282):{'3_1':0.03,'5_1':0.0},(41,281):{'3_1':0.0,'5_1':0.0},(41,280):{'3_1':0.0,'5_1':0.0},(41,279):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(41,278):{'3_1':0.0,'4_1':0.0},(41,277):{'3_1':0.03},(41,276):{'3_1':0.0},(41,275):{'3_1':0.03},(41,274):{'3_1':0.03,'5_2':0.0},(41,273):{'5_1':0.0,'3_1':0.0},(41,272):{'3_1':0.0},(41,271):{'3_1':0.0},(41,270):{'3_1':0.0},(41,269):{'3_1':0.0,'5_1':0.0},(41,268):{'3_1':0.03},(41,267):{'3_1':0.03},(41,266):{'3_1':0.03,'5_1':0.0},(41,265):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(41,264):{'3_1':0.03},(41,263):{'3_1':0.06,'4_1':0.0},(41,262):{'3_1':0.06,'5_1':0.0},(41,261):{'3_1':0.03},(41,260):{'3_1':0.06,'5_1':0.0},(41,259):{'3_1':0.03},(41,258):{'3_1':0.06},(41,257):{'3_1':0.0,'4_1':0.0},(41,256):{'3_1':0.03,'4_1':0.0},(41,255):{'3_1':0.0},(41,254):{'3_1':0.03,'5_1':0.0},(41,253):{'3_1':0.0},(41,252):{'3_1':0.0},(41,251):{'3_1':0.0},(41,250):{'3_1':0.03,'5_2':0.0},(41,249):{'3_1':0.0},(41,248):{'3_1':0.03},(41,247):{'3_1':0.0},(41,246):{'3_1':0.0},(41,245):{'3_1':0.0},(41,244):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(41,243):{'3_1':0.0},(41,242):{'3_1':0.03,'5_2':0.0},(41,241):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(41,240):{'4_1':0.0},(41,239):{'3_1':0.0,'5_2':0.0},(41,238):{'3_1':0.0,'5_2':0.0},(41,237):{'3_1':0.0,'4_1':0.0},(41,236):{'3_1':0.03},(41,235):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(41,234):{'3_1':0.0},(41,233):{'3_1':0.0,'5_2':0.0},(41,232):{'3_1':0.0},(41,231):{'3_1':0.03},(41,230):{'3_1':0.03},(41,229):{'3_1':0.0},(41,228):{'3_1':0.03},(41,227):{'3_1':0.0,'5_2':0.0},(41,226):{'3_1':0.0},(41,225):{'3_1':0.03},(41,224):{'3_1':0.0},(41,223):{'3_1':0.0},(41,222):{'3_1':0.06},(41,221):{'3_1':0.0},(41,220):{'3_1':0.0},(41,219):{'3_1':0.03},(41,218):{'3_1':0.0},(41,217):{'3_1':0.03},(41,216):{'3_1':0.0},(41,215):{'3_1':0.03},(41,214):{'3_1':0.0},(41,213):{'3_1':0.03},(41,212):{'3_1':0.0},(41,211):{'3_1':0.0},(41,210):{'3_1':0.03},(41,209):{'3_1':0.03},(41,208):{'3_1':0.06},(41,207):{'3_1':0.0},(41,206):{'3_1':0.0},(41,205):{'3_1':0.0},(41,204):{'3_1':0.0},(41,203):{'3_1':0.03},(41,202):{'3_1':0.0},(41,201):{'3_1':0.0},(41,200):{'3_1':0.03},(41,199):{'3_1':0.0},(41,198):{'3_1':0.0},(41,197):{'3_1':0.03},(41,196):{'3_1':0.03},(41,195):{'3_1':0.0},(41,194):{'3_1':0.0},(41,193):{'3_1':0.0},(41,192):{'3_1':0.0},(41,191):{'3_1':0.03},(41,190):{'3_1':0.0},(41,189):{'3_1':0.0},(41,188):{'3_1':0.03},(41,187):{'3_1':0.03},(41,186):{'3_1':0.0},(41,185):{'3_1':0.0},(41,184):{'3_1':0.0},(41,183):{'3_1':0.0,'5_1':0.0},(41,182):{'3_1':0.0},(41,181):{'3_1':0.06},(41,180):{'3_1':0.0},(41,179):{'3_1':0.06},(41,178):{'3_1':0.0},(41,177):{'3_1':0.0},(41,176):{'3_1':0.0},(41,175):{'3_1':0.0},(41,174):{'3_1':0.0},(41,173):{'3_1':0.0},(41,172):{'3_1':0.0},(41,171):{'3_1':0.0},(41,170):{'3_1':0.0},(41,169):{'3_1':0.0},(41,168):{'3_1':0.0},(41,167):{'3_1':0.0},(41,166):{'3_1':0.0},(41,165):{'3_1':0.0},(41,164):{'3_1':0.0},(41,163):{'3_1':0.0},(41,162):{'3_1':0.0},(41,161):{'3_1':0.0},(41,159):{'3_1':0.03},(41,158):{'3_1':0.0},(41,157):{'3_1':0.0},(41,156):{'3_1':0.03},(41,155):{'3_1':0.0},(41,154):{'3_1':0.0},(41,153):{'3_1':0.03},(41,152):{'3_1':0.0},(41,151):{'3_1':0.0},(41,148):{'3_1':0.0},(41,147):{'3_1':0.0},(41,146):{'3_1':0.0},(41,145):{'3_1':0.0},(41,144):{'3_1':0.0},(41,143):{'3_1':0.0},(41,128):{'3_1':0.0},(41,126):{'3_1':0.03},(41,124):{'3_1':0.0},(41,122):{'3_1':0.0},(41,120):{'3_1':0.0},(41,113):{'3_1':0.0},(41,111):{'3_1':0.0},(41,109):{'3_1':0.0},(41,108):{'3_1':0.0},(41,107):{'3_1':0.0},(41,106):{'3_1':0.0},(41,104):{'3_1':0.0},(41,101):{'3_1':0.0},(41,100):{'3_1':0.0},(42,459):{'3_1':0.9,'8_20|3_1#3_1':0.03},(42,458):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0},(42,457):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(42,456):{'3_1':0.9,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(42,455):{'3_1':0.9,'-3':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(42,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_10':0.0},(42,453):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(42,452):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(42,451):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0},(42,450):{'3_1':0.9,'5_1':0.0},(42,449):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'-3':0.0},(42,448):{'3_1':0.9,'8_20|3_1#3_1':0.03},(42,447):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(42,446):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(42,445):{'3_1':0.87,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_2':0.0,'-3':0.0},(42,444):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(42,443):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(42,442):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0},(42,441):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(42,440):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(42,439):{'3_1':0.84,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0},(42,438):{'3_1':0.9,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0},(42,437):{'3_1':0.9,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0},(42,436):{'3_1':0.87,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(42,435):{'3_1':0.87,'5_1':0.06,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0},(42,434):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(42,433):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_2':0.0,'-3':0.0},(42,432):{'3_1':0.9,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(42,431):{'3_1':0.81,'5_1':0.03,'-3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0},(42,430):{'3_1':0.84,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0},(42,429):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0},(42,428):{'3_1':0.84,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(42,427):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'8_2':0.0,'6_2':0.0},(42,426):{'3_1':0.78,'5_1':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(42,425):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_7':0.0},(42,424):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0},(42,423):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'-3':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0},(42,422):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(42,421):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_3':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(42,420):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'8_2':0.0,'7_5':0.0,'-3':0.0},(42,419):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0},(42,418):{'3_1':0.81,'5_1':0.06,'7_3':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(42,417):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'6_2':0.0,'-3':0.0},(42,416):{'3_1':0.81,'5_1':0.06,'6_3':0.0,'8_2':0.0,'5_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(42,415):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(42,414):{'3_1':0.75,'5_1':0.12,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(42,413):{'3_1':0.66,'5_1':0.12,'6_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'-3':0.0},(42,412):{'3_1':0.72,'5_1':0.06,'8_2':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(42,411):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(42,410):{'3_1':0.72,'5_1':0.06,'8_2':0.03,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(42,409):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_3':0.0,'8_2':0.0,'8_10':0.0,'3_1#5_1':0.0,'-3':0.0},(42,408):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0},(42,407):{'3_1':0.72,'5_1':0.15,'6_2':0.0,'7_5':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(42,406):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'7_2':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(42,405):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(42,404):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(42,403):{'3_1':0.84,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0},(42,402):{'3_1':0.81,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(42,401):{'3_1':0.81,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(42,400):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(42,399):{'3_1':0.84,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(42,398):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_5':0.0},(42,397):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(42,396):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_5':0.0},(42,395):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(42,394):{'3_1':0.84,'5_1':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0},(42,393):{'3_1':0.72,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(42,392):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(42,391):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0},(42,390):{'3_1':0.63,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(42,389):{'3_1':0.54,'4_1':0.03,'6_2':0.0,'5_2':0.0},(42,388):{'3_1':0.42,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(42,387):{'3_1':0.42,'6_2':0.03,'4_1':0.0,'5_2':0.0},(42,386):{'3_1':0.33,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0},(42,385):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(42,384):{'3_1':0.06,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_3':0.0},(42,383):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(42,382):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0},(42,381):{'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(42,380):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0,'6_2':0.0,'7_6':0.0},(42,379):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_8':0.0},(42,378):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_6':0.0},(42,377):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(42,376):{'3_1':0.12,'4_1':0.0,'8_2':0.0},(42,375):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(42,374):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(42,373):{'3_1':0.03,'4_1':0.0,'-3':0.0},(42,372):{'3_1':0.03,'5_2':0.03},(42,371):{'3_1':0.09,'6_3':0.0,'8_20|3_1#3_1':0.0},(42,370):{'3_1':0.0,'5_2':0.0},(42,369):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(42,368):{'3_1':0.03,'5_2':0.0},(42,367):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(42,366):{'3_1':0.03},(42,365):{'3_1':0.06},(42,364):{'3_1':0.03,'4_1':0.0,'-3':0.0},(42,363):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'-3':0.0},(42,362):{'3_1':0.12,'5_2':0.0},(42,361):{'3_1':0.0,'8_8':0.0},(42,360):{'3_1':0.03},(42,359):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(42,357):{'3_1':0.03,'4_1':0.0},(42,356):{'3_1':0.03,'5_2':0.0,'8_8':0.0},(42,355):{'3_1':0.0,'5_2':0.0},(42,354):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_8':0.0},(42,353):{'3_1':0.03},(42,352):{'3_1':0.03,'5_2':0.0},(42,351):{'3_1':0.03},(42,350):{'3_1':0.03},(42,349):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(42,348):{'3_1':0.0,'5_2':0.0},(42,347):{'3_1':0.03},(42,346):{'3_1':0.0},(42,345):{'3_1':0.0,'5_2':0.0},(42,344):{'3_1':0.03},(42,343):{'3_1':0.03},(42,342):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(42,341):{'3_1':0.03,'5_1':0.0},(42,340):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(42,339):{'3_1':0.06,'5_1':0.0},(42,338):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(42,337):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(42,336):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(42,335):{'3_1':0.03,'5_2':0.0},(42,334):{'3_1':0.0},(42,333):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(42,332):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(42,331):{'3_1':0.06,'8_20|3_1#3_1':0.0},(42,330):{'3_1':0.03,'8_14':0.0},(42,329):{'3_1':0.0},(42,328):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(42,327):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(42,326):{'3_1':0.0,'5_2':0.0},(42,325):{'3_1':0.03,'5_2':0.0},(42,324):{'3_1':0.0,'4_1':0.0},(42,323):{'3_1':0.0,'5_2':0.0},(42,322):{'5_2':0.0,'3_1':0.0},(42,321):{'3_1':0.03},(42,320):{'3_1':0.0},(42,319):{'3_1':0.0,'4_1':0.0},(42,318):{'3_1':0.03,'5_1':0.0},(42,317):{'3_1':0.03,'5_1':0.0},(42,316):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(42,315):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(42,314):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(42,313):{'3_1':0.03,'5_1':0.0},(42,312):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(42,311):{'3_1':0.0,'5_1':0.0},(42,310):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(42,309):{'3_1':0.0,'4_1':0.0},(42,308):{'3_1':0.0},(42,307):{'3_1':0.0},(42,306):{'3_1':0.06,'4_1':0.0},(42,305):{'3_1':0.06},(42,304):{'3_1':0.0},(42,303):{'3_1':0.0},(42,302):{'3_1':0.03},(42,301):{'3_1':0.03},(42,300):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(42,299):{'3_1':0.0,'5_2':0.0},(42,298):{'3_1':0.03},(42,297):{'3_1':0.0,'5_2':0.0},(42,296):{'3_1':0.0},(42,295):{'3_1':0.0,'5_1':0.0},(42,294):{'3_1':0.0,'4_1':0.0},(42,293):{'3_1':0.03},(42,292):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(42,291):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(42,290):{'3_1':0.0,'5_2':0.0},(42,289):{'3_1':0.0,'5_2':0.0},(42,288):{'3_1':0.03},(42,287):{'3_1':0.0,'7_2':0.0},(42,286):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(42,285):{'3_1':0.03,'5_2':0.0},(42,284):{'3_1':0.03,'5_2':0.0},(42,283):{'3_1':0.0},(42,282):{'3_1':0.0},(42,281):{'3_1':0.03,'6_3':0.0},(42,280):{'3_1':0.0,'5_2':0.0},(42,279):{'3_1':0.0},(42,278):{'3_1':0.03,'5_2':0.0},(42,277):{'3_1':0.0,'5_1':0.0},(42,276):{'3_1':0.0,'4_1':0.0},(42,275):{'3_1':0.0,'4_1':0.0},(42,274):{'3_1':0.0},(42,273):{'3_1':0.03},(42,272):{'3_1':0.03},(42,271):{'3_1':0.0},(42,270):{'3_1':0.0},(42,269):{'3_1':0.0,'5_1':0.0},(42,268):{'3_1':0.0},(42,267):{'3_1':0.03,'5_2':0.0},(42,266):{'3_1':0.03,'5_2':0.0},(42,265):{'3_1':0.03,'5_1':0.0},(42,264):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(42,263):{'3_1':0.09},(42,262):{'3_1':0.03},(42,261):{'3_1':0.06},(42,260):{'3_1':0.0,'5_1':0.0},(42,259):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(42,258):{'3_1':0.0,'5_1':0.0},(42,257):{'3_1':0.03,'5_1':0.0},(42,256):{'3_1':0.03},(42,255):{'3_1':0.06,'5_1':0.0},(42,254):{'3_1':0.0,'5_2':0.0},(42,253):{'3_1':0.0},(42,252):{'3_1':0.03,'5_2':0.0},(42,251):{'3_1':0.06,'5_1':0.0},(42,250):{'3_1':0.0},(42,249):{'3_1':0.0,'4_1':0.0},(42,248):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(42,247):{'3_1':0.0},(42,246):{'3_1':0.0},(42,245):{'3_1':0.03,'5_2':0.0},(42,244):{'3_1':0.0},(42,243):{'3_1':0.0},(42,242):{'3_1':0.0,'8_20|3_1#3_1':0.0},(42,241):{'3_1':0.0},(42,240):{'3_1':0.0},(42,239):{'3_1':0.0},(42,238):{'3_1':0.0,'5_2':0.0},(42,237):{'3_1':0.0},(42,236):{'3_1':0.0},(42,234):{'3_1':0.03,'4_1':0.0},(42,233):{'3_1':0.0,'4_1':0.0},(42,232):{'3_1':0.03,'5_2':0.0},(42,231):{'3_1':0.0,'4_1':0.0},(42,230):{'4_1':0.0,'5_2':0.0},(42,229):{'3_1':0.0},(42,228):{'3_1':0.03},(42,227):{'3_1':0.0},(42,226):{'3_1':0.03},(42,225):{'3_1':0.0,'4_1':0.0},(42,224):{'5_1':0.0,'3_1':0.0},(42,223):{'3_1':0.03,'4_1':0.0},(42,222):{'3_1':0.0},(42,221):{'3_1':0.03},(42,220):{'3_1':0.0,'5_1':0.0},(42,219):{'3_1':0.0},(42,218):{'3_1':0.0},(42,217):{'3_1':0.0},(42,216):{'3_1':0.0},(42,215):{'3_1':0.0,'6_1':0.0},(42,214):{'3_1':0.0},(42,213):{'3_1':0.03,'6_3':0.0},(42,212):{'3_1':0.03},(42,211):{'3_1':0.03},(42,210):{'3_1':0.03},(42,209):{'3_1':0.0},(42,208):{'3_1':0.03},(42,206):{'3_1':0.0},(42,205):{'3_1':0.0},(42,204):{'6_1':0.0},(42,203):{'3_1':0.0},(42,202):{'3_1':0.0,'6_1':0.0},(42,201):{'3_1':0.0},(42,200):{'3_1':0.0},(42,199):{'3_1':0.03},(42,198):{'3_1':0.03},(42,197):{'3_1':0.0},(42,196):{'3_1':0.0},(42,195):{'3_1':0.0},(42,194):{'3_1':0.0},(42,193):{'3_1':0.0},(42,192):{'3_1':0.0},(42,190):{'3_1':0.0},(42,189):{'3_1':0.03},(42,188):{'3_1':0.0},(42,187):{'3_1':0.03},(42,186):{'3_1':0.0},(42,185):{'3_1':0.0,'6_1':0.0},(42,184):{'3_1':0.0},(42,183):{'3_1':0.0},(42,182):{'3_1':0.0},(42,181):{'3_1':0.0,'4_1':0.0},(42,180):{'3_1':0.03},(42,179):{'3_1':0.0},(42,178):{'3_1':0.03},(42,177):{'3_1':0.0},(42,176):{'3_1':0.03},(42,175):{'3_1':0.0},(42,174):{'3_1':0.0},(42,173):{'3_1':0.0},(42,172):{'3_1':0.0},(42,171):{'3_1':0.03},(42,170):{'3_1':0.0},(42,168):{'3_1':0.0,'4_1':0.0},(42,167):{'3_1':0.0},(42,166):{'3_1':0.06},(42,164):{'3_1':0.0},(42,163):{'3_1':0.0},(42,162):{'3_1':0.0},(42,161):{'3_1':0.0},(42,160):{'3_1':0.0},(42,159):{'3_1':0.0},(42,158):{'3_1':0.0},(42,157):{'3_1':0.0},(42,156):{'3_1':0.0},(42,155):{'3_1':0.0},(42,154):{'3_1':0.0},(42,152):{'3_1':0.0},(42,151):{'3_1':0.0},(42,150):{'3_1':0.0},(42,149):{'3_1':0.0},(42,148):{'3_1':0.03},(42,147):{'3_1':0.0},(42,146):{'3_1':0.0},(42,145):{'3_1':0.0},(42,143):{'3_1':0.0},(42,142):{'3_1':0.03},(42,140):{'3_1':0.0},(42,138):{'3_1':0.0},(42,136):{'3_1':0.0},(42,135):{'3_1':0.0},(42,133):{'3_1':0.0},(42,131):{'3_1':0.0},(42,125):{'3_1':0.0},(42,122):{'3_1':0.0},(42,121):{'3_1':0.0},(42,120):{'3_1':0.0},(42,112):{'3_1':0.0},(42,110):{'3_1':0.0},(42,108):{'3_1':0.0},(42,107):{'3_1':0.0},(42,106):{'3_1':0.0},(42,104):{'3_1':0.0},(42,103):{'3_1':0.0},(43,459):{'3_1':0.9,'5_1':0.0},(43,458):{'3_1':0.9,'8_20|3_1#3_1':0.0},(43,457):{'3_1':0.9,'8_20|3_1#3_1':0.0},(43,456):{'3_1':0.9,'8_20|3_1#3_1':0.0,'-3':0.0,'7_5':0.0},(43,455):{'3_1':0.9,'7_5':0.0},(43,454):{'3_1':0.9,'8_20|3_1#3_1':0.0,'8_2':0.0},(43,453):{'3_1':0.9,'8_20|3_1#3_1':0.0,'-3':0.0},(43,452):{'3_1':0.9,'5_1':0.0,'8_11':0.0},(43,451):{'3_1':0.9,'5_1':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(43,450):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0},(43,449):{'3_1':0.9,'6_3':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(43,448):{'3_1':0.9,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0},(43,447):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'-3':0.0},(43,446):{'3_1':0.9,'8_20|3_1#3_1':0.03,'7_1':0.0,'8_2':0.0},(43,445):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(43,444):{'3_1':0.84,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(43,443):{'3_1':0.9,'5_1':0.0,'-3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(43,442):{'3_1':0.87,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0},(43,441):{'3_1':0.9,'8_20|3_1#3_1':0.0,'8_2':0.0,'5_2':0.0},(43,440):{'3_1':0.87,'5_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(43,439):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0},(43,438):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(43,437):{'3_1':0.9,'5_2':0.0,'8_2':0.0},(43,436):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0},(43,435):{'3_1':0.87,'8_20|3_1#3_1':0.03,'7_1':0.0,'8_2':0.0,'6_2':0.0,'-3':0.0},(43,434):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0},(43,433):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(43,432):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'8_6':0.0},(43,431):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(43,430):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(43,429):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'3_1#5_1':0.0,'-3':0.0},(43,428):{'3_1':0.87,'5_1':0.0,'6_3':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(43,427):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(43,426):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.03,'6_2':0.0,'8_2':0.0,'5_2':0.0},(43,425):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(43,424):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(43,423):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(43,422):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'5_2':0.03,'-3':0.0},(43,421):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'8_15':0.0},(43,420):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'8_10':0.0,'-3':0.0},(43,419):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(43,418):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(43,417):{'3_1':0.81,'5_1':0.09,'5_2':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0},(43,416):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(43,415):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'7_1':0.0,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(43,414):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(43,413):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'8_2':0.0,'7_3':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(43,412):{'3_1':0.75,'5_1':0.06,'8_2':0.06,'6_2':0.03,'-3':0.0,'8_20|3_1#3_1':0.0},(43,411):{'3_1':0.66,'5_1':0.15,'8_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(43,410):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(43,409):{'3_1':0.69,'5_1':0.12,'5_2':0.06,'6_2':0.0,'8_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(43,408):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'8_10':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(43,407):{'3_1':0.78,'5_2':0.06,'5_1':0.03,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_18':0.0},(43,406):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0},(43,405):{'3_1':0.75,'5_1':0.09,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_11':0.0},(43,404):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'8_18':0.0,'8_20|3_1#3_1':0.0},(43,403):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'-3':0.0,'5_2':0.0,'8_18':0.0,'7_5':0.0},(43,402):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(43,401):{'3_1':0.84,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(43,400):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_18':0.0},(43,399):{'3_1':0.78,'6_2':0.03,'5_1':0.0,'4_1':0.0,'7_5':0.0,'8_10':0.0,'8_18':0.0},(43,398):{'3_1':0.81,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_4':0.0,'-3':0.0},(43,397):{'3_1':0.81,'6_2':0.03,'5_1':0.0,'5_2':0.0},(43,396):{'3_1':0.81,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(43,395):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0},(43,394):{'3_1':0.81,'5_1':0.03,'5_2':0.0},(43,393):{'3_1':0.78,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(43,392):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'8_16':0.0},(43,391):{'3_1':0.63,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(43,390):{'3_1':0.63,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(43,389):{'3_1':0.51,'6_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_10':0.0,'-3':0.0},(43,388):{'3_1':0.45,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(43,387):{'3_1':0.45,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(43,386):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(43,385):{'3_1':0.21,'4_1':0.03,'6_2':0.0,'5_1':0.0},(43,384):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(43,383):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(43,382):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(43,381):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(43,380):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_8':0.0},(43,379):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(43,378):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0},(43,377):{'3_1':0.06,'5_1':0.0},(43,376):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(43,375):{'3_1':0.09,'4_1':0.06,'6_3':0.0},(43,374):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(43,373):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(43,372):{'3_1':0.06},(43,371):{'3_1':0.03,'5_2':0.0},(43,370):{'3_1':0.03},(43,369):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(43,368):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(43,367):{'3_1':0.0,'4_1':0.0},(43,366):{'3_1':0.0,'5_2':0.0,'-3':0.0},(43,365):{'3_1':0.03,'-3':0.0},(43,364):{'3_1':0.03},(43,363):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(43,362):{'3_1':0.03,'8_8':0.0},(43,361):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(43,360):{'3_1':0.03},(43,359):{'3_1':0.03,'8_18':0.0},(43,358):{'3_1':0.0},(43,357):{'3_1':0.03,'4_1':0.0},(43,356):{'3_1':0.0,'4_1':0.0},(43,355):{'3_1':0.03,'-3':0.0},(43,354):{'3_1':0.06,'4_1':0.0,'8_8':0.0},(43,353):{'3_1':0.03,'4_1':0.0},(43,352):{'3_1':0.0,'5_1':0.0,'6_3':0.0},(43,351):{'3_1':0.0},(43,350):{'3_1':0.03},(43,349):{'3_1':0.03,'5_2':0.0},(43,348):{'3_1':0.0},(43,347):{'3_1':0.0,'5_2':0.0},(43,346):{'3_1':0.0,'4_1':0.0},(43,345):{'3_1':0.0,'4_1':0.0},(43,344):{'3_1':0.03,'5_2':0.0},(43,343):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(43,342):{'3_1':0.06},(43,341):{'3_1':0.03,'5_2':0.0},(43,340):{'3_1':0.03,'6_2':0.0,'7_2':0.0,'8_7':0.0},(43,339):{'3_1':0.03,'5_2':0.0},(43,338):{'3_1':0.09,'5_2':0.0,'7_2':0.0},(43,337):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(43,336):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(43,335):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(43,334):{'3_1':0.06,'5_2':0.0},(43,333):{'3_1':0.03,'4_1':0.0},(43,332):{'3_1':0.03,'5_2':0.0},(43,331):{'3_1':0.06},(43,330):{'3_1':0.0,'4_1':0.0},(43,329):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(43,328):{'3_1':0.0},(43,327):{'3_1':0.0},(43,326):{'3_1':0.0,'6_2':0.0},(43,325):{'3_1':0.03},(43,324):{'3_1':0.0,'5_2':0.0},(43,323):{'3_1':0.0},(43,322):{'3_1':0.03,'5_2':0.0},(43,321):{'3_1':0.0},(43,320):{'3_1':0.0,'6_2':0.0},(43,319):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(43,318):{'3_1':0.0},(43,317):{'3_1':0.06},(43,316):{'3_1':0.0,'4_1':0.0},(43,315):{'3_1':0.0},(43,314):{'3_1':0.0,'5_2':0.0},(43,313):{'3_1':0.0},(43,312):{'3_1':0.0},(43,311):{'3_1':0.03,'5_1':0.0},(43,310):{'5_2':0.0},(43,309):{'3_1':0.0},(43,308):{'3_1':0.03,'5_2':0.0},(43,307):{'3_1':0.03},(43,306):{'3_1':0.0},(43,305):{'3_1':0.0},(43,304):{'3_1':0.03,'5_2':0.0},(43,303):{'3_1':0.03,'4_1':0.0},(43,302):{'3_1':0.0,'4_1':0.0},(43,301):{'3_1':0.06,'4_1':0.0},(43,300):{'3_1':0.03,'4_1':0.0},(43,299):{'3_1':0.0,'4_1':0.0},(43,298):{'3_1':0.0},(43,297):{'3_1':0.0,'5_2':0.0},(43,296):{'3_1':0.0,'4_1':0.0},(43,295):{'3_1':0.0},(43,294):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(43,293):{'3_1':0.03,'5_1':0.0},(43,292):{'3_1':0.0,'4_1':0.0},(43,291):{'3_1':0.0,'4_1':0.0},(43,290):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(43,289):{'3_1':0.0,'5_2':0.0},(43,288):{'3_1':0.0,'5_2':0.0},(43,287):{'6_1':0.0},(43,286):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(43,285):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(43,284):{'3_1':0.0},(43,283):{'3_1':0.0,'5_2':0.0},(43,282):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(43,281):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(43,280):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(43,279):{'3_1':0.0,'4_1':0.0},(43,278):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(43,277):{'3_1':0.0},(43,276):{'5_2':0.0},(43,275):{'3_1':0.0,'6_1':0.0},(43,274):{'3_1':0.0},(43,273):{'4_1':0.0},(43,272):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(43,271):{'3_1':0.0,'7_5':0.0},(43,270):{'3_1':0.03,'5_1':0.0},(43,269):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(43,268):{'3_1':0.0},(43,267):{'3_1':0.03},(43,266):{'3_1':0.03},(43,265):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(43,264):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(43,263):{'3_1':0.06},(43,262):{'3_1':0.03},(43,261):{'3_1':0.03},(43,260):{'3_1':0.03},(43,259):{'3_1':0.0,'5_2':0.0},(43,258):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(43,257):{'3_1':0.03,'5_1':0.0},(43,256):{'3_1':0.03,'5_1':0.0},(43,255):{'3_1':0.03},(43,254):{'3_1':0.0,'5_1':0.0},(43,253):{'3_1':0.0},(43,252):{'3_1':0.0},(43,251):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(43,250):{'3_1':0.03},(43,249):{'3_1':0.0},(43,248):{'3_1':0.03,'4_1':0.0},(43,247):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(43,246):{'3_1':0.0,'5_2':0.0},(43,245):{'3_1':0.0,'4_1':0.0},(43,244):{'3_1':0.0},(43,243):{'3_1':0.03,'5_2':0.0},(43,242):{'3_1':0.0},(43,241):{'3_1':0.06,'5_2':0.0},(43,240):{'3_1':0.03},(43,239):{'3_1':0.0},(43,238):{'3_1':0.0},(43,237):{'3_1':0.03},(43,236):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(43,235):{'3_1':0.0},(43,234):{'3_1':0.0},(43,233):{'3_1':0.0,'5_2':0.0},(43,232):{'3_1':0.0},(43,231):{'3_1':0.03,'4_1':0.0},(43,230):{'3_1':0.0,'4_1':0.0},(43,229):{'3_1':0.0,'5_2':0.0},(43,228):{'3_1':0.0},(43,227):{'3_1':0.03,'5_2':0.0},(43,226):{'3_1':0.0},(43,225):{'3_1':0.03},(43,224):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(43,223):{'3_1':0.0},(43,222):{'3_1':0.0},(43,221):{'3_1':0.03},(43,220):{'3_1':0.0,'4_1':0.0},(43,219):{'3_1':0.0},(43,217):{'3_1':0.0},(43,216):{'3_1':0.0},(43,215):{'3_1':0.03},(43,214):{'3_1':0.0},(43,213):{'3_1':0.03},(43,212):{'3_1':0.0},(43,211):{'3_1':0.0},(43,210):{'3_1':0.03},(43,209):{'3_1':0.0},(43,208):{'3_1':0.03},(43,207):{'3_1':0.03},(43,206):{'3_1':0.0},(43,205):{'3_1':0.0},(43,204):{'3_1':0.0},(43,203):{'3_1':0.0},(43,202):{'3_1':0.03},(43,201):{'3_1':0.0},(43,200):{'3_1':0.0},(43,199):{'3_1':0.0},(43,198):{'3_1':0.0},(43,197):{'3_1':0.0},(43,196):{'3_1':0.0},(43,195):{'3_1':0.0},(43,194):{'3_1':0.0},(43,193):{'3_1':0.0},(43,192):{'3_1':0.0},(43,191):{'3_1':0.0},(43,190):{'3_1':0.0},(43,189):{'3_1':0.03},(43,188):{'3_1':0.0},(43,187):{'3_1':0.0},(43,186):{'3_1':0.03},(43,185):{'3_1':0.03},(43,184):{'3_1':0.0},(43,183):{'3_1':0.0},(43,182):{'3_1':0.0},(43,181):{'3_1':0.0},(43,180):{'3_1':0.03},(43,179):{'3_1':0.0},(43,178):{'3_1':0.0},(43,177):{'3_1':0.0},(43,176):{'3_1':0.0},(43,175):{'3_1':0.0},(43,174):{'3_1':0.0},(43,173):{'3_1':0.0},(43,172):{'3_1':0.03},(43,171):{'3_1':0.0},(43,170):{'3_1':0.0},(43,169):{'3_1':0.03},(43,168):{'3_1':0.03},(43,167):{'3_1':0.0},(43,166):{'3_1':0.03,'5_2':0.0},(43,165):{'3_1':0.0},(43,164):{'3_1':0.0},(43,163):{'3_1':0.0},(43,162):{'3_1':0.0},(43,161):{'3_1':0.0},(43,160):{'3_1':0.0},(43,158):{'3_1':0.0},(43,157):{'3_1':0.0},(43,155):{'3_1':0.0},(43,154):{'3_1':0.0},(43,152):{'3_1':0.03},(43,150):{'3_1':0.0},(43,148):{'3_1':0.0},(43,147):{'3_1':0.0},(43,146):{'3_1':0.0},(43,145):{'3_1':0.0},(43,144):{'3_1':0.0},(43,143):{'3_1':0.0},(43,141):{'3_1':0.0},(43,140):{'3_1':0.0},(43,138):{'3_1':0.0},(43,137):{'3_1':0.0},(43,135):{'3_1':0.0},(43,134):{'3_1':0.0},(43,133):{'3_1':0.0},(43,130):{'3_1':0.0},(43,129):{'3_1':0.0},(43,126):{'3_1':0.0},(43,124):{'3_1':0.0},(43,123):{'3_1':0.0},(43,122):{'3_1':0.0},(43,121):{'3_1':0.0},(43,111):{'3_1':0.0},(43,110):{'3_1':0.0},(43,107):{'3_1':0.0},(43,105):{'3_1':0.0},(43,104):{'3_1':0.0},(44,459):{'3_1':0.9,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0},(44,458):{'3_1':0.9,'6_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0},(44,457):{'3_1':0.9,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(44,456):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(44,455):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(44,454):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_2':0.0},(44,453):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0},(44,452):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(44,451):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_1':0.0},(44,450):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(44,449):{'3_1':0.87,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(44,448):{'3_1':0.9,'5_2':0.0,'8_2':0.0},(44,447):{'3_1':0.87,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0},(44,446):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(44,445):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_18':0.0,'8_19':0.0},(44,444):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'8_18':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(44,443):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_1':0.0},(44,442):{'3_1':0.84,'8_20|3_1#3_1':0.03,'8_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(44,441):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0},(44,440):{'3_1':0.9,'5_2':0.0,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(44,439):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(44,438):{'3_1':0.81,'8_20|3_1#3_1':0.03,'8_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(44,437):{'3_1':0.87,'8_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0},(44,436):{'3_1':0.87,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.0},(44,435):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(44,434):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(44,433):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(44,432):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(44,431):{'3_1':0.84,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_10':0.0,'-3':0.0},(44,430):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(44,429):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.03,'7_1':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0},(44,428):{'3_1':0.81,'5_1':0.09,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(44,427):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(44,426):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(44,425):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0},(44,424):{'3_1':0.81,'8_20|3_1#3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(44,423):{'3_1':0.87,'5_1':0.06,'8_2':0.0,'5_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(44,422):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(44,421):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'6_2':0.0,'-3':0.0},(44,420):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.03,'-3':0.0,'8_2':0.0},(44,419):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(44,418):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(44,417):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0},(44,416):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0},(44,415):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'8_19':0.0,'-3':0.0},(44,414):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'3_1#5_2':0.0,'-3':0.0},(44,413):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'-3':0.0},(44,412):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(44,411):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(44,410):{'3_1':0.72,'5_1':0.06,'8_2':0.06,'5_2':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0},(44,409):{'3_1':0.6,'5_1':0.15,'6_2':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_3':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(44,408):{'3_1':0.87,'5_1':0.03,'5_2':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(44,407):{'3_1':0.81,'5_1':0.06,'6_2':0.03,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(44,406):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(44,405):{'3_1':0.84,'5_2':0.03,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0},(44,404):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(44,403):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(44,402):{'3_1':0.84,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(44,401):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(44,400):{'3_1':0.9,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0},(44,399):{'3_1':0.84,'5_2':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(44,398):{'3_1':0.84,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(44,397):{'3_1':0.78,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(44,396):{'3_1':0.87,'8_20|3_1#3_1':0.0},(44,395):{'3_1':0.78,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0},(44,394):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(44,393):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0},(44,392):{'3_1':0.72,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(44,391):{'3_1':0.69,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(44,390):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(44,389):{'3_1':0.48,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(44,388):{'3_1':0.45,'5_1':0.03,'6_2':0.03,'6_1':0.0,'7_5':0.0},(44,387):{'3_1':0.39,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_10':0.0},(44,386):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(44,385):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_2':0.0},(44,384):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(44,383):{'3_1':0.03,'6_2':0.03,'5_1':0.0,'4_1':0.0},(44,382):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(44,381):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0},(44,380):{'3_1':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(44,379):{'3_1':0.03,'5_2':0.0,'7_7':0.0},(44,378):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(44,377):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'-3':0.0},(44,376):{'3_1':0.0,'4_1':0.0},(44,375):{'3_1':0.03,'4_1':0.0},(44,374):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(44,373):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(44,372):{'3_1':0.03},(44,371):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(44,370):{'3_1':0.0,'4_1':0.0},(44,369):{'3_1':0.03,'5_2':0.0},(44,368):{'3_1':0.0,'5_2':0.0},(44,367):{'3_1':0.0},(44,366):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(44,365):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(44,364):{'3_1':0.0},(44,363):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(44,362):{'3_1':0.03},(44,361):{'3_1':0.0},(44,360):{'3_1':0.03,'8_8':0.0},(44,359):{'3_1':0.03,'4_1':0.0},(44,358):{'3_1':0.0,'4_1':0.0},(44,357):{'3_1':0.03,'6_3':0.0},(44,356):{'3_1':0.0,'4_1':0.0},(44,355):{'3_1':0.0,'5_2':0.0},(44,354):{'3_1':0.06,'5_2':0.0},(44,353):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(44,352):{'3_1':0.0,'5_2':0.0,'8_8':0.0},(44,351):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(44,350):{'3_1':0.0},(44,349):{'3_1':0.03,'4_1':0.0},(44,348):{'3_1':0.0,'6_1':0.0},(44,347):{'3_1':0.0},(44,346):{'3_1':0.0,'4_1':0.0},(44,345):{'3_1':0.0},(44,344):{'3_1':0.0,'5_1':0.0},(44,343):{'3_1':0.03},(44,342):{'3_1':0.03},(44,341):{'3_1':0.03,'5_2':0.0,'8_1':0.0},(44,340):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(44,339):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(44,338):{'3_1':0.03},(44,337):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'8_6':0.0},(44,336):{'3_1':0.03,'6_1':0.0,'8_15':0.0},(44,335):{'3_1':0.0,'5_2':0.0},(44,334):{'3_1':0.03,'5_1':0.0},(44,333):{'3_1':0.03,'5_2':0.0},(44,332):{'3_1':0.03,'6_2':0.0},(44,331):{'3_1':0.0,'5_2':0.0},(44,330):{'3_1':0.0,'6_1':0.0},(44,329):{'3_1':0.06},(44,328):{'3_1':0.0},(44,327):{'3_1':0.0},(44,326):{'3_1':0.0,'6_1':0.0},(44,325):{'3_1':0.03,'5_2':0.0},(44,324):{'3_1':0.03,'5_2':0.0},(44,323):{'3_1':0.0,'5_2':0.0},(44,322):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(44,321):{'3_1':0.0},(44,320):{'3_1':0.0,'5_2':0.0},(44,319):{'3_1':0.03},(44,318):{'3_1':0.03,'4_1':0.0},(44,317):{'4_1':0.0,'3_1':0.0},(44,316):{'3_1':0.0},(44,315):{'5_2':0.0},(44,314):{'3_1':0.0,'4_1':0.0},(44,313):{'3_1':0.0,'5_2':0.0},(44,312):{'3_1':0.03,'5_1':0.0},(44,311):{'3_1':0.0,'4_1':0.0},(44,310):{'3_1':0.03},(44,309):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(44,308):{'3_1':0.06,'4_1':0.0,'8_19':0.0},(44,307):{'3_1':0.03},(44,306):{'3_1':0.0,'5_2':0.0},(44,305):{'3_1':0.0,'4_1':0.0},(44,304):{'3_1':0.0},(44,303):{'3_1':0.0},(44,302):{'3_1':0.0,'6_2':0.0},(44,301):{'3_1':0.03,'4_1':0.0},(44,300):{'3_1':0.03},(44,299):{'3_1':0.03},(44,298):{'3_1':0.03,'5_2':0.0},(44,297):{'3_1':0.0},(44,296):{'3_1':0.0,'5_2':0.0},(44,295):{'3_1':0.0,'4_1':0.0},(44,294):{'3_1':0.0},(44,293):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(44,292):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(44,291):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(44,290):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(44,289):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(44,287):{'3_1':0.0},(44,286):{'3_1':0.0,'5_2':0.0},(44,285):{'3_1':0.0},(44,284):{'3_1':0.0,'5_2':0.0},(44,283):{'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(44,282):{'3_1':0.03,'4_1':0.0},(44,281):{'3_1':0.0,'5_2':0.0},(44,280):{'3_1':0.0,'5_2':0.0},(44,278):{'3_1':0.0},(44,277):{'6_1':0.0},(44,276):{'3_1':0.0},(44,275):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(44,274):{'3_1':0.0},(44,272):{'3_1':0.0},(44,271):{'3_1':0.0,'5_2':0.0},(44,270):{'3_1':0.0},(44,269):{'3_1':0.03},(44,268):{'3_1':0.0},(44,267):{'3_1':0.0},(44,266):{'3_1':0.03},(44,265):{'3_1':0.03},(44,264):{'3_1':0.03,'5_2':0.0},(44,263):{'3_1':0.0},(44,262):{'3_1':0.06,'4_1':0.0},(44,261):{'3_1':0.03},(44,260):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(44,259):{'3_1':0.06,'4_1':0.0},(44,258):{'3_1':0.06,'5_2':0.0},(44,257):{'3_1':0.03,'4_1':0.0},(44,256):{'3_1':0.03},(44,255):{'4_1':0.0},(44,254):{'3_1':0.0,'5_2':0.0},(44,253):{'3_1':0.0},(44,252):{'3_1':0.0},(44,251):{'3_1':0.0},(44,250):{'3_1':0.0},(44,249):{'3_1':0.0},(44,248):{'3_1':0.0,'4_1':0.0},(44,247):{'3_1':0.0},(44,246):{'3_1':0.0},(44,245):{'3_1':0.0},(44,244):{'3_1':0.0},(44,243):{'3_1':0.0},(44,242):{'3_1':0.0},(44,241):{'3_1':0.0,'5_2':0.0},(44,240):{'5_2':0.0},(44,239):{'3_1':0.0},(44,238):{'3_1':0.03},(44,237):{'3_1':0.0},(44,236):{'3_1':0.0},(44,235):{'3_1':0.03,'4_1':0.0},(44,234):{'3_1':0.0},(44,233):{'3_1':0.0,'4_1':0.0},(44,232):{'3_1':0.0},(44,231):{'3_1':0.0},(44,230):{'3_1':0.0},(44,229):{'3_1':0.0,'4_1':0.0},(44,227):{'3_1':0.03},(44,226):{'3_1':0.0,'4_1':0.0},(44,225):{'3_1':0.0},(44,224):{'3_1':0.03},(44,223):{'3_1':0.0},(44,222):{'3_1':0.03,'4_1':0.0},(44,221):{'3_1':0.0},(44,220):{'3_1':0.03},(44,219):{'3_1':0.0,'4_1':0.0},(44,218):{'3_1':0.06},(44,217):{'3_1':0.0},(44,216):{'3_1':0.0},(44,215):{'3_1':0.03},(44,214):{'3_1':0.03},(44,213):{'3_1':0.0},(44,212):{'3_1':0.03},(44,211):{'3_1':0.0},(44,210):{'3_1':0.0},(44,209):{'3_1':0.06},(44,208):{'3_1':0.03},(44,207):{'3_1':0.03},(44,206):{'3_1':0.03},(44,205):{'3_1':0.03},(44,204):{'3_1':0.0},(44,203):{'3_1':0.03},(44,202):{'3_1':0.03},(44,201):{'3_1':0.0},(44,200):{'3_1':0.0},(44,199):{'3_1':0.03},(44,197):{'3_1':0.0},(44,196):{'3_1':0.03},(44,194):{'3_1':0.0},(44,193):{'3_1':0.0},(44,192):{'3_1':0.0},(44,191):{'3_1':0.0},(44,190):{'3_1':0.0},(44,188):{'3_1':0.0},(44,187):{'3_1':0.0},(44,186):{'3_1':0.0},(44,185):{'3_1':0.06},(44,184):{'3_1':0.03},(44,183):{'3_1':0.03},(44,182):{'3_1':0.0},(44,181):{'3_1':0.0},(44,180):{'3_1':0.0},(44,179):{'3_1':0.0},(44,178):{'3_1':0.0},(44,177):{'3_1':0.0},(44,176):{'3_1':0.03},(44,175):{'3_1':0.0},(44,174):{'3_1':0.0},(44,173):{'3_1':0.03},(44,172):{'3_1':0.0},(44,171):{'3_1':0.0},(44,170):{'3_1':0.0},(44,169):{'3_1':0.0},(44,168):{'3_1':0.0,'5_2':0.0},(44,167):{'3_1':0.0},(44,166):{'3_1':0.0},(44,165):{'3_1':0.0},(44,164):{'3_1':0.0},(44,163):{'3_1':0.0},(44,162):{'3_1':0.0,'4_1':0.0},(44,161):{'3_1':0.0},(44,160):{'3_1':0.0},(44,159):{'3_1':0.0},(44,158):{'3_1':0.0},(44,157):{'3_1':0.0},(44,155):{'3_1':0.0},(44,153):{'3_1':0.0},(44,151):{'3_1':0.0},(44,150):{'3_1':0.0},(44,149):{'3_1':0.0},(44,148):{'3_1':0.0},(44,145):{'3_1':0.03},(44,144):{'3_1':0.0},(44,141):{'3_1':0.0},(44,140):{'3_1':0.0},(44,138):{'3_1':0.0},(44,137):{'3_1':0.0},(44,135):{'3_1':0.0},(44,134):{'3_1':0.0},(44,132):{'3_1':0.0},(44,129):{'3_1':0.0},(44,125):{'3_1':0.0},(44,122):{'3_1':0.0},(44,121):{'3_1':0.0},(44,112):{'3_1':0.0},(44,111):{'3_1':0.0},(45,459):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(45,458):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(45,457):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0},(45,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(45,455):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_2':0.0},(45,454):{'3_1':0.9,'8_20|3_1#3_1':0.0},(45,453):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0},(45,452):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_19':0.0},(45,451):{'3_1':0.9,'8_20|3_1#3_1':0.0,'7_1':0.0},(45,450):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(45,449):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(45,448):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'8_10':0.0,'-3':0.0},(45,447):{'3_1':0.9,'5_1':0.03,'8_20|3_1#3_1':0.0,'8_2':0.0},(45,446):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(45,445):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_2':0.0},(45,444):{'3_1':0.9,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'-3':0.0},(45,443):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(45,442):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(45,441):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0},(45,440):{'3_1':0.87,'-3':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(45,439):{'3_1':0.9,'8_20|3_1#3_1':0.03,'7_3':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(45,438):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0},(45,437):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(45,436):{'3_1':0.81,'8_20|3_1#3_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(45,435):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0},(45,434):{'3_1':0.84,'8_20|3_1#3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(45,433):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(45,432):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0},(45,431):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(45,430):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(45,429):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(45,428):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.0,'7_5':0.0},(45,427):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(45,426):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_2':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'9_1':0.0},(45,425):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(45,424):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(45,423):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(45,422):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0},(45,421):{'3_1':0.81,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(45,420):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(45,419):{'3_1':0.81,'5_1':0.06,'8_20|3_1#3_1':0.03,'8_2':0.0,'7_5':0.0},(45,418):{'3_1':0.72,'5_1':0.09,'8_20|3_1#3_1':0.03,'8_2':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(45,417):{'3_1':0.78,'5_1':0.09,'8_2':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0},(45,416):{'3_1':0.75,'5_1':0.09,'8_2':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(45,415):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(45,414):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(45,413):{'3_1':0.72,'5_1':0.09,'8_2':0.03,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(45,412):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_1':0.0,'-3':0.0},(45,411):{'3_1':0.69,'5_1':0.15,'5_2':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_1':0.0},(45,410):{'3_1':0.75,'5_1':0.03,'8_2':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0,'-3':0.0},(45,409):{'3_1':0.72,'5_1':0.06,'6_2':0.03,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0},(45,408):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(45,407):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'-3':0.0,'5_2':0.0},(45,406):{'3_1':0.81,'5_2':0.0,'7_3':0.0,'8_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(45,405):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(45,404):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(45,403):{'3_1':0.87,'5_1':0.06,'6_3':0.0,'8_20|3_1#3_1':0.0},(45,402):{'3_1':0.84,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0},(45,401):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0},(45,400):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0},(45,399):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(45,398):{'3_1':0.87,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(45,397):{'3_1':0.81,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0},(45,396):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(45,395):{'3_1':0.75,'5_1':0.06,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_6':0.0},(45,394):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(45,393):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(45,392):{'3_1':0.69,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(45,391):{'3_1':0.72,'5_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0},(45,390):{'3_1':0.6,'5_1':0.06,'4_1':0.03,'5_2':0.03},(45,389):{'3_1':0.51,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(45,388):{'3_1':0.51,'5_1':0.09,'4_1':0.0},(45,387):{'3_1':0.36,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(45,386):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(45,385):{'3_1':0.21,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(45,384):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(45,383):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(45,382):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(45,381):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(45,380):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(45,379):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(45,378):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(45,377):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(45,376):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(45,375):{'3_1':0.03,'4_1':0.03},(45,374):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(45,373):{'3_1':0.03,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(45,372):{'3_1':0.0},(45,371):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(45,370):{'3_1':0.03},(45,369):{'3_1':0.03,'5_2':0.0},(45,368):{'3_1':0.0,'8_20|3_1#3_1':0.0},(45,367):{'3_1':0.03,'4_1':0.0},(45,366):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(45,365):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(45,364):{'3_1':0.03,'4_1':0.0},(45,363):{'3_1':0.06},(45,362):{'3_1':0.0,'6_3':0.0},(45,361):{'3_1':0.0},(45,360):{'3_1':0.03},(45,359):{'3_1':0.03},(45,358):{'3_1':0.06},(45,357):{'3_1':0.03,'4_1':0.0},(45,356):{'3_1':0.03,'4_1':0.0},(45,355):{'3_1':0.0},(45,354):{'3_1':0.03},(45,353):{'3_1':0.09},(45,352):{'3_1':0.03},(45,351):{'4_1':0.0},(45,350):{'4_1':0.0},(45,349):{'3_1':0.0,'6_2':0.0},(45,348):{'3_1':0.03},(45,347):{'3_1':0.0,'4_1':0.0},(45,346):{'3_1':0.0},(45,345):{'3_1':0.0,'5_2':0.0},(45,344):{'3_1':0.03},(45,343):{'3_1':0.0,'4_1':0.0},(45,342):{'3_1':0.0,'5_2':0.0},(45,341):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(45,340):{'3_1':0.03,'4_1':0.0},(45,339):{'3_1':0.03},(45,338):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(45,337):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(45,336):{'3_1':0.03,'5_2':0.0},(45,335):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(45,334):{'3_1':0.06,'6_1':0.0},(45,333):{'3_1':0.03,'6_2':0.0},(45,332):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(45,331):{'3_1':0.0},(45,330):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(45,329):{'3_1':0.0,'5_2':0.0},(45,328):{'3_1':0.0},(45,327):{'3_1':0.03},(45,326):{'3_1':0.0},(45,325):{'3_1':0.0},(45,323):{'3_1':0.0},(45,322):{'3_1':0.0},(45,321):{'3_1':0.0},(45,320):{'3_1':0.03},(45,319):{'3_1':0.0},(45,317):{'3_1':0.0},(45,316):{'3_1':0.0,'4_1':0.0},(45,315):{'3_1':0.0},(45,314):{'3_1':0.03},(45,313):{'3_1':0.0,'5_2':0.0},(45,312):{'3_1':0.03,'5_2':0.0},(45,311):{'3_1':0.03},(45,310):{'3_1':0.0},(45,309):{'3_1':0.0},(45,308):{'3_1':0.0,'5_2':0.0},(45,307):{'3_1':0.03,'4_1':0.0},(45,306):{'3_1':0.0},(45,305):{'3_1':0.03},(45,304):{'3_1':0.0},(45,303):{'3_1':0.0},(45,302):{'3_1':0.06,'4_1':0.0},(45,301):{'3_1':0.03},(45,300):{'3_1':0.0},(45,299):{'3_1':0.03},(45,298):{'3_1':0.03},(45,297):{'3_1':0.0},(45,296):{'3_1':0.03},(45,295):{'5_2':0.0},(45,294):{'3_1':0.0},(45,293):{'3_1':0.0},(45,291):{'3_1':0.0,'5_2':0.0},(45,290):{'3_1':0.03},(45,289):{'3_1':0.0},(45,288):{'3_1':0.0},(45,287):{'3_1':0.0},(45,286):{'3_1':0.0},(45,284):{'3_1':0.0},(45,283):{'3_1':0.0,'5_2':0.0},(45,282):{'3_1':0.0},(45,281):{'3_1':0.03},(45,280):{'3_1':0.0,'4_1':0.0},(45,279):{'3_1':0.03},(45,278):{'3_1':0.03},(45,277):{'3_1':0.0},(45,276):{'3_1':0.0},(45,275):{'3_1':0.0},(45,273):{'3_1':0.0},(45,272):{'3_1':0.0},(45,271):{'3_1':0.0},(45,270):{'3_1':0.0},(45,269):{'3_1':0.03},(45,268):{'3_1':0.0},(45,267):{'3_1':0.03,'8_20|3_1#3_1':0.0},(45,266):{'3_1':0.0,'4_1':0.0},(45,265):{'3_1':0.0,'4_1':0.0},(45,264):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(45,263):{'3_1':0.03},(45,262):{'3_1':0.03},(45,261):{'3_1':0.03},(45,260):{'3_1':0.03},(45,259):{'3_1':0.03,'5_2':0.0},(45,258):{'3_1':0.0},(45,257):{'3_1':0.06},(45,256):{'3_1':0.03,'6_3':0.0},(45,255):{'3_1':0.0},(45,254):{'3_1':0.0,'5_1':0.0},(45,253):{'3_1':0.0},(45,252):{'3_1':0.03},(45,251):{'3_1':0.0},(45,249):{'3_1':0.0,'5_2':0.0},(45,248):{'3_1':0.0},(45,247):{'3_1':0.0,'6_1':0.0},(45,246):{'3_1':0.0},(45,245):{'3_1':0.0},(45,244):{'3_1':0.03},(45,243):{'3_1':0.0},(45,242):{'3_1':0.03},(45,241):{'3_1':0.0},(45,239):{'3_1':0.0,'5_2':0.0},(45,238):{'3_1':0.0,'5_2':0.0},(45,237):{'3_1':0.0},(45,236):{'3_1':0.03},(45,235):{'3_1':0.0,'5_2':0.0},(45,234):{'3_1':0.03},(45,233):{'3_1':0.0},(45,232):{'3_1':0.03},(45,231):{'3_1':0.0},(45,230):{'3_1':0.0,'4_1':0.0},(45,229):{'3_1':0.0},(45,227):{'3_1':0.03},(45,226):{'3_1':0.0},(45,225):{'3_1':0.0},(45,224):{'3_1':0.03},(45,223):{'3_1':0.03},(45,222):{'3_1':0.03},(45,221):{'3_1':0.0},(45,220):{'3_1':0.03},(45,219):{'3_1':0.0},(45,217):{'3_1':0.0},(45,216):{'3_1':0.0},(45,215):{'3_1':0.0},(45,214):{'6_3':0.0},(45,213):{'3_1':0.0,'6_3':0.0},(45,212):{'3_1':0.0},(45,211):{'3_1':0.0},(45,210):{'3_1':0.0},(45,209):{'3_1':0.06},(45,208):{'3_1':0.03,'6_3':0.0},(45,207):{'3_1':0.03},(45,206):{'3_1':0.0,'5_2':0.0},(45,205):{'3_1':0.0},(45,204):{'3_1':0.0},(45,203):{'3_1':0.0},(45,202):{'3_1':0.0},(45,201):{'3_1':0.0},(45,200):{'3_1':0.0},(45,199):{'3_1':0.03},(45,198):{'3_1':0.0},(45,197):{'3_1':0.0},(45,196):{'3_1':0.03},(45,195):{'3_1':0.0},(45,194):{'3_1':0.0,'4_1':0.0},(45,193):{'3_1':0.0},(45,192):{'3_1':0.0},(45,191):{'3_1':0.0},(45,190):{'3_1':0.0},(45,189):{'3_1':0.0},(45,188):{'3_1':0.0},(45,187):{'3_1':0.0},(45,186):{'3_1':0.0},(45,185):{'3_1':0.03},(45,184):{'3_1':0.03},(45,183):{'3_1':0.06},(45,182):{'3_1':0.03},(45,181):{'3_1':0.06},(45,179):{'3_1':0.0},(45,178):{'3_1':0.0},(45,177):{'3_1':0.0},(45,176):{'3_1':0.03},(45,175):{'3_1':0.0},(45,174):{'3_1':0.0},(45,173):{'3_1':0.03},(45,171):{'3_1':0.0},(45,170):{'3_1':0.0},(45,169):{'3_1':0.0},(45,168):{'3_1':0.0},(45,167):{'3_1':0.0},(45,166):{'3_1':0.0},(45,165):{'3_1':0.0},(45,164):{'3_1':0.0},(45,162):{'3_1':0.0},(45,161):{'3_1':0.0},(45,160):{'3_1':0.0},(45,156):{'3_1':0.0},(45,151):{'3_1':0.0},(45,150):{'3_1':0.0},(45,149):{'3_1':0.0},(45,147):{'3_1':0.0},(45,146):{'3_1':0.0},(45,145):{'3_1':0.03},(45,144):{'3_1':0.0},(45,143):{'3_1':0.0},(45,142):{'3_1':0.0},(45,141):{'3_1':0.0},(45,140):{'3_1':0.0},(45,136):{'3_1':0.0},(45,135):{'3_1':0.0},(45,133):{'3_1':0.0},(45,132):{'3_1':0.0},(45,130):{'3_1':0.0},(45,129):{'3_1':0.0},(45,125):{'3_1':0.0},(45,124):{'3_1':0.0},(45,123):{'3_1':0.0},(45,122):{'3_1':0.0},(45,110):{'3_1':0.0},(45,109):{'3_1':0.0},(46,459):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'-3':0.0},(46,458):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(46,457):{'3_1':0.9,'8_20|3_1#3_1':0.0},(46,456):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(46,455):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(46,454):{'3_1':0.9,'6_2':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(46,453):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0},(46,452):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(46,451):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(46,450):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(46,449):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0},(46,448):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(46,447):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0},(46,446):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(46,445):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(46,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(46,443):{'3_1':0.9,'5_1':0.0},(46,442):{'3_1':0.9,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(46,441):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0,'8_2':0.0},(46,440):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0},(46,439):{'3_1':0.9,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0},(46,438):{'3_1':0.81,'8_20|3_1#3_1':0.06,'5_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(46,437):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'8_18':0.0,'8_20|3_1#3_1':0.0},(46,436):{'3_1':0.9,'5_1':0.03,'7_3':0.0,'-3':0.0},(46,435):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(46,434):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(46,433):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_18':0.0},(46,432):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(46,431):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(46,430):{'3_1':0.84,'5_2':0.0,'7_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(46,429):{'3_1':0.87,'8_20|3_1#3_1':0.03,'7_3':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_18':0.0},(46,428):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(46,427):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'8_18':0.0,'-3':0.0},(46,426):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_18':0.0,'8_20|3_1#3_1':0.0},(46,425):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(46,424):{'3_1':0.84,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_2':0.0},(46,423):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(46,422):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(46,421):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'-3':0.0},(46,420):{'3_1':0.81,'5_1':0.03,'7_3':0.03,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(46,419):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'7_3':0.0,'6_2':0.0},(46,418):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(46,417):{'3_1':0.78,'5_1':0.09,'8_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0},(46,416):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(46,415):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_2':0.0,'7_5':0.0,'-3':0.0},(46,414):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(46,413):{'3_1':0.75,'5_1':0.12,'8_2':0.0,'5_2':0.0,'-3':0.0},(46,412):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(46,411):{'3_1':0.69,'5_1':0.09,'8_2':0.03,'5_2':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(46,410):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(46,409):{'3_1':0.72,'5_1':0.09,'5_2':0.06,'6_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0},(46,408):{'3_1':0.69,'5_1':0.15,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(46,407):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'7_3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(46,406):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_2':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(46,405):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(46,404):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0},(46,403):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(46,402):{'3_1':0.87,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(46,401):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(46,400):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(46,399):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(46,398):{'3_1':0.81,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(46,397):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(46,396):{'3_1':0.81,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(46,395):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0},(46,394):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(46,393):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(46,392):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_5':0.0},(46,391):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(46,390):{'3_1':0.63,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(46,389):{'3_1':0.6,'6_2':0.03,'5_1':0.0,'5_2':0.0},(46,388):{'3_1':0.48,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(46,387):{'3_1':0.36,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(46,386):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(46,385):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(46,384):{'3_1':0.06,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0},(46,383):{'3_1':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'8_10':0.0},(46,382):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(46,381):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(46,380):{'3_1':0.06,'5_1':0.03,'6_2':0.0,'7_6':0.0},(46,379):{'3_1':0.06,'4_1':0.0},(46,378):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(46,377):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(46,376):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(46,375):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(46,374):{'3_1':0.06,'4_1':0.0},(46,373):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(46,372):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(46,371):{'3_1':0.03,'6_3':0.0},(46,370):{'3_1':0.0,'5_2':0.0,'8_9':0.0},(46,369):{'3_1':0.0,'5_2':0.0},(46,368):{'3_1':0.0,'6_1':0.0},(46,367):{'3_1':0.03,'8_9':0.0},(46,366):{'3_1':0.03,'5_2':0.0},(46,365):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(46,364):{'3_1':0.0,'4_1':0.0},(46,363):{'3_1':0.0,'4_1':0.0},(46,362):{'3_1':0.03,'5_2':0.0},(46,361):{'3_1':0.0},(46,360):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(46,359):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(46,358):{'3_1':0.0,'5_2':0.0},(46,357):{'3_1':0.03,'5_2':0.0},(46,356):{'3_1':0.0,'5_2':0.0},(46,355):{'3_1':0.0},(46,354):{'3_1':0.0},(46,353):{'3_1':0.06,'4_1':0.0},(46,352):{'3_1':0.0},(46,351):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(46,350):{'3_1':0.03},(46,349):{'3_1':0.0,'5_2':0.0},(46,348):{'3_1':0.0},(46,347):{'3_1':0.0,'5_2':0.0},(46,346):{'3_1':0.0},(46,345):{'3_1':0.03,'4_1':0.0},(46,344):{'3_1':0.0},(46,343):{'3_1':0.03,'4_1':0.0},(46,342):{'3_1':0.06,'4_1':0.0},(46,341):{'3_1':0.0,'4_1':0.0},(46,340):{'3_1':0.03},(46,339):{'3_1':0.03,'4_1':0.0},(46,338):{'3_1':0.06},(46,337):{'3_1':0.0,'5_2':0.0},(46,336):{'3_1':0.03},(46,335):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(46,334):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(46,333):{'3_1':0.03},(46,332):{'3_1':0.03},(46,331):{'3_1':0.0,'5_1':0.0},(46,330):{'3_1':0.03,'5_2':0.0},(46,329):{'3_1':0.03},(46,328):{'3_1':0.0},(46,327):{'5_2':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0},(46,326):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(46,325):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(46,324):{'3_1':0.0},(46,323):{'3_1':0.0,'4_1':0.0},(46,322):{'3_1':0.0},(46,321):{'3_1':0.0},(46,320):{'3_1':0.0},(46,319):{'3_1':0.0},(46,318):{'3_1':0.0},(46,317):{'3_1':0.0,'5_2':0.0},(46,316):{'4_1':0.0,'3_1':0.0},(46,315):{'3_1':0.0},(46,314):{'3_1':0.03,'5_2':0.0},(46,313):{'3_1':0.0},(46,312):{'3_1':0.0},(46,311):{'3_1':0.03},(46,310):{'3_1':0.0},(46,309):{'3_1':0.0,'4_1':0.0},(46,308):{'4_1':0.0,'3_1':0.0},(46,307):{'3_1':0.03},(46,306):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(46,305):{'3_1':0.0,'4_1':0.0},(46,304):{'3_1':0.0},(46,303):{'3_1':0.03},(46,302):{'3_1':0.0},(46,301):{'3_1':0.0,'4_1':0.0},(46,300):{'3_1':0.03},(46,299):{'3_1':0.03},(46,298):{'3_1':0.0},(46,297):{'3_1':0.03},(46,296):{'3_1':0.0},(46,295):{'3_1':0.0},(46,294):{'3_1':0.0},(46,293):{'3_1':0.03},(46,292):{'3_1':0.03,'6_2':0.0},(46,291):{'3_1':0.03},(46,290):{'4_1':0.0},(46,289):{'3_1':0.0},(46,288):{'3_1':0.0,'4_1':0.0},(46,287):{'3_1':0.0,'4_1':0.0},(46,286):{'3_1':0.03,'4_1':0.0},(46,285):{'3_1':0.03},(46,284):{'3_1':0.03},(46,283):{'3_1':0.0},(46,282):{'3_1':0.0},(46,281):{'3_1':0.0,'6_1':0.0},(46,280):{'3_1':0.0,'4_1':0.0},(46,279):{'3_1':0.0},(46,278):{'3_1':0.0,'4_1':0.0},(46,277):{'3_1':0.03},(46,275):{'3_1':0.0},(46,274):{'3_1':0.0},(46,273):{'3_1':0.0},(46,272):{'3_1':0.0},(46,271):{'3_1':0.0},(46,270):{'3_1':0.0},(46,269):{'3_1':0.0,'6_3':0.0},(46,268):{'3_1':0.0},(46,267):{'3_1':0.03},(46,266):{'3_1':0.03},(46,265):{'3_1':0.0},(46,264):{'3_1':0.0},(46,263):{'3_1':0.06,'5_2':0.0},(46,262):{'3_1':0.03},(46,261):{'3_1':0.03},(46,260):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(46,259):{'3_1':0.06,'5_2':0.0},(46,258):{'3_1':0.0},(46,257):{'3_1':0.03},(46,256):{'3_1':0.03},(46,255):{'3_1':0.0},(46,254):{'3_1':0.0},(46,253):{'3_1':0.0},(46,252):{'3_1':0.0,'4_1':0.0},(46,251):{'3_1':0.0},(46,250):{'3_1':0.0},(46,249):{'3_1':0.0,'4_1':0.0},(46,248):{'3_1':0.0,'4_1':0.0},(46,247):{'3_1':0.0},(46,246):{'3_1':0.0},(46,245):{'3_1':0.03},(46,244):{'3_1':0.0},(46,243):{'4_1':0.0},(46,242):{'3_1':0.03},(46,241):{'3_1':0.0},(46,240):{'3_1':0.03},(46,239):{'3_1':0.0},(46,237):{'3_1':0.0},(46,236):{'3_1':0.0},(46,235):{'3_1':0.0},(46,234):{'3_1':0.03},(46,233):{'3_1':0.03,'4_1':0.0},(46,232):{'3_1':0.0},(46,231):{'3_1':0.03},(46,230):{'3_1':0.0},(46,229):{'3_1':0.0},(46,228):{'3_1':0.0},(46,227):{'3_1':0.03},(46,226):{'3_1':0.0},(46,225):{'3_1':0.0,'4_1':0.0},(46,224):{'3_1':0.0},(46,223):{'3_1':0.03},(46,222):{'3_1':0.03,'4_1':0.0},(46,221):{'3_1':0.0},(46,220):{'3_1':0.0},(46,219):{'3_1':0.0},(46,218):{'3_1':0.0},(46,217):{'3_1':0.0},(46,216):{'3_1':0.0},(46,215):{'3_1':0.0},(46,214):{'3_1':0.0},(46,213):{'3_1':0.0},(46,212):{'3_1':0.0},(46,211):{'3_1':0.0},(46,210):{'3_1':0.03,'6_3':0.0},(46,209):{'3_1':0.0},(46,208):{'3_1':0.03},(46,207):{'3_1':0.0},(46,206):{'3_1':0.0},(46,205):{'3_1':0.0},(46,204):{'5_2':0.0},(46,202):{'3_1':0.0,'5_2':0.0},(46,201):{'3_1':0.0},(46,200):{'3_1':0.03},(46,199):{'3_1':0.0},(46,198):{'3_1':0.0},(46,197):{'3_1':0.0},(46,196):{'3_1':0.0},(46,195):{'3_1':0.0},(46,194):{'3_1':0.0},(46,193):{'3_1':0.0},(46,191):{'3_1':0.0},(46,190):{'3_1':0.03},(46,188):{'3_1':0.03},(46,187):{'3_1':0.03},(46,186):{'3_1':0.0},(46,185):{'3_1':0.0},(46,184):{'3_1':0.03},(46,183):{'3_1':0.03},(46,182):{'3_1':0.0},(46,181):{'3_1':0.0},(46,180):{'3_1':0.0},(46,179):{'3_1':0.03},(46,178):{'3_1':0.03},(46,177):{'3_1':0.03},(46,176):{'3_1':0.03},(46,175):{'3_1':0.0},(46,174):{'3_1':0.0},(46,173):{'3_1':0.0},(46,172):{'3_1':0.0},(46,171):{'3_1':0.03},(46,170):{'3_1':0.0},(46,169):{'3_1':0.0},(46,168):{'3_1':0.0},(46,167):{'3_1':0.03},(46,166):{'3_1':0.03},(46,162):{'3_1':0.0},(46,161):{'3_1':0.0},(46,160):{'3_1':0.03},(46,159):{'3_1':0.0},(46,158):{'3_1':0.0},(46,156):{'3_1':0.0},(46,155):{'3_1':0.0},(46,153):{'3_1':0.0},(46,152):{'3_1':0.0},(46,150):{'3_1':0.0},(46,149):{'3_1':0.0},(46,148):{'3_1':0.0},(46,147):{'3_1':0.0},(46,145):{'3_1':0.03},(46,144):{'3_1':0.0},(46,143):{'3_1':0.0},(46,142):{'3_1':0.0},(46,140):{'3_1':0.0},(46,136):{'3_1':0.0},(46,134):{'3_1':0.0},(46,132):{'3_1':0.0},(46,130):{'3_1':0.0},(46,129):{'3_1':0.0},(46,128):{'3_1':0.0},(46,113):{'3_1':0.0},(46,111):{'3_1':0.0},(46,109):{'3_1':0.0},(46,104):{'3_1':0.0},(47,459):{'3_1':0.9,'5_1':0.0},(47,458):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'6_3':0.0},(47,457):{'3_1':0.9,'5_2':0.0,'6_2':0.0},(47,456):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(47,455):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(47,454):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(47,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(47,452):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(47,451):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0},(47,450):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(47,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0},(47,448):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0},(47,447):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(47,446):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(47,445):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0},(47,444):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(47,443):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0},(47,442):{'3_1':0.84,'5_2':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'5_1':0.0},(47,441):{'3_1':0.9,'8_20|3_1#3_1':0.0,'7_3':0.0},(47,440):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(47,439):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'6_2':0.0},(47,438):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(47,437):{'3_1':0.9,'7_3':0.0,'5_2':0.0,'6_2':0.0},(47,436):{'3_1':0.78,'8_20|3_1#3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(47,435):{'3_1':0.87,'8_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(47,434):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(47,433):{'3_1':0.87,'7_5':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(47,432):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0},(47,431):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'9_1':0.0,'-3':0.0},(47,430):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'-3':0.0,'8_20|3_1#3_1':0.0},(47,429):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'7_3':0.0,'1':-0.03},(47,428):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(47,427):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(47,426):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(47,425):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_1':0.0,'-3':0.0},(47,424):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(47,423):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(47,422):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(47,421):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(47,420):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(47,419):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'8_2':0.0},(47,418):{'3_1':0.75,'5_1':0.06,'5_2':0.06,'6_2':0.0,'8_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(47,417):{'3_1':0.72,'5_1':0.09,'8_2':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'-3':0.0,'5_2':0.0},(47,416):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(47,415):{'3_1':0.78,'5_1':0.09,'8_2':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0},(47,414):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'-3':0.0,'8_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(47,413):{'3_1':0.69,'5_1':0.15,'8_2':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(47,412):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(47,411):{'3_1':0.6,'5_1':0.18,'5_2':0.06,'8_2':0.03,'-3':0.0,'7_3':0.0,'7_5':0.0},(47,410):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(47,409):{'3_1':0.6,'5_1':0.21,'5_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0},(47,408):{'3_1':0.69,'5_1':0.09,'6_2':0.06,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0},(47,407):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.03,'7_3':0.0,'7_5':0.0,'8_2':0.0,'3_1#5_1':0.0},(47,406):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0,'6_3':0.0,'8_2':0.0},(47,405):{'3_1':0.84,'5_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(47,404):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(47,403):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0},(47,402):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(47,401):{'3_1':0.84,'6_2':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(47,400):{'3_1':0.84,'5_1':0.03,'6_1':0.0,'8_2':0.0},(47,399):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(47,398):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(47,397):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(47,396):{'3_1':0.81,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0},(47,395):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_6':0.0},(47,394):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(47,393):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(47,392):{'3_1':0.72,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(47,391):{'3_1':0.66,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(47,390):{'3_1':0.6,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_2':0.0},(47,389):{'3_1':0.48,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(47,388):{'3_1':0.42,'5_2':0.03,'6_2':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0},(47,387):{'3_1':0.45,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(47,386):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(47,385):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(47,384):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(47,383):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(47,382):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(47,381):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(47,380):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(47,379):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'3_1#5_1':0.0},(47,378):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_6':0.0},(47,377):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(47,376):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(47,375):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(47,374):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(47,373):{'3_1':0.06,'4_1':0.03},(47,372):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(47,371):{'3_1':0.03},(47,370):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(47,369):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(47,368):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_18':0.0},(47,367):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(47,366):{'3_1':0.06,'5_2':0.0},(47,365):{'3_1':0.03,'5_2':0.0},(47,364):{'3_1':0.03},(47,363):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(47,362):{'3_1':0.03,'6_3':0.0},(47,361):{'3_1':0.0,'4_1':0.0},(47,360):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(47,359):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(47,358):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(47,357):{'3_1':0.0,'6_3':0.0},(47,356):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(47,355):{'5_2':0.03,'3_1':0.0,'6_3':0.0},(47,354):{'3_1':0.06},(47,353):{'3_1':0.03,'4_1':0.0},(47,352):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(47,351):{'3_1':0.0},(47,350):{'3_1':0.0,'4_1':0.0},(47,349):{'3_1':0.0},(47,348):{'3_1':0.0,'4_1':0.0},(47,347):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(47,346):{'3_1':0.03},(47,345):{'3_1':0.0},(47,344):{'3_1':0.0},(47,343):{'3_1':0.0},(47,342):{'3_1':0.03,'5_1':0.0},(47,341):{'3_1':0.03},(47,340):{'3_1':0.0,'5_1':0.0},(47,339):{'3_1':0.0,'6_1':0.0,'5_1':0.0},(47,338):{'3_1':0.03,'4_1':0.0},(47,337):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(47,336):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(47,335):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0},(47,334):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(47,333):{'3_1':0.06,'4_1':0.0},(47,332):{'3_1':0.0,'5_2':0.0},(47,331):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_11':0.0},(47,330):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(47,329):{'3_1':0.03,'4_1':0.0},(47,328):{'3_1':0.03,'6_1':0.0},(47,327):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(47,326):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(47,325):{'3_1':0.03},(47,324):{'3_1':0.03,'5_2':0.0},(47,323):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(47,322):{'3_1':0.03,'4_1':0.0},(47,321):{'3_1':0.03},(47,320):{'3_1':0.0,'5_2':0.0},(47,319):{'3_1':0.06},(47,318):{'3_1':0.0,'5_2':0.0},(47,317):{'3_1':0.0,'4_1':0.0},(47,316):{'3_1':0.0},(47,315):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(47,314):{'3_1':0.03,'8_13':0.0},(47,313):{'3_1':0.03},(47,312):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(47,311):{'3_1':0.03,'5_2':0.0},(47,310):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(47,309):{'3_1':0.0,'6_1':0.0},(47,308):{'3_1':0.0,'5_2':0.0},(47,307):{'3_1':0.0,'6_3':0.0},(47,306):{'3_1':0.0,'6_3':0.0},(47,305):{'3_1':0.03,'4_1':0.0},(47,304):{'3_1':0.0},(47,303):{'3_1':0.0,'4_1':0.0},(47,302):{'3_1':0.06,'5_2':0.0},(47,301):{'3_1':0.0,'4_1':0.0},(47,300):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(47,299):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(47,298):{'5_2':0.0,'4_1':0.0},(47,297):{'3_1':0.03},(47,296):{'3_1':0.0},(47,295):{'3_1':0.0,'4_1':0.0},(47,294):{'3_1':0.03,'4_1':0.0},(47,293):{'3_1':0.03},(47,291):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(47,290):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(47,289):{'3_1':0.03},(47,288):{'3_1':0.03,'4_1':0.0},(47,287):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(47,285):{'3_1':0.03},(47,284):{'3_1':0.0},(47,283):{'3_1':0.0,'5_2':0.0},(47,282):{'3_1':0.0,'5_2':0.0},(47,281):{'3_1':0.0,'5_2':0.0},(47,280):{'3_1':0.0,'4_1':0.0},(47,279):{'3_1':0.0,'5_2':0.0},(47,278):{'3_1':0.03},(47,277):{'3_1':0.0},(47,276):{'3_1':0.0},(47,275):{'3_1':0.0,'5_2':0.0},(47,274):{'3_1':0.0,'5_2':0.0},(47,273):{'3_1':0.0,'5_2':0.0},(47,272):{'3_1':0.03},(47,271):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(47,270):{'3_1':0.0},(47,269):{'3_1':0.03},(47,268):{'3_1':0.06,'5_2':0.0},(47,267):{'3_1':0.03,'5_2':0.0},(47,266):{'3_1':0.03,'5_2':0.0},(47,265):{'3_1':0.03},(47,264):{'3_1':0.03,'5_1':0.0},(47,263):{'3_1':0.03,'5_2':0.0},(47,262):{'3_1':0.03,'4_1':0.0},(47,261):{'3_1':0.03},(47,260):{'3_1':0.03},(47,259):{'3_1':0.0},(47,258):{'3_1':0.0},(47,257):{'3_1':0.0,'6_1':0.0},(47,256):{'3_1':0.03},(47,255):{'3_1':0.0,'4_1':0.0},(47,254):{'3_1':0.0,'6_3':0.0},(47,253):{'6_3':0.0},(47,252):{'3_1':0.0,'4_1':0.0},(47,251):{'3_1':0.03},(47,250):{'3_1':0.0,'5_2':0.0},(47,249):{'3_1':0.0,'5_2':0.0},(47,248):{'3_1':0.0},(47,247):{'3_1':0.0},(47,245):{'3_1':0.0},(47,244):{'3_1':0.0,'4_1':0.0},(47,243):{'3_1':0.0},(47,242):{'3_1':0.0,'5_2':0.0},(47,241):{'3_1':0.0,'5_2':0.0},(47,240):{'3_1':0.0},(47,239):{'3_1':0.0},(47,238):{'3_1':0.0,'4_1':0.0},(47,237):{'3_1':0.0},(47,236):{'3_1':0.0,'5_2':0.0},(47,235):{'3_1':0.0,'4_1':0.0},(47,234):{'3_1':0.0},(47,233):{'3_1':0.03,'5_2':0.0},(47,232):{'3_1':0.0,'6_3':0.0},(47,231):{'3_1':0.0,'5_2':0.0},(47,230):{'3_1':0.0},(47,229):{'3_1':0.0},(47,228):{'3_1':0.0},(47,227):{'3_1':0.0},(47,226):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(47,225):{'3_1':0.0},(47,224):{'3_1':0.03},(47,223):{'3_1':0.03},(47,222):{'3_1':0.03,'6_3':0.0},(47,221):{'3_1':0.03},(47,220):{'3_1':0.03},(47,219):{'3_1':0.0},(47,218):{'3_1':0.03,'5_2':0.0},(47,216):{'3_1':0.0,'5_2':0.0},(47,215):{'3_1':0.0},(47,214):{'3_1':0.0},(47,213):{'3_1':0.0,'5_2':0.0},(47,212):{'3_1':0.0},(47,210):{'3_1':0.03},(47,209):{'3_1':0.0},(47,208):{'3_1':0.0,'5_2':0.0},(47,207):{'3_1':0.0,'5_2':0.0},(47,206):{'3_1':0.0},(47,205):{'3_1':0.0},(47,204):{'3_1':0.0},(47,203):{'3_1':0.0},(47,202):{'3_1':0.0},(47,201):{'3_1':0.0},(47,200):{'3_1':0.0},(47,198):{'3_1':0.03},(47,197):{'3_1':0.0},(47,196):{'3_1':0.0},(47,194):{'3_1':0.0},(47,192):{'3_1':0.0},(47,191):{'3_1':0.0},(47,190):{'3_1':0.0},(47,188):{'3_1':0.0},(47,187):{'3_1':0.03},(47,186):{'3_1':0.0},(47,185):{'3_1':0.03},(47,184):{'3_1':0.03},(47,183):{'3_1':0.0},(47,182):{'3_1':0.0},(47,181):{'3_1':0.0},(47,180):{'3_1':0.03},(47,179):{'3_1':0.0},(47,178):{'3_1':0.03},(47,177):{'3_1':0.0},(47,175):{'3_1':0.0},(47,174):{'3_1':0.0},(47,173):{'3_1':0.0},(47,172):{'4_1':0.0},(47,171):{'3_1':0.0},(47,170):{'3_1':0.0},(47,169):{'3_1':0.0},(47,168):{'3_1':0.0},(47,167):{'3_1':0.0},(47,166):{'3_1':0.0},(47,165):{'3_1':0.0},(47,163):{'3_1':0.0},(47,162):{'3_1':0.0},(47,161):{'3_1':0.0},(47,158):{'3_1':0.0},(47,154):{'3_1':0.0},(47,152):{'3_1':0.0},(47,151):{'3_1':0.0},(47,150):{'3_1':0.0},(47,149):{'3_1':0.0},(47,148):{'3_1':0.0},(47,146):{'3_1':0.03},(47,145):{'3_1':0.0},(47,144):{'3_1':0.0},(47,142):{'3_1':0.03},(47,141):{'3_1':0.0},(47,140):{'3_1':0.0},(47,139):{'3_1':0.0},(47,136):{'3_1':0.0},(47,134):{'3_1':0.0},(47,129):{'3_1':0.0},(47,128):{'3_1':0.0},(47,112):{'3_1':0.0},(47,111):{'3_1':0.0},(47,105):{'3_1':0.0},(48,459):{'3_1':0.9,'6_3':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(48,458):{'3_1':0.9,'8_20|3_1#3_1':0.06,'6_3':0.0},(48,457):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0},(48,456):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(48,455):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0},(48,454):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(48,453):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(48,452):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(48,451):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(48,450):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(48,449):{'3_1':0.9,'5_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(48,448):{'3_1':0.84,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(48,447):{'3_1':0.84,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0},(48,446):{'3_1':0.9,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0},(48,445):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(48,444):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0},(48,443):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(48,442):{'3_1':0.87,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(48,441):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_1':0.0,'8_11':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(48,440):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(48,439):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0},(48,438):{'3_1':0.87,'7_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(48,437):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(48,436):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(48,435):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(48,434):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0},(48,433):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0},(48,432):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_5':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(48,431):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(48,430):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_3':0.0,'-3':0.0},(48,429):{'3_1':0.87,'5_1':0.03,'3_1#5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(48,428):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0,'-3':0.0},(48,427):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'8_21|3_1#4_1':0.0},(48,426):{'3_1':0.9,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(48,425):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_2':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(48,424):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0,'7_3':0.0},(48,423):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.03,'-3':0.0,'7_3':0.0,'7_5':0.0},(48,422):{'3_1':0.84,'5_1':0.06,'7_1':0.0,'5_2':0.0,'8_2':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(48,421):{'3_1':0.87,'5_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0},(48,420):{'3_1':0.81,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(48,419):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(48,418):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'8_2':0.0},(48,417):{'3_1':0.72,'5_1':0.06,'8_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(48,416):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.03,'7_1':0.0,'7_5':0.0},(48,415):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0},(48,414):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(48,413):{'3_1':0.75,'5_1':0.12,'5_2':0.03,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(48,412):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0},(48,411):{'3_1':0.69,'5_1':0.12,'8_2':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0},(48,410):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(48,409):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_5':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(48,408):{'3_1':0.75,'5_1':0.12,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'8_2':0.0},(48,407):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'5_2':0.0,'8_1':0.0},(48,406):{'3_1':0.78,'5_1':0.06,'-3':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(48,405):{'3_1':0.75,'5_2':0.03,'5_1':0.03,'6_2':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0},(48,404):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(48,403):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0},(48,402):{'3_1':0.87,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(48,401):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0},(48,400):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0,'5_2':0.0},(48,399):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0},(48,398):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0},(48,397):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(48,396):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(48,395):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(48,394):{'3_1':0.81,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(48,393):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0},(48,392):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(48,391):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(48,390):{'3_1':0.51,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(48,389):{'3_1':0.54,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(48,388):{'3_1':0.45,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(48,387):{'3_1':0.33,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(48,386):{'3_1':0.21,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(48,385):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'6_2':0.0},(48,384):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(48,383):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(48,382):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(48,381):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(48,380):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(48,379):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(48,378):{'3_1':0.09,'5_2':0.03},(48,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(48,376):{'3_1':0.06,'4_1':0.0},(48,375):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(48,374):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(48,373):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(48,372):{'3_1':0.09,'4_1':0.0},(48,371):{'3_1':0.03,'8_20|3_1#3_1':0.0},(48,370):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(48,369):{'3_1':0.09,'8_20|3_1#3_1':0.0},(48,368):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(48,367):{'3_1':0.09,'6_2':0.0},(48,366):{'3_1':0.06,'6_2':0.0},(48,365):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(48,364):{'3_1':0.03,'4_1':0.0},(48,363):{'3_1':0.03},(48,362):{'3_1':0.03},(48,361):{'3_1':0.0,'5_2':0.0},(48,360):{'3_1':0.0},(48,359):{'3_1':0.03},(48,358):{'3_1':0.0,'5_2':0.0},(48,357):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(48,356):{'3_1':0.06},(48,355):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(48,354):{'3_1':0.0},(48,353):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(48,352):{'3_1':0.0},(48,351):{'3_1':0.0},(48,350):{'3_1':0.0},(48,349):{'3_1':0.0,'5_2':0.0},(48,348):{'3_1':0.0},(48,347):{'3_1':0.0},(48,346):{'3_1':0.0,'4_1':0.0},(48,345):{'3_1':0.03,'4_1':0.0},(48,344):{'3_1':0.03,'4_1':0.0},(48,343):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(48,342):{'3_1':0.0},(48,341):{'3_1':0.0,'6_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(48,340):{'3_1':0.06},(48,339):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(48,338):{'3_1':0.03,'4_1':0.0},(48,337):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(48,336):{'3_1':0.0,'8_20|3_1#3_1':0.0},(48,335):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(48,334):{'3_1':0.03,'4_1':0.0},(48,333):{'3_1':0.03,'6_1':0.0},(48,332):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(48,331):{'3_1':0.06,'5_2':0.0},(48,330):{'3_1':0.06},(48,329):{'3_1':0.03,'5_2':0.0,'8_1':0.0},(48,328):{'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_2':0.0},(48,327):{'3_1':0.03,'5_2':0.0},(48,326):{'3_1':0.0},(48,325):{'3_1':0.0,'5_2':0.0},(48,324):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(48,323):{'3_1':0.0},(48,322):{'3_1':0.03,'4_1':0.0},(48,321):{'3_1':0.03,'5_2':0.0},(48,320):{'3_1':0.03,'6_1':0.0},(48,319):{'3_1':0.0},(48,318):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(48,317):{'3_1':0.03},(48,316):{'5_1':0.0},(48,315):{'3_1':0.03,'4_1':0.0},(48,314):{'3_1':0.03,'4_1':0.0},(48,313):{'3_1':0.0,'4_1':0.0},(48,312):{'3_1':0.03,'4_1':0.0},(48,311):{'3_1':0.03,'4_1':0.0},(48,310):{'3_1':0.0},(48,309):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(48,308):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(48,307):{'3_1':0.06,'4_1':0.0},(48,306):{'3_1':0.03},(48,305):{'3_1':0.0,'4_1':0.0},(48,304):{'3_1':0.0},(48,303):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(48,302):{'3_1':0.03,'4_1':0.0},(48,301):{'3_1':0.0},(48,300):{'3_1':0.0,'4_1':0.0},(48,299):{'3_1':0.03},(48,298):{'3_1':0.0},(48,297):{'4_1':0.0,'5_2':0.0},(48,296):{'3_1':0.0,'4_1':0.0},(48,295):{'3_1':0.03},(48,294):{'3_1':0.03},(48,293):{'3_1':0.0,'4_1':0.0},(48,292):{'3_1':0.0,'5_2':0.0},(48,291):{'3_1':0.0},(48,290):{'3_1':0.0,'5_2':0.0},(48,289):{'3_1':0.03},(48,288):{'3_1':0.0},(48,287):{'3_1':0.0,'5_2':0.0},(48,286):{'3_1':0.0},(48,285):{'3_1':0.03},(48,284):{'3_1':0.03},(48,283):{'5_2':0.0},(48,282):{'3_1':0.0,'6_3':0.0},(48,281):{'3_1':0.03,'4_1':0.0},(48,280):{'3_1':0.0,'6_3':0.0},(48,279):{'4_1':0.0},(48,278):{'3_1':0.0,'5_2':0.0},(48,277):{'3_1':0.0},(48,276):{'3_1':0.0},(48,275):{'3_1':0.0},(48,274):{'3_1':0.0},(48,272):{'3_1':0.0,'4_1':0.0},(48,271):{'3_1':0.03,'5_2':0.0},(48,270):{'3_1':0.03},(48,269):{'3_1':0.0},(48,268):{'3_1':0.03},(48,267):{'3_1':0.0,'4_1':0.0},(48,266):{'3_1':0.03},(48,265):{'3_1':0.0},(48,264):{'3_1':0.0},(48,263):{'3_1':0.06,'5_2':0.0},(48,262):{'3_1':0.0},(48,261):{'5_2':0.0},(48,260):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(48,259):{'3_1':0.0},(48,258):{'3_1':0.0,'5_2':0.0},(48,257):{'3_1':0.0},(48,256):{'3_1':0.0},(48,255):{'3_1':0.0},(48,254):{'3_1':0.0},(48,253):{'5_2':0.0},(48,252):{'3_1':0.0},(48,251):{'3_1':0.03},(48,250):{'3_1':0.0},(48,249):{'3_1':0.0},(48,248):{'3_1':0.0,'5_2':0.0},(48,247):{'3_1':0.0},(48,246):{'3_1':0.0},(48,245):{'3_1':0.0},(48,244):{'3_1':0.03,'4_1':0.0},(48,243):{'3_1':0.0},(48,242):{'3_1':0.0},(48,241):{'3_1':0.0},(48,240):{'3_1':0.0},(48,239):{'3_1':0.0},(48,238):{'3_1':0.0},(48,237):{'3_1':0.0,'5_2':0.0},(48,236):{'3_1':0.0,'4_1':0.0},(48,235):{'3_1':0.0},(48,234):{'3_1':0.0},(48,233):{'3_1':0.0},(48,232):{'3_1':0.0},(48,231):{'3_1':0.0},(48,230):{'3_1':0.03},(48,229):{'3_1':0.03},(48,228):{'3_1':0.0},(48,227):{'3_1':0.03},(48,224):{'3_1':0.0},(48,223):{'3_1':0.0},(48,222):{'3_1':0.03},(48,221):{'3_1':0.0},(48,220):{'3_1':0.03},(48,219):{'3_1':0.0},(48,218):{'3_1':0.0},(48,217):{'3_1':0.03},(48,216):{'3_1':0.0,'5_2':0.0},(48,215):{'3_1':0.0},(48,214):{'3_1':0.0},(48,213):{'3_1':0.0},(48,212):{'3_1':0.03},(48,211):{'3_1':0.0},(48,210):{'3_1':0.0},(48,209):{'3_1':0.06},(48,208):{'3_1':0.0},(48,207):{'3_1':0.0},(48,206):{'3_1':0.03},(48,205):{'3_1':0.0},(48,204):{'3_1':0.0},(48,203):{'3_1':0.0},(48,201):{'3_1':0.0},(48,200):{'3_1':0.0},(48,199):{'3_1':0.0},(48,198):{'3_1':0.0},(48,197):{'3_1':0.0},(48,196):{'3_1':0.0},(48,195):{'4_1':0.0},(48,194):{'3_1':0.0,'6_2':0.0},(48,193):{'3_1':0.0},(48,192):{'3_1':0.0},(48,191):{'3_1':0.0},(48,188):{'3_1':0.0},(48,187):{'3_1':0.03},(48,185):{'3_1':0.0},(48,184):{'3_1':0.03},(48,183):{'3_1':0.0},(48,181):{'3_1':0.0},(48,180):{'3_1':0.0},(48,179):{'3_1':0.0},(48,177):{'3_1':0.0},(48,176):{'3_1':0.0},(48,174):{'3_1':0.0},(48,173):{'3_1':0.06},(48,172):{'3_1':0.0},(48,171):{'3_1':0.0},(48,169):{'3_1':0.0},(48,167):{'3_1':0.0},(48,165):{'3_1':0.0},(48,160):{'3_1':0.0},(48,159):{'3_1':0.0},(48,158):{'3_1':0.0},(48,157):{'3_1':0.0},(48,156):{'3_1':0.0},(48,155):{'3_1':0.0},(48,154):{'3_1':0.0},(48,153):{'5_2':0.0},(48,150):{'3_1':0.03},(48,148):{'3_1':0.0},(48,147):{'3_1':0.0},(48,146):{'3_1':0.0},(48,141):{'3_1':0.0},(48,138):{'3_1':0.0},(48,137):{'3_1':0.0},(48,135):{'3_1':0.0},(48,134):{'3_1':0.0},(48,133):{'3_1':0.0},(48,132):{'3_1':0.0},(48,126):{'3_1':0.0},(48,108):{'3_1':0.0},(49,459):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_11':0.0,'-3':0.0},(49,458):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(49,457):{'3_1':0.9,'5_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(49,456):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0},(49,455):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(49,454):{'3_1':0.9,'5_2':0.0,'8_11':0.0,'8_2':0.0},(49,453):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(49,452):{'3_1':0.9,'7_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(49,451):{'3_1':0.9,'8_11':0.0,'6_2':0.0,'6_3':0.0},(49,450):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0},(49,449):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(49,448):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(49,447):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'8_11':0.0},(49,446):{'3_1':0.9,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(49,445):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(49,444):{'3_1':0.9,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(49,443):{'3_1':0.9,'5_2':0.03,'5_1':0.0,'7_1':0.0},(49,442):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(49,441):{'3_1':0.78,'8_20|3_1#3_1':0.06,'5_2':0.0,'7_5':0.0,'5_1':0.0,'8_2':0.0,'-3':0.0},(49,440):{'3_1':0.87,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(49,439):{'3_1':0.87,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0},(49,438):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(49,437):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(49,436):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_5':0.0,'9_1':0.0,'-3':0.0},(49,435):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(49,434):{'3_1':0.9,'5_2':0.03,'8_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(49,433):{'3_1':0.87,'-3':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(49,432):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(49,431):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(49,430):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'7_1':0.0},(49,429):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'6_3':0.0,'8_2':0.0,'-3':0.0},(49,428):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(49,427):{'3_1':0.75,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_1':0.0},(49,426):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(49,425):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0,'-3':0.0},(49,424):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(49,423):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0,'1':-0.03},(49,422):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(49,421):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0},(49,420):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(49,419):{'3_1':0.84,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0},(49,418):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(49,417):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'1':-0.03},(49,416):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_3':0.0,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(49,415):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'5_2':0.03,'8_2':0.0,'-3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(49,414):{'3_1':0.63,'5_1':0.18,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_5':0.0,'8_2':0.0},(49,413):{'3_1':0.66,'5_1':0.12,'8_2':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(49,412):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'6_3':0.0,'-3':0.0},(49,411):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'6_2':0.0,'7_3':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(49,410):{'3_1':0.66,'5_1':0.12,'6_2':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'7_1':0.0,'7_3':0.0},(49,409):{'3_1':0.78,'5_1':0.09,'5_2':0.06,'8_20|3_1#3_1':0.0,'-3':0.0},(49,408):{'3_1':0.72,'5_1':0.06,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_6':0.0},(49,407):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(49,406):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(49,405):{'3_1':0.81,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0},(49,404):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0},(49,403):{'3_1':0.78,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(49,402):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(49,401):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_1':0.0,'-3':0.0},(49,400):{'3_1':0.81,'6_1':0.0,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(49,399):{'3_1':0.81,'5_2':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(49,398):{'3_1':0.75,'4_1':0.0,'5_2':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0},(49,397):{'3_1':0.75,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(49,396):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(49,395):{'3_1':0.75,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0},(49,394):{'3_1':0.69,'5_2':0.03,'6_2':0.0,'7_1':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0},(49,393):{'3_1':0.72,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'-3':0.0},(49,392):{'3_1':0.72,'-3':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(49,391):{'3_1':0.66,'5_1':0.0,'5_2':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(49,390):{'3_1':0.51,'5_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(49,389):{'3_1':0.48,'4_1':0.06,'6_2':0.03,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(49,388):{'3_1':0.36,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(49,387):{'3_1':0.3,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(49,386):{'3_1':0.36,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_2':0.0,'7_5':0.0},(49,385):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(49,384):{'3_1':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(49,383):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(49,382):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(49,381):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(49,380):{'3_1':0.06,'6_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(49,379):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0},(49,378):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_6':0.0,'-3':0.0},(49,377):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(49,376):{'3_1':0.03,'4_1':0.03},(49,375):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(49,374):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(49,373):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(49,372):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(49,371):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(49,370):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(49,369):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(49,368):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(49,367):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(49,366):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(49,365):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(49,364):{'3_1':0.03,'8_20|3_1#3_1':0.0},(49,363):{'3_1':0.03,'6_1':0.0},(49,362):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(49,361):{'4_1':0.0},(49,360):{'3_1':0.0,'8_20|3_1#3_1':0.0},(49,359):{'3_1':0.0,'4_1':0.0},(49,358):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(49,357):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(49,356):{'3_1':0.06,'6_1':0.0},(49,355):{'3_1':0.03,'4_1':0.0},(49,354):{'3_1':0.06,'6_3':0.0},(49,353):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(49,352):{'3_1':0.03},(49,351):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(49,350):{'3_1':0.03,'6_3':0.0},(49,349):{'3_1':0.0,'5_2':0.0},(49,348):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(49,347):{'3_1':0.0,'4_1':0.0},(49,346):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(49,345):{'3_1':0.0,'4_1':0.0},(49,344):{'3_1':0.0,'4_1':0.0},(49,343):{'3_1':0.03,'4_1':0.0},(49,342):{'3_1':0.0},(49,341):{'3_1':0.0},(49,340):{'3_1':0.03,'6_1':0.0},(49,339):{'3_1':0.03,'8_20|3_1#3_1':0.0},(49,338):{'3_1':0.03,'6_2':0.0},(49,337):{'3_1':0.0},(49,336):{'3_1':0.03,'5_2':0.0},(49,335):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0},(49,334):{'3_1':0.0},(49,333):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(49,332):{'3_1':0.0},(49,331):{'3_1':0.03,'6_1':0.0},(49,330):{'3_1':0.03,'6_1':0.0,'6_2':0.0},(49,329):{'3_1':0.03},(49,328):{'3_1':0.03},(49,327):{'3_1':0.0},(49,326):{'3_1':0.0},(49,325):{'3_1':0.06,'6_2':0.0},(49,324):{'3_1':0.03,'4_1':0.0},(49,323):{'3_1':0.03,'4_1':0.0},(49,322):{'3_1':0.0,'4_1':0.0},(49,321):{'3_1':0.03,'6_2':0.0},(49,320):{'3_1':0.03,'8_20|3_1#3_1':0.0},(49,319):{'3_1':0.0,'4_1':0.0},(49,318):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(49,317):{'5_2':0.0,'6_3':0.0},(49,316):{'3_1':0.0,'6_3':0.0},(49,315):{'4_1':0.0,'3_1':0.0},(49,314):{'3_1':0.03},(49,313):{'3_1':0.03,'6_2':0.0},(49,312):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(49,311):{'3_1':0.0,'5_2':0.0},(49,310):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(49,309):{'3_1':0.03,'4_1':0.0},(49,308):{'3_1':0.03,'4_1':0.0},(49,307):{'3_1':0.03,'4_1':0.0},(49,306):{'4_1':0.0},(49,305):{'3_1':0.0},(49,304):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(49,303):{'3_1':0.0,'4_1':0.0},(49,302):{'3_1':0.0,'4_1':0.0,'-3':0.0},(49,301):{'3_1':0.03,'6_3':0.0},(49,300):{'3_1':0.06},(49,299):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(49,298):{'3_1':0.0,'4_1':0.0},(49,297):{'3_1':0.0},(49,296):{'3_1':0.0},(49,295):{'3_1':0.0},(49,294):{'3_1':0.0,'5_2':0.0},(49,293):{'3_1':0.0},(49,292):{'3_1':0.0},(49,291):{'3_1':0.0},(49,290):{'3_1':0.0},(49,289):{'3_1':0.06},(49,288):{'3_1':0.03},(49,287):{'3_1':0.0},(49,286):{'3_1':0.0},(49,285):{'3_1':0.0,'5_2':0.0},(49,284):{'3_1':0.0},(49,283):{'3_1':0.0,'4_1':0.0},(49,282):{'3_1':0.0,'4_1':0.0},(49,281):{'3_1':0.03},(49,279):{'3_1':0.0},(49,278):{'3_1':0.0},(49,277):{'3_1':0.0,'5_2':0.0},(49,276):{'3_1':0.0},(49,275):{'3_1':0.0,'5_2':0.0},(49,274):{'3_1':0.0,'4_1':0.0},(49,273):{'3_1':0.0,'4_1':0.0},(49,272):{'3_1':0.0},(49,271):{'3_1':0.0},(49,270):{'3_1':0.0,'5_2':0.0},(49,269):{'3_1':0.03},(49,268):{'3_1':0.0},(49,267):{'3_1':0.0},(49,266):{'3_1':0.03,'4_1':0.0},(49,265):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(49,264):{'3_1':0.03,'4_1':0.0},(49,263):{'3_1':0.03},(49,262):{'4_1':0.0,'3_1':0.0},(49,261):{'3_1':0.03},(49,260):{'3_1':0.0},(49,259):{'3_1':0.0},(49,258):{'3_1':0.0},(49,257):{'3_1':0.0},(49,256):{'3_1':0.0,'6_3':0.0},(49,255):{'3_1':0.0,'4_1':0.0},(49,254):{'3_1':0.0},(49,253):{'4_1':0.0},(49,252):{'5_2':0.0},(49,251):{'3_1':0.0},(49,250):{'3_1':0.0,'5_2':0.0},(49,249):{'3_1':0.0,'5_2':0.0},(49,248):{'3_1':0.0},(49,247):{'3_1':0.0},(49,246):{'3_1':0.0},(49,245):{'3_1':0.0},(49,244):{'3_1':0.0,'5_2':0.0},(49,243):{'3_1':0.0},(49,242):{'3_1':0.0,'4_1':0.0},(49,241):{'3_1':0.0},(49,240):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(49,239):{'3_1':0.03},(49,238):{'3_1':0.0},(49,237):{'3_1':0.0},(49,235):{'3_1':0.03},(49,234):{'3_1':0.0,'4_1':0.0},(49,233):{'3_1':0.0},(49,232):{'3_1':0.0},(49,231):{'3_1':0.0},(49,230):{'3_1':0.0},(49,229):{'3_1':0.0},(49,228):{'3_1':0.0},(49,227):{'3_1':0.0},(49,226):{'3_1':0.0},(49,225):{'3_1':0.0,'4_1':0.0},(49,224):{'3_1':0.03},(49,223):{'3_1':0.03},(49,222):{'3_1':0.03},(49,221):{'3_1':0.0},(49,220):{'3_1':0.0},(49,219):{'3_1':0.0},(49,218):{'3_1':0.0},(49,216):{'3_1':0.03},(49,215):{'3_1':0.0,'5_1':0.0},(49,214):{'3_1':0.0},(49,213):{'3_1':0.03},(49,212):{'3_1':0.03},(49,211):{'3_1':0.0},(49,210):{'3_1':0.03},(49,209):{'3_1':0.0},(49,208):{'3_1':0.06},(49,207):{'3_1':0.0},(49,206):{'3_1':0.06},(49,205):{'3_1':0.0},(49,203):{'3_1':0.03},(49,202):{'3_1':0.03},(49,201):{'3_1':0.0},(49,200):{'3_1':0.0,'4_1':0.0},(49,199):{'3_1':0.0},(49,198):{'3_1':0.0},(49,197):{'3_1':0.0},(49,196):{'3_1':0.03},(49,195):{'3_1':0.0},(49,194):{'3_1':0.0},(49,193):{'3_1':0.0},(49,192):{'3_1':0.0},(49,191):{'3_1':0.0},(49,190):{'3_1':0.0},(49,188):{'3_1':0.0},(49,187):{'3_1':0.0},(49,186):{'3_1':0.0},(49,185):{'3_1':0.03},(49,184):{'3_1':0.0},(49,183):{'3_1':0.03},(49,182):{'3_1':0.0},(49,180):{'3_1':0.0},(49,179):{'3_1':0.0},(49,178):{'3_1':0.03},(49,177):{'3_1':0.0},(49,176):{'3_1':0.0},(49,175):{'3_1':0.0},(49,171):{'3_1':0.0},(49,170):{'3_1':0.0},(49,169):{'3_1':0.0},(49,168):{'3_1':0.0},(49,167):{'3_1':0.0},(49,166):{'3_1':0.0},(49,165):{'3_1':0.0},(49,162):{'3_1':0.0},(49,161):{'3_1':0.0},(49,160):{'3_1':0.0},(49,159):{'3_1':0.0},(49,158):{'3_1':0.0},(49,156):{'3_1':0.0},(49,155):{'3_1':0.0},(49,154):{'3_1':0.0},(49,153):{'3_1':0.0},(49,152):{'3_1':0.0},(49,151):{'3_1':0.0},(49,150):{'3_1':0.0},(49,149):{'3_1':0.0},(49,148):{'3_1':0.0},(49,147):{'3_1':0.0},(49,146):{'3_1':0.0},(49,145):{'3_1':0.03},(49,144):{'3_1':0.0},(49,143):{'3_1':0.03},(49,142):{'3_1':0.0},(49,139):{'3_1':0.0},(49,138):{'3_1':0.0},(49,134):{'3_1':0.0},(49,131):{'3_1':0.0},(49,126):{'3_1':0.0},(49,125):{'3_1':0.0},(50,459):{'3_1':0.9,'5_2':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0},(50,458):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(50,457):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(50,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(50,455):{'3_1':0.9,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(50,454):{'3_1':0.9,'5_2':0.0,'5_1':0.0},(50,453):{'3_1':0.9,'8_20|3_1#3_1':0.03,'7_1':0.0,'7_3':0.0},(50,452):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(50,451):{'3_1':0.9,'7_1':0.0},(50,450):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(50,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(50,448):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_2':0.0},(50,447):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0},(50,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(50,445):{'3_1':0.9,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(50,444):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0},(50,443):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_5':0.0,'5_1':0.0,'6_3':0.0},(50,442):{'3_1':0.87,'-3':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(50,441):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0},(50,440):{'3_1':0.9,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(50,439):{'3_1':0.87,'6_2':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(50,438):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'-3':0.0},(50,437):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0},(50,436):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(50,435):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(50,434):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'-3':0.0,'7_5':0.0,'8_2':0.0},(50,433):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0},(50,432):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_5':0.0},(50,431):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(50,430):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(50,429):{'3_1':0.87,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_1':0.0,'8_11':0.0},(50,428):{'3_1':0.78,'5_1':0.06,'-3':0.0,'6_2':0.0,'7_5':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(50,427):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(50,426):{'3_1':0.84,'5_2':0.03,'7_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(50,425):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(50,424):{'3_1':0.84,'5_1':0.06,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0},(50,423):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0},(50,422):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_5':0.0,'8_9':0.0,'8_11':0.0},(50,421):{'3_1':0.84,'5_1':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(50,420):{'3_1':0.75,'5_2':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(50,419):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(50,418):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0},(50,417):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0,'-3':0.0},(50,416):{'3_1':0.72,'5_1':0.09,'8_2':0.03,'5_2':0.0,'8_11':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(50,415):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(50,414):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_2':0.0,'6_3':0.0,'8_11':0.0,'7_5':0.0},(50,413):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'8_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(50,412):{'3_1':0.66,'5_1':0.12,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_5':0.0},(50,411):{'3_1':0.63,'5_1':0.12,'8_2':0.06,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0},(50,410):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(50,409):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(50,408):{'3_1':0.81,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(50,407):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(50,406):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(50,405):{'3_1':0.9,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(50,404):{'3_1':0.78,'6_2':0.03,'5_1':0.03,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(50,403):{'3_1':0.84,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(50,402):{'3_1':0.81,'5_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(50,401):{'3_1':0.84,'5_1':0.0,'7_5':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(50,400):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_11':0.0},(50,399):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(50,398):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0},(50,397):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_4':0.0},(50,396):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(50,395):{'3_1':0.66,'5_2':0.09,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_11':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_5':0.0,'-3':0.0},(50,394):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(50,393):{'3_1':0.63,'5_2':0.06,'5_1':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0,'7_5':0.0},(50,392):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0},(50,391):{'3_1':0.69,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0},(50,390):{'3_1':0.54,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(50,389):{'3_1':0.54,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_5':0.0,'5_2':0.0},(50,388):{'3_1':0.45,'5_1':0.0,'4_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(50,387):{'3_1':0.39,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(50,386):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_6':0.0,'-3':0.0},(50,385):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(50,384):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(50,383):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(50,382):{'3_1':0.06,'6_2':0.03,'4_1':0.0,'5_1':0.0},(50,381):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(50,380):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_6':0.0},(50,379):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(50,378):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'3_1#5_2':0.0},(50,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(50,376):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_6':0.0},(50,375):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(50,374):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(50,373):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(50,372):{'3_1':0.03,'4_1':0.0},(50,371):{'3_1':0.06,'5_2':0.03},(50,370):{'3_1':0.06},(50,369):{'3_1':0.03,'4_1':0.0},(50,368):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(50,367):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(50,366):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(50,365):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_2':0.0},(50,364):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(50,363):{'3_1':0.03},(50,362):{'3_1':0.03,'6_2':0.0},(50,361):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_8':0.0},(50,360):{'3_1':0.0,'4_1':0.0},(50,359):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(50,358):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(50,357):{'3_1':0.03},(50,356):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(50,355):{'3_1':0.0},(50,354):{'4_1':0.0},(50,353):{'3_1':0.03,'5_2':0.0},(50,352):{'3_1':0.0,'5_2':0.0},(50,351):{'3_1':0.03,'4_1':0.0},(50,350):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(50,349):{'3_1':0.03,'4_1':0.0},(50,348):{'3_1':0.0,'4_1':0.0},(50,347):{'3_1':0.03,'5_2':0.0},(50,346):{'4_1':0.0,'3_1':0.0},(50,345):{'3_1':0.0},(50,344):{'3_1':0.0},(50,343):{'3_1':0.0,'6_1':0.0},(50,342):{'3_1':0.0,'5_2':0.0},(50,341):{'3_1':0.0},(50,340):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(50,339):{'3_1':0.03},(50,338):{'3_1':0.06,'6_1':0.0,'8_20|3_1#3_1':0.0},(50,337):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(50,336):{'3_1':0.06,'4_1':0.0},(50,335):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(50,334):{'3_1':0.03},(50,333):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(50,332):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(50,331):{'3_1':0.03},(50,330):{'3_1':0.03,'4_1':0.0},(50,329):{'3_1':0.0},(50,328):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(50,327):{'3_1':0.0,'4_1':0.0},(50,326):{'3_1':0.0,'8_20|3_1#3_1':0.0},(50,325):{'3_1':0.03},(50,324):{'3_1':0.03},(50,323):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_1':0.0},(50,322):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(50,321):{'4_1':0.0},(50,320):{'3_1':0.03,'4_1':0.0},(50,319):{'3_1':0.0,'5_2':0.0},(50,318):{'3_1':0.06,'4_1':0.0},(50,317):{'3_1':0.06},(50,316):{'3_1':0.06,'6_1':0.0},(50,315):{'3_1':0.03},(50,314):{'3_1':0.0,'4_1':0.0},(50,313):{'3_1':0.06,'4_1':0.0},(50,312):{'3_1':0.03},(50,311):{'3_1':0.03},(50,310):{'3_1':0.0,'4_1':0.0},(50,309):{'3_1':0.0},(50,308):{'3_1':0.0},(50,307):{'3_1':0.0,'4_1':0.0},(50,306):{'3_1':0.0,'5_2':0.0},(50,305):{'4_1':0.0,'5_1':0.0},(50,304):{'3_1':0.0,'5_2':0.0},(50,303):{'3_1':0.03,'4_1':0.0},(50,302):{'3_1':0.0,'4_1':0.0},(50,301):{'3_1':0.03,'4_1':0.0},(50,300):{'4_1':0.0,'3_1':0.0},(50,299):{'3_1':0.0,'4_1':0.0},(50,298):{'3_1':0.03},(50,297):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(50,296):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(50,295):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(50,294):{'3_1':0.0},(50,293):{'3_1':0.0},(50,292):{'3_1':0.0},(50,291):{'3_1':0.0},(50,290):{'3_1':0.0},(50,289):{'3_1':0.03,'5_1':0.0},(50,288):{'3_1':0.0},(50,287):{'3_1':0.0,'4_1':0.0},(50,286):{'3_1':0.03,'4_1':0.0},(50,285):{'3_1':0.03},(50,284):{'3_1':0.03,'4_1':0.0},(50,283):{'3_1':0.0},(50,281):{'3_1':0.0,'4_1':0.0},(50,280):{'3_1':0.0},(50,278):{'3_1':0.0},(50,277):{'3_1':0.0},(50,276):{'3_1':0.0},(50,275):{'3_1':0.0},(50,274):{'3_1':0.0},(50,273):{'3_1':0.0,'4_1':0.0},(50,272):{'3_1':0.0},(50,271):{'3_1':0.03},(50,270):{'3_1':0.0},(50,269):{'3_1':0.0},(50,268):{'3_1':0.0},(50,266):{'3_1':0.0},(50,265):{'3_1':0.06},(50,264):{'3_1':0.0},(50,263):{'3_1':0.0},(50,262):{'3_1':0.0},(50,261):{'3_1':0.03},(50,260):{'3_1':0.03},(50,259):{'3_1':0.0,'4_1':0.0},(50,258):{'3_1':0.03},(50,257):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(50,256):{'3_1':0.0},(50,255):{'3_1':0.0,'4_1':0.0},(50,254):{'3_1':0.0},(50,253):{'3_1':0.0},(50,252):{'3_1':0.0},(50,251):{'3_1':0.0},(50,250):{'3_1':0.0},(50,248):{'3_1':0.0},(50,247):{'3_1':0.0},(50,246):{'4_1':0.0},(50,245):{'3_1':0.03},(50,244):{'3_1':0.0},(50,243):{'3_1':0.03,'4_1':0.0},(50,242):{'3_1':0.03,'4_1':0.0},(50,241):{'3_1':0.03},(50,240):{'3_1':0.0,'4_1':0.0},(50,239):{'3_1':0.0},(50,238):{'4_1':0.03},(50,237):{'3_1':0.0},(50,236):{'4_1':0.0},(50,233):{'3_1':0.0},(50,232):{'3_1':0.06},(50,231):{'3_1':0.0},(50,230):{'3_1':0.03,'4_1':0.0},(50,229):{'3_1':0.0},(50,228):{'3_1':0.0},(50,227):{'4_1':0.0},(50,226):{'3_1':0.0},(50,225):{'3_1':0.0},(50,224):{'3_1':0.0},(50,223):{'3_1':0.06},(50,222):{'3_1':0.0,'5_2':0.0},(50,221):{'3_1':0.03},(50,220):{'3_1':0.0},(50,219):{'3_1':0.0},(50,218):{'4_1':0.0},(50,217):{'3_1':0.03},(50,216):{'3_1':0.0},(50,215):{'3_1':0.0},(50,214):{'3_1':0.0},(50,213):{'3_1':0.0,'5_2':0.0},(50,212):{'3_1':0.0,'4_1':0.0},(50,211):{'3_1':0.0},(50,210):{'3_1':0.03},(50,209):{'3_1':0.03},(50,208):{'3_1':0.03,'4_1':0.0},(50,207):{'3_1':0.03},(50,206):{'3_1':0.03},(50,205):{'3_1':0.0},(50,204):{'3_1':0.0},(50,203):{'3_1':0.0,'4_1':0.0},(50,202):{'3_1':0.0},(50,201):{'3_1':0.0},(50,200):{'3_1':0.0},(50,199):{'3_1':0.03},(50,198):{'3_1':0.03},(50,197):{'3_1':0.0},(50,196):{'3_1':0.0,'4_1':0.0},(50,195):{'3_1':0.03},(50,193):{'3_1':0.0,'4_1':0.0},(50,192):{'3_1':0.0},(50,191):{'3_1':0.03},(50,187):{'3_1':0.0},(50,186):{'3_1':0.03},(50,185):{'3_1':0.0},(50,184):{'3_1':0.0},(50,183):{'3_1':0.0},(50,182):{'3_1':0.0},(50,181):{'3_1':0.03},(50,180):{'3_1':0.0},(50,179):{'3_1':0.0},(50,177):{'3_1':0.0},(50,176):{'3_1':0.0},(50,175):{'3_1':0.0},(50,174):{'3_1':0.0},(50,173):{'3_1':0.0},(50,172):{'3_1':0.0},(50,171):{'3_1':0.0},(50,170):{'3_1':0.0},(50,169):{'3_1':0.0},(50,167):{'3_1':0.0},(50,163):{'3_1':0.0},(50,162):{'3_1':0.0},(50,161):{'3_1':0.0},(50,159):{'3_1':0.0},(50,158):{'3_1':0.0},(50,157):{'3_1':0.0},(50,156):{'3_1':0.0},(50,155):{'3_1':0.0},(50,153):{'3_1':0.0},(50,152):{'3_1':0.0},(50,149):{'3_1':0.0},(50,148):{'3_1':0.0},(50,147):{'3_1':0.0},(50,146):{'3_1':0.0},(50,145):{'3_1':0.0},(50,144):{'3_1':0.0},(50,139):{'3_1':0.0},(50,137):{'3_1':0.0},(50,134):{'3_1':0.0},(50,133):{'3_1':0.0},(50,131):{'3_1':0.0},(50,130):{'3_1':0.0},(50,113):{'3_1':0.0},(50,112):{'3_1':0.0},(50,111):{'3_1':0.0},(50,106):{'3_1':0.0},(51,459):{'3_1':0.9,'5_2':0.0,'7_5':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(51,458):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,457):{'3_1':0.9,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0},(51,456):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(51,455):{'3_1':0.9,'8_20|3_1#3_1':0.0,'-3':0.0},(51,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_5':0.0},(51,453):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,452):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,451):{'3_1':0.9,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(51,450):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(51,449):{'3_1':0.9,'5_2':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0},(51,448):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(51,447):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(51,446):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0},(51,445):{'3_1':0.87,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0},(51,444):{'3_1':0.87,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_2':0.0},(51,443):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,442):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(51,441):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'6_3':0.0},(51,440):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'6_3':0.0,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,439):{'3_1':0.87,'5_2':0.0,'8_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(51,438):{'3_1':0.84,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0,'8_10':0.0,'-3':0.0,'1':-0.03},(51,437):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.03,'7_1':0.0,'7_5':0.0,'8_11':0.0},(51,436):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(51,435):{'3_1':0.87,'5_2':0.03,'6_2':0.0,'5_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(51,434):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(51,433):{'3_1':0.81,'5_1':0.03,'7_5':0.03,'8_2':0.0,'-3':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(51,432):{'3_1':0.84,'8_2':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'8_11':0.0,'-3':0.0},(51,431):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0,'6_3':0.0,'7_1':0.0,'8_10':0.0},(51,430):{'3_1':0.84,'5_1':0.06,'7_5':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(51,429):{'3_1':0.84,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0,'7_1':0.0,'9_1':0.0},(51,428):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0,'-3':0.0},(51,427):{'3_1':0.84,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0,'3_1#5_1':0.0},(51,426):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(51,425):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'8_20|3_1#3_1':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0},(51,424):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(51,423):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0,'7_3':0.0,'7_5':0.0},(51,422):{'3_1':0.84,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(51,421):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(51,420):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'-3':0.0,'8_20|3_1#3_1':0.0},(51,419):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(51,418):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'8_20|3_1#3_1':0.06,'8_2':0.03,'7_3':0.0,'7_6':0.0,'-3':0.0},(51,417):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_3':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(51,416):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'7_5':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(51,415):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'9_1':0.0,'7_3':0.0,'7_4':0.0},(51,414):{'3_1':0.69,'5_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(51,413):{'3_1':0.78,'5_1':0.09,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_6':0.0},(51,412):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(51,411):{'3_1':0.66,'5_1':0.12,'5_2':0.06,'8_2':0.0,'7_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,410):{'3_1':0.75,'5_2':0.06,'5_1':0.06,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(51,409):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'6_2':0.03,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(51,408):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(51,407):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(51,406):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(51,405):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(51,404):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(51,403):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(51,402):{'3_1':0.84,'5_2':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,401):{'3_1':0.81,'5_2':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,400):{'3_1':0.81,'5_2':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(51,399):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,398):{'3_1':0.81,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,397):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0},(51,396):{'3_1':0.78,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,395):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,394):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_5':0.0,'7_1':0.0,'8_9':0.0},(51,393):{'3_1':0.75,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(51,392):{'3_1':0.57,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(51,391):{'3_1':0.66,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(51,390):{'3_1':0.57,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(51,389):{'3_1':0.51,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(51,388):{'3_1':0.36,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_9':0.0},(51,387):{'3_1':0.3,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(51,386):{'3_1':0.27,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(51,385):{'3_1':0.21,'4_1':0.03,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(51,384):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(51,383):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0},(51,382):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_1':0.0},(51,381):{'3_1':0.06,'4_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_6':0.0},(51,380):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(51,379):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_2':0.0},(51,378):{'3_1':0.06,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(51,377):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(51,376):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(51,375):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(51,374):{'3_1':0.03,'4_1':0.0},(51,373):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_10':0.0},(51,372):{'3_1':0.03,'4_1':0.0},(51,371):{'3_1':0.03},(51,370):{'3_1':0.06,'5_2':0.0},(51,369):{'3_1':0.06,'5_2':0.0},(51,368):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(51,367):{'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(51,366):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(51,365):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(51,364):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(51,363):{'3_1':0.03,'6_1':0.0},(51,362):{'3_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(51,361):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(51,360):{'4_1':0.0,'3_1':0.0},(51,359):{'3_1':0.0},(51,358):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(51,357):{'3_1':0.0,'4_1':0.0},(51,356):{'3_1':0.03,'5_2':0.0},(51,355):{'3_1':0.06},(51,354):{'3_1':0.03,'6_1':0.0},(51,353):{'3_1':0.03},(51,352):{'3_1':0.0},(51,351):{'3_1':0.0,'4_1':0.0},(51,350):{'3_1':0.03,'5_2':0.0},(51,349):{'3_1':0.03},(51,348):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(51,347):{'3_1':0.03,'4_1':0.0},(51,346):{'3_1':0.03,'5_2':0.0},(51,344):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(51,343):{'3_1':0.0,'4_1':0.0},(51,342):{'3_1':0.03,'4_1':0.0},(51,341):{'3_1':0.0,'5_2':0.0},(51,340):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(51,339):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(51,338):{'3_1':0.03,'8_1':0.0},(51,337):{'3_1':0.03,'6_1':0.0,'4_1':0.0,'5_2':0.0},(51,336):{'3_1':0.0,'4_1':0.0},(51,335):{'3_1':0.0,'4_1':0.0},(51,334):{'3_1':0.0},(51,333):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_2':0.0},(51,332):{'3_1':0.03},(51,331):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(51,330):{'3_1':0.0,'5_2':0.0},(51,329):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(51,328):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(51,327):{'3_1':0.0},(51,326):{'3_1':0.0,'6_1':0.0},(51,325):{'3_1':0.0,'6_1':0.0},(51,324):{'3_1':0.0},(51,323):{'3_1':0.0,'4_1':0.0},(51,322):{'3_1':0.0},(51,321):{'6_1':0.0},(51,320):{'3_1':0.0,'4_1':0.0},(51,319):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(51,318):{'3_1':0.0},(51,317):{'3_1':0.0,'4_1':0.0},(51,316):{'3_1':0.0,'4_1':0.0},(51,315):{'3_1':0.0,'5_2':0.0},(51,314):{'3_1':0.03,'4_1':0.0},(51,313):{'3_1':0.03},(51,312):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(51,311):{'3_1':0.03},(51,310):{'3_1':0.0},(51,309):{'3_1':0.0,'4_1':0.0},(51,308):{'3_1':0.03,'5_2':0.0},(51,307):{'3_1':0.0,'5_2':0.0},(51,306):{'3_1':0.03,'5_2':0.0},(51,305):{'3_1':0.03},(51,304):{'3_1':0.03,'6_2':0.0},(51,303):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(51,302):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(51,301):{'3_1':0.03},(51,300):{'3_1':0.03,'5_2':0.0,'3_1#5_2':0.0},(51,299):{'3_1':0.0},(51,298):{'3_1':0.0,'5_2':0.0},(51,297):{'3_1':0.0},(51,296):{'3_1':0.0,'4_1':0.0},(51,295):{'3_1':0.0},(51,294):{'3_1':0.03},(51,293):{'3_1':0.03,'5_2':0.0},(51,292):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(51,291):{'3_1':0.03},(51,290):{'3_1':0.0,'4_1':0.0},(51,289):{'3_1':0.03},(51,288):{'3_1':0.0,'4_1':0.0},(51,287):{'3_1':0.03,'4_1':0.0},(51,286):{'4_1':0.0,'3_1':0.0},(51,285):{'3_1':0.03,'5_2':0.0},(51,284):{'3_1':0.0},(51,283):{'3_1':0.0,'4_1':0.0},(51,282):{'3_1':0.03},(51,281):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(51,280):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(51,279):{'3_1':0.0},(51,278):{'3_1':0.0,'6_1':0.0},(51,277):{'3_1':0.0},(51,276):{'5_2':0.0},(51,275):{'3_1':0.0,'4_1':0.0},(51,274):{'3_1':0.0},(51,273):{'3_1':0.0},(51,272):{'3_1':0.0},(51,271):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(51,270):{'3_1':0.0,'4_1':0.0},(51,269):{'3_1':0.0},(51,268):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(51,267):{'3_1':0.0,'4_1':0.0},(51,266):{'3_1':0.03,'5_2':0.0},(51,265):{'3_1':0.0,'4_1':0.0},(51,264):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(51,263):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(51,262):{'3_1':0.0},(51,261):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(51,260):{'3_1':0.03,'4_1':0.0},(51,259):{'3_1':0.03,'5_2':0.0},(51,258):{'3_1':0.03,'4_1':0.0},(51,257):{'3_1':0.03,'5_2':0.0},(51,256):{'3_1':0.0,'5_2':0.0},(51,255):{'3_1':0.0,'4_1':0.0},(51,254):{'3_1':0.03,'4_1':0.0},(51,253):{'3_1':0.03},(51,252):{'3_1':0.03,'4_1':0.0},(51,251):{'3_1':0.0},(51,250):{'3_1':0.03},(51,249):{'3_1':0.03},(51,248):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(51,247):{'3_1':0.0},(51,246):{'3_1':0.0},(51,245):{'3_1':0.0},(51,244):{'3_1':0.0,'4_1':0.0},(51,243):{'3_1':0.03},(51,242):{'3_1':0.03},(51,240):{'3_1':0.03,'5_2':0.0},(51,239):{'3_1':0.0},(51,238):{'3_1':0.03},(51,237):{'3_1':0.0},(51,236):{'3_1':0.0},(51,235):{'3_1':0.0,'4_1':0.0},(51,234):{'4_1':0.0,'3_1':0.0},(51,233):{'3_1':0.0},(51,232):{'3_1':0.0},(51,231):{'3_1':0.0,'4_1':0.0},(51,230):{'3_1':0.0,'4_1':0.0},(51,229):{'3_1':0.0,'4_1':0.0},(51,228):{'3_1':0.0},(51,227):{'3_1':0.0},(51,226):{'3_1':0.0},(51,225):{'3_1':0.0},(51,224):{'3_1':0.03},(51,223):{'3_1':0.03},(51,222):{'3_1':0.03},(51,221):{'3_1':0.03},(51,220):{'3_1':0.03,'5_2':0.0},(51,219):{'3_1':0.0},(51,217):{'3_1':0.0},(51,216):{'3_1':0.0},(51,215):{'3_1':0.0},(51,214):{'3_1':0.0},(51,213):{'3_1':0.0,'5_2':0.0},(51,212):{'3_1':0.0},(51,211):{'3_1':0.03},(51,210):{'3_1':0.0},(51,209):{'3_1':0.0},(51,208):{'3_1':0.03},(51,207):{'3_1':0.0},(51,206):{'3_1':0.0},(51,205):{'3_1':0.0},(51,204):{'3_1':0.0},(51,203):{'3_1':0.0},(51,200):{'3_1':0.0},(51,199):{'3_1':0.03},(51,198):{'3_1':0.0},(51,195):{'3_1':0.0},(51,194):{'3_1':0.0},(51,193):{'3_1':0.0},(51,192):{'3_1':0.0},(51,191):{'3_1':0.0},(51,188):{'3_1':0.0},(51,187):{'3_1':0.0},(51,186):{'3_1':0.0},(51,185):{'3_1':0.0},(51,184):{'3_1':0.03},(51,183):{'3_1':0.0},(51,182):{'3_1':0.0},(51,180):{'3_1':0.0},(51,179):{'3_1':0.0},(51,178):{'3_1':0.0},(51,177):{'3_1':0.0},(51,176):{'3_1':0.0},(51,175):{'3_1':0.0},(51,174):{'3_1':0.0,'4_1':0.0},(51,173):{'3_1':0.03},(51,172):{'3_1':0.0},(51,170):{'3_1':0.0},(51,169):{'3_1':0.0},(51,168):{'3_1':0.03},(51,167):{'3_1':0.0},(51,165):{'3_1':0.0},(51,163):{'3_1':0.0},(51,159):{'3_1':0.0},(51,158):{'3_1':0.0},(51,157):{'3_1':0.0},(51,156):{'3_1':0.0},(51,155):{'3_1':0.0},(51,154):{'3_1':0.0},(51,153):{'3_1':0.0},(51,152):{'3_1':0.0},(51,151):{'3_1':0.0},(51,150):{'3_1':0.03},(51,149):{'3_1':0.0},(51,146):{'3_1':0.0},(51,145):{'3_1':0.03},(51,144):{'3_1':0.03},(51,143):{'3_1':0.0},(51,142):{'3_1':0.0},(51,140):{'3_1':0.0},(51,139):{'3_1':0.0},(51,138):{'3_1':0.0,'5_2':0.0},(51,136):{'3_1':0.0},(51,135):{'3_1':0.0},(51,132):{'3_1':0.0},(51,131):{'3_1':0.03},(51,130):{'3_1':0.0},(51,129):{'3_1':0.0},(51,127):{'3_1':0.0},(51,126):{'3_1':0.0},(52,459):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_11':0.0},(52,458):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(52,457):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0},(52,456):{'3_1':0.9,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(52,455):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(52,454):{'3_1':0.9,'5_2':0.0,'7_5':0.0,'8_11':0.0},(52,453):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0},(52,452):{'3_1':0.87,'7_3':0.0,'5_2':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0,'-3':0.0},(52,451):{'3_1':0.9,'5_1':0.0,'7_5':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(52,450):{'3_1':0.9,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(52,449):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(52,448):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0},(52,447):{'3_1':0.9,'5_2':0.0,'7_5':0.0,'5_1':0.0,'-3':0.0},(52,446):{'3_1':0.9,'5_2':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(52,445):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(52,444):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(52,443):{'3_1':0.87,'5_2':0.0,'7_1':0.0,'7_5':0.0,'5_1':0.0},(52,442):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(52,441):{'3_1':0.84,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_5':0.0,'7_3':0.0},(52,440):{'3_1':0.87,'5_1':0.03,'7_5':0.0,'5_2':0.0,'7_1':0.0,'9_1':0.0},(52,439):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(52,438):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0,'8_2':0.0},(52,437):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(52,436):{'3_1':0.81,'7_3':0.03,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(52,435):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(52,434):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'8_2':0.0},(52,433):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(52,432):{'3_1':0.84,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0,'3_1#5_2':0.0,'-3':0.0},(52,431):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'7_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(52,430):{'3_1':0.84,'-3':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(52,429):{'3_1':0.84,'-3':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0,'1':-0.03},(52,428):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0},(52,427):{'3_1':0.87,'5_1':0.0,'7_1':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(52,426):{'3_1':0.87,'5_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(52,425):{'3_1':0.81,'5_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0},(52,424):{'3_1':0.81,'5_1':0.09,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(52,423):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0},(52,422):{'3_1':0.81,'5_1':0.03,'7_5':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(52,421):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_2':0.0,'8_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(52,420):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0},(52,419):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(52,418):{'3_1':0.78,'5_1':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_2':0.0},(52,417):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(52,416):{'3_1':0.81,'5_2':0.03,'8_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(52,415):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(52,414):{'3_1':0.78,'8_2':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(52,413):{'3_1':0.69,'5_1':0.15,'5_2':0.0,'8_2':0.0,'7_5':0.0,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(52,412):{'3_1':0.66,'5_1':0.09,'8_2':0.06,'5_2':0.03,'-3':0.0,'7_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(52,411):{'3_1':0.63,'5_1':0.15,'8_2':0.06,'5_2':0.03,'6_2':0.0,'7_5':0.0,'7_1':0.0,'-3':0.0},(52,410):{'3_1':0.69,'5_1':0.12,'6_2':0.03,'7_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(52,409):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'6_2':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(52,408):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_11':0.0,'9_1':0.0,'-3':0.0},(52,407):{'3_1':0.69,'5_2':0.09,'8_2':0.06,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(52,406):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(52,405):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0},(52,404):{'3_1':0.81,'5_1':0.03,'7_5':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(52,403):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(52,402):{'3_1':0.78,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(52,401):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(52,400):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'7_5':0.0,'6_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0},(52,399):{'3_1':0.84,'6_2':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(52,398):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(52,397):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(52,396):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0},(52,395):{'3_1':0.81,'5_2':0.0,'6_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(52,394):{'3_1':0.72,'5_1':0.06,'-3':0.0,'5_2':0.0,'7_2':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(52,393):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(52,392):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(52,391):{'3_1':0.69,'5_1':0.03,'-3':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(52,390):{'3_1':0.63,'4_1':0.0,'5_1':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(52,389):{'3_1':0.57,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0},(52,388):{'3_1':0.51,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_9':0.0,'-3':0.0},(52,387):{'3_1':0.36,'4_1':0.03,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'1':-0.03},(52,386):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0},(52,385):{'3_1':0.21,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0,'-3':0.0},(52,384):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_9':0.0},(52,383):{'3_1':0.09,'6_2':0.03,'4_1':0.03,'5_1':0.0,'8_11':0.0},(52,382):{'3_1':0.03,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(52,381):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(52,380):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(52,379):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_6':0.0},(52,378):{'4_1':0.03,'5_2':0.03,'3_1':0.0,'7_6':0.0},(52,377):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(52,376):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(52,375):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(52,374):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0},(52,373):{'3_1':0.06,'6_1':0.0,'4_1':0.0,'5_1':0.0,'8_2':0.0},(52,372):{'3_1':0.03,'4_1':0.0},(52,371):{'3_1':0.0,'4_1':0.0,'8_4':0.0},(52,370):{'3_1':0.03},(52,369):{'3_1':0.03,'4_1':0.0},(52,368):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(52,367):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0},(52,366):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(52,365):{'3_1':0.0,'5_2':0.0},(52,364):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(52,363):{'3_1':0.03},(52,362):{'3_1':0.03},(52,361):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(52,360):{'3_1':0.0},(52,359):{'3_1':0.03},(52,358):{'3_1':0.0,'6_2':0.0},(52,357):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(52,356):{'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_6':0.0},(52,355):{'3_1':0.0,'4_1':0.0,'-3':0.0},(52,354):{'3_1':0.03,'4_1':0.0},(52,353):{'3_1':0.03,'6_1':0.0},(52,352):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(52,351):{'3_1':0.0,'4_1':0.0},(52,350):{'3_1':0.0,'7_5':0.0},(52,348):{'3_1':0.0,'4_1':0.0},(52,347):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(52,346):{'6_1':0.0,'4_1':0.0},(52,345):{'3_1':0.0,'5_2':0.0},(52,344):{'3_1':0.0,'4_1':0.0},(52,343):{'3_1':0.03},(52,342):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(52,341):{'3_1':0.03,'7_7':0.0},(52,340):{'3_1':0.03,'6_1':0.0},(52,339):{'3_1':0.03},(52,338):{'3_1':0.03,'6_2':0.0},(52,337):{'3_1':0.03,'6_1':0.0},(52,336):{'3_1':0.0},(52,335):{'3_1':0.03,'5_2':0.0},(52,334):{'3_1':0.0,'4_1':0.0},(52,333):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(52,332):{'3_1':0.03,'5_2':0.0},(52,331):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(52,330):{'3_1':0.0},(52,329):{'3_1':0.03},(52,328):{'3_1':0.03,'6_2':0.0,'7_7':0.0},(52,327):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(52,326):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(52,325):{'5_2':0.0},(52,324):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(52,323):{'4_1':0.0},(52,322):{'3_1':0.03},(52,321):{'3_1':0.0},(52,319):{'3_1':0.0},(52,318):{'3_1':0.0},(52,317):{'3_1':0.0},(52,316):{'3_1':0.06},(52,315):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(52,314):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(52,313):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(52,312):{'3_1':0.0,'4_1':0.0},(52,311):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(52,310):{'3_1':0.03,'4_1':0.0},(52,309):{'3_1':0.0},(52,308):{'3_1':0.0},(52,307):{'3_1':0.0},(52,306):{'3_1':0.0},(52,305):{'3_1':0.03,'5_1':0.0},(52,304):{'3_1':0.06},(52,303):{'4_1':0.0,'6_1':0.0},(52,302):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(52,301):{'3_1':0.03,'4_1':0.0},(52,300):{'3_1':0.0},(52,299):{'3_1':0.03,'4_1':0.0},(52,298):{'3_1':0.0,'4_1':0.0},(52,297):{'3_1':0.03},(52,296):{'3_1':0.03},(52,295):{'3_1':0.0},(52,294):{'3_1':0.0},(52,293):{'3_1':0.0},(52,292):{'3_1':0.0},(52,291):{'3_1':0.03,'8_14':0.0},(52,290):{'3_1':0.0,'4_1':0.0},(52,289):{'3_1':0.0},(52,288):{'3_1':0.0},(52,287):{'3_1':0.06},(52,286):{'3_1':0.03,'6_2':0.0,'7_5':0.0},(52,285):{'3_1':0.0,'4_1':0.0},(52,284):{'3_1':0.03,'5_1':0.0},(52,283):{'3_1':0.06,'5_2':0.0},(52,282):{'3_1':0.0},(52,281):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(52,280):{'3_1':0.0},(52,279):{'3_1':0.0},(52,278):{'3_1':0.0},(52,277):{'3_1':0.0},(52,276):{'4_1':0.0},(52,275):{'3_1':0.0,'5_1':0.0,'8_14':0.0},(52,274):{'3_1':0.0},(52,273):{'3_1':0.03},(52,272):{'3_1':0.0},(52,271):{'3_1':0.03},(52,270):{'3_1':0.0,'4_1':0.0},(52,269):{'3_1':0.03},(52,268):{'4_1':0.0,'3_1':0.0},(52,267):{'6_2':0.0},(52,266):{'3_1':0.03,'6_1':0.0},(52,265):{'3_1':0.0,'4_1':0.0},(52,264):{'3_1':0.03,'5_2':0.0},(52,263):{'3_1':0.03},(52,262):{'3_1':0.03},(52,261):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(52,260):{'3_1':0.03},(52,259):{'3_1':0.0,'5_2':0.0},(52,258):{'3_1':0.0,'6_2':0.0},(52,257):{'3_1':0.0},(52,256):{'3_1':0.0},(52,255):{'3_1':0.0},(52,254):{'3_1':0.0},(52,253):{'3_1':0.0},(52,252):{'3_1':0.0},(52,250):{'3_1':0.0},(52,249):{'3_1':0.0,'4_1':0.0},(52,248):{'3_1':0.0},(52,247):{'3_1':0.0,'5_2':0.0},(52,246):{'3_1':0.0,'4_1':0.0},(52,245):{'3_1':0.03,'5_1':0.0},(52,244):{'3_1':0.0},(52,243):{'3_1':0.03},(52,242):{'3_1':0.06},(52,241):{'3_1':0.03,'6_2':0.0},(52,240):{'3_1':0.0,'4_1':0.0},(52,239):{'3_1':0.0,'4_1':0.0},(52,238):{'3_1':0.0},(52,237):{'3_1':0.0},(52,236):{'3_1':0.0},(52,235):{'3_1':0.0},(52,234):{'3_1':0.0},(52,233):{'3_1':0.0},(52,232):{'3_1':0.03},(52,231):{'3_1':0.0},(52,230):{'3_1':0.0},(52,229):{'3_1':0.0,'4_1':0.0},(52,228):{'3_1':0.0,'4_1':0.0},(52,227):{'3_1':0.0},(52,226):{'3_1':0.0},(52,225):{'3_1':0.0},(52,224):{'3_1':0.0,'4_1':0.0},(52,223):{'3_1':0.0},(52,222):{'3_1':0.0},(52,221):{'3_1':0.03},(52,220):{'3_1':0.0},(52,219):{'3_1':0.0},(52,218):{'4_1':0.0},(52,217):{'3_1':0.0},(52,216):{'3_1':0.0,'4_1':0.0},(52,215):{'3_1':0.0},(52,214):{'3_1':0.0,'4_1':0.0},(52,213):{'3_1':0.0},(52,212):{'3_1':0.0,'4_1':0.0},(52,211):{'3_1':0.03},(52,210):{'3_1':0.0},(52,209):{'3_1':0.0},(52,208):{'3_1':0.03},(52,207):{'3_1':0.0},(52,206):{'3_1':0.0},(52,205):{'3_1':0.0},(52,204):{'3_1':0.0,'4_1':0.0},(52,203):{'3_1':0.03},(52,202):{'3_1':0.0},(52,201):{'3_1':0.0},(52,200):{'3_1':0.0},(52,199):{'3_1':0.0,'4_1':0.0},(52,198):{'3_1':0.0},(52,197):{'3_1':0.0},(52,196):{'3_1':0.0},(52,195):{'3_1':0.0},(52,194):{'3_1':0.03},(52,193):{'3_1':0.0},(52,192):{'3_1':0.0},(52,191):{'3_1':0.0},(52,190):{'3_1':0.0},(52,189):{'3_1':0.0},(52,188):{'3_1':0.0},(52,187):{'3_1':0.0},(52,186):{'3_1':0.0},(52,185):{'3_1':0.0},(52,184):{'3_1':0.03},(52,183):{'3_1':0.0},(52,182):{'3_1':0.0},(52,181):{'3_1':0.0},(52,179):{'3_1':0.0},(52,178):{'3_1':0.0},(52,177):{'3_1':0.03},(52,176):{'3_1':0.0},(52,175):{'3_1':0.0},(52,174):{'4_1':0.0},(52,173):{'3_1':0.0},(52,171):{'3_1':0.0},(52,170):{'3_1':0.0},(52,168):{'3_1':0.0},(52,167):{'3_1':0.0,'5_2':0.0},(52,166):{'3_1':0.0,'5_2':0.0},(52,164):{'3_1':0.03,'5_2':0.0},(52,163):{'5_2':0.0},(52,161):{'3_1':0.0},(52,160):{'3_1':0.0},(52,159):{'3_1':0.0},(52,157):{'3_1':0.0},(52,155):{'3_1':0.0},(52,154):{'3_1':0.0},(52,151):{'3_1':0.03},(52,150):{'3_1':0.0},(52,148):{'3_1':0.03},(52,146):{'3_1':0.0},(52,145):{'3_1':0.0},(52,144):{'3_1':0.0},(52,143):{'3_1':0.0},(52,142):{'3_1':0.03},(52,141):{'3_1':0.0},(52,140):{'3_1':0.0},(52,139):{'3_1':0.0},(52,138):{'3_1':0.0},(52,137):{'3_1':0.0},(52,135):{'3_1':0.0},(52,132):{'3_1':0.0},(53,459):{'3_1':0.9,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(53,458):{'3_1':0.9,'5_1':0.03,'7_3':0.0},(53,457):{'3_1':0.9,'8_20|3_1#3_1':0.0,'7_1':0.0},(53,456):{'3_1':0.9,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_11':0.0},(53,455):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0},(53,454):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(53,453):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(53,452):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(53,451):{'3_1':0.9,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0},(53,450):{'3_1':0.9,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(53,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0},(53,448):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(53,447):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(53,446):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0},(53,445):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(53,444):{'3_1':0.87,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0},(53,443):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(53,442):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(53,441):{'3_1':0.9,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0},(53,440):{'3_1':0.87,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(53,439):{'3_1':0.81,'5_2':0.06,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_2':0.0},(53,438):{'3_1':0.87,'8_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(53,437):{'3_1':0.9,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(53,436):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(53,435):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'7_3':0.0,'8_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(53,434):{'3_1':0.87,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(53,433):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(53,432):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_2':0.0},(53,431):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(53,430):{'3_1':0.78,'8_20|3_1#3_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0},(53,429):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(53,428):{'3_1':0.84,'5_1':0.06,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(53,427):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(53,426):{'3_1':0.87,'5_2':0.0,'8_2':0.0,'5_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(53,425):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0,'9_1':0.0},(53,424):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_11':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(53,423):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_6':0.0,'1':-0.03},(53,422):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(53,421):{'3_1':0.78,'5_1':0.09,'5_2':0.03,'7_5':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(53,420):{'3_1':0.78,'8_2':0.03,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(53,419):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0},(53,418):{'3_1':0.84,'8_2':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_5':0.0,'8_11':0.0,'-3':0.0},(53,417):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0},(53,416):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(53,415):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(53,414):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.03,'-3':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(53,413):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(53,412):{'3_1':0.72,'5_1':0.09,'5_2':0.06,'8_2':0.03,'7_5':0.0,'-3':0.0},(53,411):{'3_1':0.63,'5_1':0.12,'8_2':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(53,410):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_2':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(53,409):{'3_1':0.57,'5_1':0.21,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(53,408):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(53,407):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.03,'7_3':0.0,'3_1#5_2':0.0},(53,406):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.03,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(53,405):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(53,404):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_4':0.0},(53,403):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0},(53,402):{'3_1':0.84,'5_1':0.03,'7_3':0.0,'8_11':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(53,401):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(53,400):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(53,399):{'3_1':0.84,'5_1':0.0,'7_5':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(53,398):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0},(53,397):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(53,396):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(53,395):{'3_1':0.72,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'6_1':0.0},(53,394):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'1':-0.03},(53,393):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_11':0.0},(53,392):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(53,391):{'3_1':0.72,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(53,390):{'3_1':0.6,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(53,389):{'3_1':0.54,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(53,388):{'3_1':0.3,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0},(53,387):{'3_1':0.42,'4_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0},(53,386):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(53,385):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(53,384):{'3_1':0.15,'5_1':0.0,'6_2':0.0,'4_1':0.0,'-3':0.0,'8_21|3_1#4_1':0.0},(53,383):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(53,382):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(53,381):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(53,380):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0},(53,379):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(53,378):{'4_1':0.0,'5_2':0.0,'3_1':0.0,'6_2':0.0,'-3':0.0},(53,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(53,376):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_8':0.0,'-3':0.0},(53,375):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(53,374):{'3_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(53,373):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0},(53,372):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(53,371):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(53,370):{'3_1':0.03,'4_1':0.0,'7_4':0.0,'8_10':0.0},(53,369):{'3_1':0.03,'6_2':0.0},(53,368):{'3_1':0.03},(53,367):{'3_1':0.06,'5_2':0.0},(53,366):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(53,365):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(53,364):{'3_1':0.03,'5_1':0.0},(53,363):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(53,362):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0},(53,361):{'3_1':0.0},(53,360):{'3_1':0.0},(53,359):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(53,358):{'3_1':0.0,'4_1':0.0},(53,357):{'3_1':0.0,'4_1':0.0},(53,356):{'3_1':0.03},(53,355):{'3_1':0.06,'6_2':0.0},(53,354):{'3_1':0.03,'6_1':0.0},(53,353):{'3_1':0.06,'5_2':0.0},(53,352):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(53,351):{'3_1':0.0,'5_1':0.0},(53,350):{'3_1':0.0},(53,349):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(53,348):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(53,347):{'3_1':0.0},(53,346):{'3_1':0.0},(53,345):{'4_1':0.0,'3_1':0.0},(53,344):{'3_1':0.03,'6_2':0.0},(53,342):{'3_1':0.0},(53,341):{'3_1':0.0,'4_1':0.0},(53,340):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(53,339):{'3_1':0.03,'4_1':0.0},(53,338):{'3_1':0.0,'4_1':0.0},(53,337):{'3_1':0.06},(53,336):{'3_1':0.03,'4_1':0.0},(53,335):{'3_1':0.06},(53,334):{'3_1':0.03},(53,333):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(53,332):{'3_1':0.12},(53,331):{'3_1':0.03,'4_1':0.0},(53,330):{'3_1':0.03,'5_2':0.0},(53,329):{'3_1':0.0},(53,328):{'3_1':0.0},(53,327):{'3_1':0.0,'6_1':0.0},(53,326):{'3_1':0.03,'6_1':0.0},(53,325):{'3_1':0.0,'4_1':0.0},(53,324):{'3_1':0.03},(53,323):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(53,322):{'3_1':0.0,'5_2':0.0},(53,321):{'3_1':0.03,'6_1':0.0},(53,320):{'3_1':0.0},(53,319):{'3_1':0.03,'4_1':0.0},(53,318):{'3_1':0.03,'4_1':0.0},(53,317):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(53,315):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(53,314):{'3_1':0.0,'4_1':0.0},(53,313):{'3_1':0.06},(53,312):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(53,311):{'3_1':0.0,'6_1':0.0},(53,310):{'3_1':0.0,'5_1':0.0},(53,309):{'3_1':0.0,'6_1':0.0},(53,308):{'3_1':0.03,'4_1':0.0},(53,307):{'3_1':0.03},(53,306):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(53,305):{'3_1':0.0},(53,304):{'3_1':0.0},(53,303):{'3_1':0.03,'5_2':0.0},(53,302):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(53,301):{'3_1':0.0},(53,300):{'3_1':0.0},(53,299):{'3_1':0.06},(53,298):{'3_1':0.0},(53,297):{'3_1':0.03,'4_1':0.0},(53,296):{'3_1':0.03},(53,295):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(53,294):{'3_1':0.0},(53,293):{'3_1':0.03},(53,292):{'3_1':0.0},(53,291):{'3_1':0.03},(53,290):{'3_1':0.0,'5_2':0.0},(53,289):{'3_1':0.0},(53,288):{'3_1':0.0},(53,287):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(53,286):{'3_1':0.03},(53,285):{'3_1':0.03},(53,284):{'3_1':0.03,'5_2':0.0},(53,283):{'3_1':0.0},(53,282):{'3_1':0.0},(53,281):{'4_1':0.0},(53,280):{'3_1':0.0},(53,279):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(53,278):{'3_1':0.0},(53,277):{'3_1':0.03},(53,276):{'3_1':0.03},(53,275):{'3_1':0.03},(53,274):{'3_1':0.0},(53,273):{'3_1':0.0},(53,272):{'3_1':0.0},(53,271):{'3_1':0.03,'4_1':0.0},(53,270):{'3_1':0.0},(53,269):{'3_1':0.0},(53,268):{'3_1':0.0,'5_2':0.0},(53,267):{'3_1':0.0,'4_1':0.0},(53,266):{'3_1':0.03},(53,265):{'3_1':0.0},(53,264):{'3_1':0.06},(53,263):{'3_1':0.09,'4_1':0.0},(53,262):{'3_1':0.0,'4_1':0.0},(53,261):{'3_1':0.03},(53,260):{'3_1':0.03,'4_1':0.0},(53,259):{'3_1':0.0},(53,258):{'4_1':0.0},(53,257):{'3_1':0.06},(53,256):{'3_1':0.0,'5_2':0.0},(53,255):{'3_1':0.03,'5_2':0.0},(53,254):{'3_1':0.0,'5_2':0.0},(53,253):{'3_1':0.0,'4_1':0.0},(53,252):{'3_1':0.03,'4_1':0.0},(53,251):{'3_1':0.0},(53,250):{'3_1':0.0},(53,249):{'3_1':0.0},(53,248):{'3_1':0.0},(53,247):{'3_1':0.0},(53,246):{'3_1':0.0,'4_1':0.0},(53,245):{'3_1':0.0,'5_2':0.0},(53,244):{'3_1':0.03},(53,241):{'3_1':0.0},(53,239):{'3_1':0.03,'5_2':0.0},(53,238):{'3_1':0.0,'4_1':0.0},(53,237):{'3_1':0.0},(53,236):{'3_1':0.0},(53,235):{'3_1':0.0},(53,234):{'3_1':0.0},(53,233):{'4_1':0.0},(53,232):{'3_1':0.0},(53,231):{'3_1':0.0},(53,230):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(53,229):{'3_1':0.0},(53,228):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(53,227):{'3_1':0.0},(53,226):{'3_1':0.0},(53,225):{'3_1':0.0},(53,224):{'3_1':0.0},(53,223):{'3_1':0.0,'5_2':0.0},(53,222):{'3_1':0.03,'5_2':0.0},(53,220):{'3_1':0.0},(53,219):{'3_1':0.03,'5_2':0.0},(53,218):{'3_1':0.0},(53,217):{'3_1':0.03,'4_1':0.0},(53,216):{'3_1':0.0},(53,215):{'3_1':0.0},(53,214):{'3_1':0.0,'5_2':0.0},(53,213):{'3_1':0.0},(53,212):{'3_1':0.03},(53,211):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(53,210):{'3_1':0.0},(53,209):{'3_1':0.0},(53,208):{'3_1':0.0},(53,207):{'3_1':0.0},(53,206):{'3_1':0.0},(53,205):{'3_1':0.0,'5_2':0.0},(53,204):{'3_1':0.03},(53,203):{'3_1':0.0},(53,202):{'3_1':0.0},(53,201):{'3_1':0.0},(53,200):{'4_1':0.0,'3_1':0.0},(53,199):{'3_1':0.0},(53,198):{'3_1':0.03},(53,197):{'3_1':0.0},(53,196):{'3_1':0.0},(53,195):{'3_1':0.0},(53,194):{'3_1':0.0},(53,193):{'3_1':0.0},(53,192):{'3_1':0.0},(53,190):{'3_1':0.0},(53,189):{'3_1':0.0},(53,188):{'3_1':0.0},(53,187):{'3_1':0.03},(53,186):{'3_1':0.0},(53,185):{'3_1':0.0},(53,184):{'3_1':0.03},(53,183):{'3_1':0.0},(53,182):{'3_1':0.03},(53,181):{'3_1':0.0},(53,180):{'3_1':0.03},(53,177):{'3_1':0.0},(53,175):{'3_1':0.0},(53,174):{'3_1':0.03},(53,173):{'3_1':0.0},(53,171):{'3_1':0.0},(53,169):{'3_1':0.0},(53,168):{'3_1':0.0},(53,166):{'3_1':0.0},(53,165):{'3_1':0.0},(53,164):{'3_1':0.0},(53,163):{'3_1':0.0},(53,160):{'3_1':0.03},(53,159):{'3_1':0.0},(53,158):{'3_1':0.0},(53,157):{'3_1':0.0},(53,156):{'3_1':0.0},(53,154):{'3_1':0.0},(53,151):{'3_1':0.0},(53,150):{'3_1':0.0},(53,149):{'3_1':0.0},(53,148):{'3_1':0.0},(53,147):{'3_1':0.0},(53,146):{'3_1':0.03},(53,145):{'3_1':0.0},(53,144):{'3_1':0.0},(53,142):{'3_1':0.0},(53,141):{'3_1':0.0},(53,135):{'3_1':0.0},(53,133):{'3_1':0.0},(53,129):{'3_1':0.0},(53,127):{'3_1':0.0},(53,126):{'3_1':0.0},(54,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(54,458):{'3_1':0.9,'5_2':0.0,'8_2':0.0,'-3':0.0},(54,457):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(54,456):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'-3':0.0},(54,455):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,454):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(54,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,452):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'9_1':0.0,'-3':0.0},(54,451):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,450):{'3_1':0.9,'5_2':0.03,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(54,449):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0},(54,448):{'3_1':0.87,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(54,447):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,446):{'3_1':0.9,'5_2':0.03,'5_1':0.0},(54,445):{'3_1':0.87,'5_2':0.03,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,444):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(54,443):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'7_5':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(54,442):{'3_1':0.87,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(54,441):{'3_1':0.9,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(54,440):{'3_1':0.87,'5_1':0.0,'7_5':0.0,'-3':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0},(54,439):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(54,438):{'3_1':0.9,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(54,437):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,436):{'3_1':0.87,'5_2':0.03,'8_2':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(54,435):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(54,434):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0},(54,433):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_3':0.0},(54,432):{'3_1':0.87,'6_2':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(54,431):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0},(54,430):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.03,'7_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0},(54,429):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'8_2':0.0,'6_2':0.0,'8_5':0.0,'-3':0.0},(54,428):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(54,427):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_2':0.0},(54,426):{'3_1':0.84,'5_1':0.03,'7_1':0.0,'7_5':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(54,425):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(54,424):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(54,423):{'3_1':0.84,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(54,422):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,421):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(54,420):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(54,419):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'8_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(54,418):{'3_1':0.78,'5_2':0.06,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,417):{'3_1':0.78,'5_1':0.09,'5_2':0.03,'7_1':0.0,'-3':0.0,'7_5':0.0},(54,416):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(54,415):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(54,414):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(54,413):{'3_1':0.63,'5_1':0.15,'8_2':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(54,412):{'3_1':0.69,'5_1':0.12,'8_2':0.06,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(54,411):{'3_1':0.63,'5_1':0.12,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(54,410):{'3_1':0.66,'5_1':0.21,'8_2':0.03,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(54,409):{'3_1':0.69,'5_1':0.09,'5_2':0.09,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_1':0.0,'6_2':0.0},(54,408):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'6_2':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0},(54,407):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(54,406):{'3_1':0.75,'5_2':0.03,'6_2':0.03,'5_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(54,405):{'3_1':0.75,'5_2':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0},(54,404):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'3_1#5_2':0.0},(54,403):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(54,402):{'3_1':0.78,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(54,401):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_5':0.0,'4_1':0.0,'-3':0.0},(54,400):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0},(54,399):{'3_1':0.81,'7_5':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(54,398):{'3_1':0.87,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(54,397):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,396):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_1':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,395):{'3_1':0.75,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_1':0.0},(54,394):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(54,393):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,392):{'3_1':0.54,'5_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(54,391):{'3_1':0.66,'5_2':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0},(54,390):{'3_1':0.6,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0},(54,389):{'3_1':0.51,'4_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(54,388):{'3_1':0.48,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_12':0.0},(54,387):{'3_1':0.36,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(54,386):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0},(54,385):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0,'8_9':0.0},(54,384):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(54,383):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(54,382):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(54,381):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(54,380):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_2':0.0},(54,379):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_7':0.0},(54,378):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(54,377):{'3_1':0.06,'4_1':0.0},(54,376):{'3_1':0.03,'4_1':0.0},(54,375):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_6':0.0},(54,374):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(54,373):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(54,372):{'3_1':0.06,'4_1':0.0},(54,371):{'3_1':0.03,'5_2':0.0},(54,370):{'3_1':0.06,'8_20|3_1#3_1':0.0},(54,369):{'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0},(54,368):{'3_1':0.0},(54,367):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_4':0.0},(54,366):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(54,365):{'3_1':0.03,'4_1':0.0},(54,364):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(54,363):{'3_1':0.03,'4_1':0.0},(54,362):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_6':0.0},(54,361):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(54,360):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(54,359):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_6':0.0},(54,358):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(54,357):{'3_1':0.0,'4_1':0.0},(54,356):{'3_1':0.06},(54,355):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(54,354):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(54,353):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(54,352):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(54,351):{'3_1':0.03},(54,350):{'3_1':0.03,'5_1':0.0},(54,349):{'4_1':0.0,'3_1':0.0},(54,348):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(54,347):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(54,346):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(54,345):{'3_1':0.0,'6_1':0.0,'6_2':0.0},(54,344):{'3_1':0.0,'4_1':0.0},(54,343):{'3_1':0.0,'6_2':0.0},(54,342):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(54,341):{'3_1':0.0,'4_1':0.0},(54,340):{'3_1':0.03,'4_1':0.0},(54,339):{'3_1':0.03,'6_1':0.0},(54,338):{'3_1':0.0,'4_1':0.0},(54,337):{'3_1':0.06},(54,336):{'3_1':0.0,'6_2':0.0},(54,335):{'3_1':0.03},(54,334):{'3_1':0.03},(54,333):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(54,332):{'3_1':0.03},(54,331):{'3_1':0.0,'5_2':0.0},(54,330):{'3_1':0.03,'8_20|3_1#3_1':0.0},(54,329):{'3_1':0.03,'4_1':0.0},(54,328):{'3_1':0.0,'8_1':0.0},(54,327):{'3_1':0.0,'4_1':0.0},(54,326):{'3_1':0.03,'4_1':0.0},(54,325):{'4_1':0.0},(54,324):{'3_1':0.0,'6_1':0.0},(54,323):{'3_1':0.0},(54,322):{'3_1':0.0},(54,321):{'3_1':0.03,'5_2':0.0},(54,319):{'3_1':0.0},(54,318):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(54,317):{'3_1':0.03,'4_1':0.0},(54,316):{'3_1':0.0},(54,315):{'3_1':0.0,'4_1':0.0},(54,314):{'3_1':0.06},(54,313):{'3_1':0.03},(54,312):{'3_1':0.0},(54,311):{'3_1':0.03},(54,310):{'3_1':0.03,'4_1':0.0},(54,309):{'3_1':0.0,'6_1':0.0},(54,308):{'3_1':0.0,'6_1':0.0},(54,307):{'3_1':0.03,'4_1':0.0},(54,306):{'3_1':0.0},(54,305):{'3_1':0.03,'4_1':0.0},(54,304):{'3_1':0.0,'4_1':0.0},(54,303):{'3_1':0.0},(54,302):{'3_1':0.0,'6_2':0.0},(54,301):{'3_1':0.03,'4_1':0.0},(54,300):{'3_1':0.0},(54,299):{'3_1':0.03,'4_1':0.0},(54,298):{'3_1':0.09,'4_1':0.0},(54,297):{'3_1':0.0},(54,296):{'3_1':0.0},(54,295):{'3_1':0.0,'4_1':0.0},(54,294):{'3_1':0.0,'4_1':0.0},(54,293):{'3_1':0.0},(54,292):{'3_1':0.0},(54,291):{'3_1':0.0},(54,290):{'3_1':0.03,'5_2':0.0},(54,289):{'3_1':0.0},(54,288):{'3_1':0.0,'5_2':0.0},(54,286):{'3_1':0.03},(54,285):{'3_1':0.0},(54,284):{'3_1':0.03},(54,283):{'5_2':0.0,'3_1':0.0},(54,282):{'3_1':0.0},(54,281):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(54,280):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(54,279):{'3_1':0.0},(54,278):{'3_1':0.03,'5_2':0.0},(54,277):{'3_1':0.0},(54,276):{'3_1':0.03,'4_1':0.0},(54,275):{'3_1':0.0},(54,274):{'4_1':0.0},(54,273):{'3_1':0.03},(54,272):{'3_1':0.0},(54,271):{'3_1':0.0,'4_1':0.0},(54,270):{'3_1':0.0},(54,269):{'3_1':0.03,'4_1':0.0},(54,268):{'3_1':0.0,'4_1':0.0},(54,267):{'4_1':0.0},(54,266):{'3_1':0.0,'4_1':0.0},(54,265):{'4_1':0.0,'3_1':0.0},(54,264):{'3_1':0.0,'4_1':0.0},(54,263):{'3_1':0.0,'4_1':0.0},(54,262):{'3_1':0.0},(54,261):{'3_1':0.03,'4_1':0.0},(54,260):{'3_1':0.0},(54,259):{'3_1':0.03,'4_1':0.0},(54,258):{'3_1':0.03},(54,257):{'3_1':0.03},(54,256):{'3_1':0.0},(54,255):{'3_1':0.03,'4_1':0.0},(54,254):{'3_1':0.0},(54,252):{'3_1':0.0},(54,251):{'3_1':0.0},(54,250):{'3_1':0.0},(54,249):{'3_1':0.0},(54,248):{'3_1':0.0},(54,247):{'3_1':0.0,'4_1':0.0},(54,246):{'3_1':0.0},(54,245):{'3_1':0.0},(54,244):{'3_1':0.0},(54,243):{'3_1':0.06},(54,242):{'3_1':0.03},(54,241):{'3_1':0.0,'4_1':0.0},(54,240):{'3_1':0.0},(54,239):{'3_1':0.0},(54,238):{'3_1':0.0},(54,237):{'3_1':0.0},(54,236):{'4_1':0.0},(54,235):{'3_1':0.0,'4_1':0.0},(54,234):{'3_1':0.0},(54,233):{'3_1':0.0},(54,232):{'3_1':0.0},(54,231):{'3_1':0.0,'4_1':0.0},(54,230):{'4_1':0.0,'3_1':0.0},(54,228):{'4_1':0.0},(54,226):{'3_1':0.0},(54,225):{'3_1':0.03,'4_1':0.0},(54,224):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(54,223):{'3_1':0.0,'5_2':0.0},(54,222):{'3_1':0.0},(54,221):{'3_1':0.03,'4_1':0.0},(54,220):{'3_1':0.03,'6_1':0.0},(54,219):{'3_1':0.0},(54,218):{'3_1':0.0},(54,217):{'3_1':0.0},(54,216):{'3_1':0.0,'5_1':0.0},(54,215):{'3_1':0.03},(54,214):{'3_1':0.0},(54,213):{'4_1':0.0},(54,212):{'3_1':0.03},(54,211):{'3_1':0.0},(54,210):{'3_1':0.0},(54,209):{'3_1':0.03},(54,208):{'3_1':0.0},(54,207):{'3_1':0.0},(54,206):{'3_1':0.03},(54,205):{'3_1':0.0},(54,204):{'3_1':0.0},(54,203):{'3_1':0.03},(54,201):{'3_1':0.03},(54,199):{'3_1':0.0,'5_1':0.0},(54,198):{'3_1':0.03},(54,197):{'3_1':0.03},(54,196):{'3_1':0.0,'4_1':0.0},(54,195):{'3_1':0.0},(54,194):{'3_1':0.03},(54,193):{'3_1':0.03,'5_1':0.0},(54,192):{'3_1':0.0},(54,191):{'3_1':0.0},(54,190):{'3_1':0.03},(54,189):{'3_1':0.0},(54,188):{'3_1':0.0,'4_1':0.0},(54,187):{'3_1':0.0},(54,186):{'3_1':0.0},(54,185):{'3_1':0.0},(54,184):{'3_1':0.0},(54,183):{'3_1':0.0},(54,182):{'3_1':0.0},(54,181):{'3_1':0.0},(54,180):{'3_1':0.0},(54,179):{'3_1':0.0},(54,178):{'3_1':0.0},(54,177):{'3_1':0.0},(54,175):{'3_1':0.03},(54,174):{'3_1':0.0},(54,173):{'3_1':0.0},(54,172):{'3_1':0.0,'4_1':0.0},(54,170):{'3_1':0.0},(54,169):{'3_1':0.0},(54,168):{'3_1':0.0},(54,166):{'3_1':0.0},(54,165):{'3_1':0.0},(54,164):{'3_1':0.0},(54,163):{'3_1':0.03},(54,161):{'3_1':0.0},(54,160):{'3_1':0.0},(54,157):{'3_1':0.0},(54,156):{'3_1':0.0},(54,154):{'3_1':0.0},(54,150):{'3_1':0.0},(54,149):{'3_1':0.0},(54,148):{'3_1':0.0},(54,146):{'3_1':0.0},(54,145):{'3_1':0.0},(54,142):{'3_1':0.0},(54,141):{'3_1':0.0},(54,140):{'3_1':0.0},(54,138):{'3_1':0.0},(54,137):{'3_1':0.0},(54,133):{'3_1':0.0},(54,132):{'3_1':0.0},(54,130):{'3_1':0.0},(55,459):{'3_1':0.87,'5_1':0.0,'8_11':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,458):{'3_1':0.9,'7_1':0.0,'8_2':0.0,'5_1':0.0,'5_2':0.0},(55,457):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'7_5':0.0,'5_2':0.0},(55,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0},(55,455):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(55,454):{'3_1':0.9,'6_3':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0},(55,453):{'3_1':0.9,'5_2':0.0,'-3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(55,452):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(55,451):{'3_1':0.9,'5_2':0.0,'7_5':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(55,450):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0},(55,449):{'3_1':0.87,'5_1':0.0,'6_3':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(55,448):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(55,447):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0},(55,446):{'3_1':0.9,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'-3':0.0},(55,445):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(55,444):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'-3':0.0},(55,443):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_5':0.0},(55,442):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(55,441):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_2':0.0,'6_2':0.0,'-3':0.0},(55,440):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,439):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(55,438):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(55,437):{'3_1':0.87,'5_2':0.03,'8_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,436):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,435):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(55,434):{'3_1':0.81,'5_2':0.06,'5_1':0.03,'7_1':0.0,'6_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(55,433):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0},(55,432):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(55,431):{'3_1':0.84,'5_2':0.03,'5_1':0.03,'7_1':0.0,'7_5':0.0,'8_19':0.0},(55,430):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_2':0.0,'-3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(55,429):{'3_1':0.84,'5_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(55,428):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_5':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,427):{'3_1':0.84,'5_2':0.06,'8_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(55,426):{'3_1':0.87,'5_1':0.06,'8_2':0.0,'7_1':0.0},(55,425):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0},(55,424):{'3_1':0.84,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,423):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0},(55,422):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(55,421):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(55,420):{'3_1':0.78,'5_1':0.09,'-3':0.0,'5_2':0.0,'6_3':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_2':0.0},(55,419):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(55,418):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(55,417):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0,'7_6':0.0,'-3':0.0},(55,416):{'3_1':0.75,'5_1':0.12,'8_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,415):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(55,414):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'8_2':0.0,'7_1':0.0,'-3':0.0},(55,413):{'3_1':0.78,'5_1':0.09,'8_2':0.0,'7_3':0.0,'7_5':0.0},(55,412):{'3_1':0.66,'5_1':0.12,'8_2':0.03,'5_2':0.03,'7_3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(55,411):{'3_1':0.6,'5_1':0.18,'5_2':0.06,'8_2':0.03,'7_3':0.0,'6_2':0.0,'7_5':0.0},(55,410):{'3_1':0.75,'5_1':0.12,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0},(55,409):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'6_2':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_2':0.0,'9_1':0.0},(55,408):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(55,407):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(55,406):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(55,405):{'3_1':0.84,'7_5':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,404):{'3_1':0.78,'5_1':0.0,'7_5':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(55,403):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,402):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0,'-3':0.0},(55,401):{'3_1':0.81,'7_5':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(55,400):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(55,399):{'3_1':0.81,'8_20|3_1#3_1':0.03,'7_5':0.0,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0},(55,398):{'3_1':0.78,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0},(55,397):{'3_1':0.72,'5_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_19':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(55,396):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(55,395):{'3_1':0.81,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(55,394):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(55,393):{'3_1':0.66,'5_2':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(55,392):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'4_1':0.0},(55,391):{'3_1':0.57,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(55,390):{'3_1':0.66,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(55,389):{'3_1':0.54,'5_1':0.03,'4_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'1':-0.03},(55,388):{'3_1':0.57,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_9':0.0,'-3':0.0},(55,387):{'3_1':0.3,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'8_11':0.0},(55,386):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0},(55,385):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(55,384):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'5_1':0.0},(55,383):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(55,382):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(55,381):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,380):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(55,379):{'3_1':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'-3':0.0},(55,378):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_4':0.0,'3_1#5_2':0.0},(55,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(55,376):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(55,375):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0},(55,374):{'3_1':0.0,'4_1':0.0},(55,373):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(55,372):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(55,371):{'3_1':0.0,'6_2':0.0},(55,370):{'3_1':0.03,'6_2':0.0},(55,369):{'3_1':0.06,'4_1':0.0,'8_4':0.0},(55,368):{'3_1':0.06,'4_1':0.0},(55,367):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(55,366):{'3_1':0.09},(55,365):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(55,364):{'3_1':0.06,'5_1':0.0,'-3':0.0},(55,363):{'3_1':0.03,'6_2':0.0},(55,362):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(55,361):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(55,360):{'3_1':0.03,'5_2':0.0},(55,359):{'3_1':0.03,'6_1':0.0},(55,358):{'3_1':0.0,'4_1':0.0},(55,357):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(55,356):{'3_1':0.03},(55,355):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(55,354):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(55,353):{'3_1':0.0,'5_1':0.0},(55,352):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(55,351):{'3_1':0.0},(55,350):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(55,349):{'3_1':0.0},(55,348):{'3_1':0.0,'4_1':0.0},(55,347):{'5_1':0.0},(55,346):{'3_1':0.0,'4_1':0.0},(55,345):{'3_1':0.0},(55,344):{'3_1':0.03,'6_2':0.0,'7_3':0.0},(55,343):{'3_1':0.0,'4_1':0.0},(55,342):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(55,341):{'3_1':0.0,'5_2':0.0},(55,340):{'3_1':0.06,'8_1':0.0},(55,339):{'3_1':0.0,'6_1':0.0,'8_1':0.0},(55,338):{'3_1':0.03,'4_1':0.0},(55,337):{'3_1':0.03,'4_1':0.0},(55,336):{'3_1':0.0,'6_1':0.0,'7_3':0.0},(55,335):{'3_1':0.0,'5_2':0.0,'7_3':0.0},(55,334):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(55,333):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(55,332):{'3_1':0.03,'5_2':0.0,'8_1':0.0},(55,331):{'3_1':0.0,'4_1':0.0},(55,330):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(55,329):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(55,328):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(55,327):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(55,326):{'3_1':0.03},(55,325):{'3_1':0.03,'7_2':0.0},(55,324):{'3_1':0.0},(55,323):{'3_1':0.0,'4_1':0.0},(55,322):{'3_1':0.03,'5_1':0.0},(55,321):{'3_1':0.0},(55,320):{'3_1':0.03,'5_1':0.0},(55,319):{'3_1':0.0,'4_1':0.0},(55,318):{'3_1':0.0},(55,317):{'3_1':0.0},(55,316):{'3_1':0.03,'7_3':0.0},(55,315):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(55,314):{'3_1':0.0,'5_2':0.0},(55,313):{'3_1':0.03,'4_1':0.0},(55,312):{'3_1':0.03},(55,311):{'3_1':0.06,'5_2':0.0},(55,310):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(55,309):{'4_1':0.0,'5_2':0.0},(55,308):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(55,307):{'3_1':0.03},(55,306):{'3_1':0.03,'4_1':0.0},(55,305):{'3_1':0.0,'4_1':0.0},(55,304):{'3_1':0.03},(55,303):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(55,302):{'3_1':0.09,'4_1':0.0},(55,301):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(55,300):{'3_1':0.03},(55,299):{'3_1':0.03,'4_1':0.0},(55,298):{'3_1':0.0},(55,297):{'3_1':0.0,'5_2':0.0},(55,296):{'3_1':0.0},(55,295):{'3_1':0.03,'6_1':0.0},(55,294):{'3_1':0.0},(55,293):{'3_1':0.0},(55,292):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(55,291):{'3_1':0.03},(55,290):{'3_1':0.0,'5_2':0.0},(55,289):{'3_1':0.03},(55,288):{'3_1':0.03,'5_2':0.0},(55,287):{'3_1':0.0},(55,286):{'3_1':0.06},(55,284):{'3_1':0.0},(55,283):{'3_1':0.0},(55,282):{'3_1':0.0},(55,281):{'3_1':0.0},(55,280):{'5_2':0.0},(55,279):{'3_1':0.03,'5_2':0.0},(55,278):{'3_1':0.03,'4_1':0.0},(55,277):{'3_1':0.03,'6_1':0.0},(55,276):{'7_2':0.0},(55,275):{'3_1':0.0},(55,274):{'3_1':0.0,'4_1':0.0},(55,273):{'3_1':0.03},(55,272):{'3_1':0.03,'5_2':0.0},(55,271):{'3_1':0.0,'5_2':0.0},(55,270):{'3_1':0.0},(55,269):{'3_1':0.0},(55,268):{'3_1':0.03,'5_2':0.0},(55,266):{'3_1':0.0},(55,265):{'3_1':0.0},(55,264):{'3_1':0.03,'4_1':0.0},(55,263):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(55,262):{'3_1':0.03,'4_1':0.0},(55,261):{'3_1':0.0,'6_2':0.0},(55,260):{'3_1':0.03,'6_1':0.0},(55,258):{'3_1':0.03,'5_1':0.0},(55,256):{'3_1':0.0,'5_1':0.0},(55,255):{'3_1':0.0},(55,254):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(55,253):{'3_1':0.03},(55,252):{'3_1':0.0},(55,251):{'3_1':0.03},(55,250):{'3_1':0.03},(55,249):{'3_1':0.0},(55,248):{'3_1':0.0},(55,247):{'3_1':0.03},(55,246):{'3_1':0.0},(55,245):{'3_1':0.0,'6_2':0.0},(55,244):{'3_1':0.03},(55,243):{'3_1':0.0},(55,242):{'3_1':0.06},(55,241):{'3_1':0.03},(55,240):{'3_1':0.0},(55,239):{'3_1':0.0},(55,238):{'3_1':0.0},(55,237):{'3_1':0.0,'6_2':0.0},(55,236):{'3_1':0.0},(55,235):{'3_1':0.0,'4_1':0.0},(55,234):{'3_1':0.0},(55,233):{'3_1':0.0},(55,232):{'3_1':0.0},(55,231):{'3_1':0.0},(55,230):{'3_1':0.0},(55,229):{'3_1':0.0},(55,228):{'3_1':0.0},(55,227):{'3_1':0.03},(55,226):{'3_1':0.0},(55,225):{'3_1':0.0},(55,224):{'3_1':0.0},(55,223):{'3_1':0.0},(55,222):{'3_1':0.03},(55,221):{'3_1':0.03},(55,220):{'3_1':0.0},(55,219):{'3_1':0.0},(55,217):{'3_1':0.0},(55,216):{'3_1':0.03,'4_1':0.0},(55,215):{'3_1':0.03},(55,214):{'3_1':0.0,'4_1':0.0},(55,213):{'3_1':0.0,'4_1':0.0},(55,212):{'3_1':0.0},(55,210):{'3_1':0.03},(55,209):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(55,208):{'3_1':0.0},(55,207):{'3_1':0.0,'4_1':0.0},(55,206):{'3_1':0.0},(55,205):{'3_1':0.0},(55,204):{'3_1':0.03},(55,203):{'3_1':0.0,'4_1':0.0},(55,202):{'3_1':0.0},(55,201):{'3_1':0.0},(55,200):{'3_1':0.03,'4_1':0.0},(55,199):{'3_1':0.0},(55,198):{'3_1':0.03,'4_1':0.0},(55,197):{'3_1':0.03,'4_1':0.0},(55,196):{'3_1':0.03},(55,195):{'3_1':0.0},(55,194):{'3_1':0.0},(55,193):{'3_1':0.0,'4_1':0.0},(55,192):{'3_1':0.0},(55,191):{'3_1':0.03},(55,190):{'3_1':0.0},(55,189):{'3_1':0.0},(55,188):{'3_1':0.0},(55,187):{'3_1':0.0},(55,186):{'3_1':0.03},(55,185):{'3_1':0.0},(55,184):{'3_1':0.03},(55,183):{'3_1':0.0},(55,182):{'3_1':0.0},(55,181):{'3_1':0.0},(55,180):{'3_1':0.0},(55,179):{'3_1':0.0},(55,178):{'3_1':0.0},(55,177):{'3_1':0.0},(55,176):{'3_1':0.0},(55,175):{'3_1':0.0},(55,174):{'3_1':0.0},(55,173):{'3_1':0.0},(55,171):{'3_1':0.0},(55,169):{'3_1':0.0},(55,168):{'3_1':0.0},(55,167):{'3_1':0.0},(55,166):{'3_1':0.0},(55,164):{'3_1':0.0},(55,163):{'3_1':0.0},(55,161):{'3_1':0.0},(55,160):{'3_1':0.0,'4_1':0.0},(55,159):{'3_1':0.0},(55,158):{'3_1':0.0},(55,155):{'3_1':0.0},(55,153):{'3_1':0.0},(55,151):{'3_1':0.0},(55,148):{'3_1':0.0},(55,146):{'3_1':0.0},(55,144):{'3_1':0.0},(55,143):{'3_1':0.0},(55,142):{'3_1':0.0},(55,140):{'3_1':0.0},(55,139):{'3_1':0.0},(55,138):{'3_1':0.0},(55,137):{'3_1':0.0},(55,136):{'3_1':0.0},(55,132):{'3_1':0.0},(55,131):{'3_1':0.0},(55,130):{'3_1':0.0},(55,127):{'3_1':0.0},(56,459):{'3_1':0.87,'5_2':0.0,'6_2':0.0,'7_5':0.0,'5_1':0.0,'-3':0.0},(56,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(56,457):{'3_1':0.87,'5_2':0.03,'8_2':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(56,456):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(56,455):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0},(56,454):{'3_1':0.9,'7_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(56,453):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(56,452):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(56,451):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0},(56,450):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_2':0.0},(56,449):{'3_1':0.9,'5_1':0.0,'-3':0.0,'8_2':0.0},(56,448):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'7_5':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(56,447):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(56,446):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(56,445):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(56,444):{'3_1':0.81,'5_2':0.03,'7_1':0.0,'5_1':0.0,'7_3':0.0,'6_2':0.0,'8_2':0.0,'9_1':0.0,'-3':0.0},(56,443):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'1':-0.03},(56,442):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(56,441):{'3_1':0.87,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0},(56,440):{'3_1':0.9,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(56,439):{'3_1':0.87,'5_2':0.0,'7_5':0.0,'8_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(56,438):{'3_1':0.87,'8_2':0.0,'7_5':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(56,437):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(56,436):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(56,435):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(56,434):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(56,433):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0},(56,432):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'-3':0.0},(56,431):{'3_1':0.87,'-3':0.0,'5_2':0.0,'8_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(56,430):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(56,429):{'3_1':0.84,'5_1':0.06,'6_3':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(56,428):{'3_1':0.84,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(56,427):{'3_1':0.78,'5_2':0.03,'7_3':0.03,'8_2':0.03,'7_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(56,426):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0,'7_3':0.0},(56,425):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(56,424):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(56,423):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0,'8_2':0.0,'3_1#5_2':0.0},(56,422):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(56,421):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'5_2':0.03,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(56,420):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(56,419):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(56,418):{'3_1':0.81,'5_2':0.03,'-3':0.03,'6_2':0.0,'5_1':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(56,417):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(56,416):{'3_1':0.75,'5_1':0.12,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(56,415):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(56,414):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_2':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(56,413):{'3_1':0.72,'5_1':0.12,'8_2':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(56,412):{'3_1':0.72,'5_1':0.06,'6_2':0.0,'7_5':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(56,411):{'3_1':0.6,'5_1':0.12,'8_2':0.06,'5_2':0.03,'7_1':0.0,'7_3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(56,410):{'3_1':0.6,'5_1':0.12,'5_2':0.06,'8_2':0.03,'7_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(56,409):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'6_2':0.03,'7_1':0.0,'8_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(56,408):{'3_1':0.66,'5_1':0.06,'5_2':0.06,'7_3':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0},(56,407):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(56,406):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(56,405):{'3_1':0.78,'5_1':0.03,'7_5':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0},(56,404):{'3_1':0.81,'5_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0},(56,403):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0},(56,402):{'3_1':0.78,'5_1':0.03,'7_5':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(56,401):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(56,400):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'9_1':0.0},(56,399):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(56,398):{'3_1':0.81,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_5':0.0},(56,397):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'7_6':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(56,396):{'3_1':0.78,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(56,395):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(56,394):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(56,393):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(56,392):{'3_1':0.54,'5_1':0.06,'5_2':0.0,'7_5':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(56,391):{'3_1':0.69,'5_1':0.03,'7_5':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_19':0.0},(56,390):{'3_1':0.6,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0},(56,389):{'3_1':0.51,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(56,388):{'3_1':0.45,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'6_2':0.0,'8_19':0.0},(56,387):{'3_1':0.33,'5_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0},(56,386):{'3_1':0.24,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0},(56,385):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0},(56,384):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'-3':0.0},(56,383):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(56,382):{'3_1':0.06,'-3':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'3_1#5_1':0.0},(56,381):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(56,380):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_2':0.0},(56,379):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_6':0.0},(56,378):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(56,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(56,376):{'3_1':0.03,'4_1':0.03},(56,375):{'4_1':0.03,'3_1':0.03,'6_2':0.0,'7_6':0.0},(56,374):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(56,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(56,372):{'3_1':0.0,'8_11':0.0},(56,371):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(56,370):{'3_1':0.09,'5_1':0.0},(56,369):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(56,368):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(56,367):{'3_1':0.12,'4_1':0.0,'8_11':0.0},(56,366):{'3_1':0.03,'4_1':0.0},(56,365):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(56,364):{'3_1':0.03,'4_1':0.0},(56,363):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(56,362):{'3_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(56,361):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(56,360):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(56,359):{'3_1':0.06,'4_1':0.0},(56,358):{'3_1':0.06},(56,357):{'3_1':0.03},(56,356):{'3_1':0.03,'6_2':0.0},(56,355):{'3_1':0.03},(56,354):{'3_1':0.0},(56,353):{'3_1':0.06},(56,352):{'3_1':0.03},(56,351):{'6_1':0.0,'6_2':0.0,'7_2':0.0},(56,350):{'3_1':0.06},(56,349):{'3_1':0.0,'4_1':0.0},(56,348):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(56,347):{'3_1':0.0,'5_2':0.0},(56,346):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(56,345):{'3_1':0.0,'4_1':0.0},(56,344):{'3_1':0.06,'4_1':0.0},(56,343):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(56,342):{'3_1':0.0},(56,341):{'3_1':0.0},(56,340):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'7_2':0.0,'8_1':0.0},(56,339):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(56,338):{'3_1':0.03,'5_2':0.0},(56,337):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(56,336):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(56,335):{'3_1':0.03,'5_2':0.0},(56,334):{'3_1':0.0},(56,333):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(56,332):{'3_1':0.0,'6_1':0.0},(56,331):{'3_1':0.06,'4_1':0.0},(56,330):{'3_1':0.03,'4_1':0.0},(56,329):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(56,328):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(56,327):{'3_1':0.0,'4_1':0.0},(56,325):{'3_1':0.0,'7_2':0.0},(56,324):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(56,323):{'3_1':0.06,'4_1':0.0},(56,322):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(56,321):{'3_1':0.0,'4_1':0.0},(56,320):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(56,319):{'3_1':0.03,'4_1':0.0},(56,318):{'3_1':0.03},(56,316):{'3_1':0.06,'4_1':0.0},(56,315):{'3_1':0.03},(56,314):{'3_1':0.0,'6_1':0.0},(56,313):{'3_1':0.03},(56,312):{'3_1':0.06},(56,311):{'4_1':0.0,'3_1':0.0},(56,310):{'3_1':0.0,'4_1':0.0},(56,309):{'3_1':0.0,'4_1':0.0},(56,308):{'3_1':0.0},(56,307):{'3_1':0.0,'4_1':0.0},(56,306):{'3_1':0.03,'4_1':0.0},(56,305):{'3_1':0.0},(56,304):{'3_1':0.0},(56,303):{'3_1':0.0},(56,302):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(56,301):{'3_1':0.0,'6_1':0.0},(56,300):{'3_1':0.03,'5_2':0.0},(56,299):{'3_1':0.0},(56,298):{'3_1':0.0},(56,297):{'3_1':0.03,'5_2':0.0},(56,296):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(56,295):{'3_1':0.0},(56,294):{'3_1':0.03},(56,293):{'3_1':0.0},(56,292):{'3_1':0.03},(56,291):{'3_1':0.03,'5_2':0.0},(56,290):{'3_1':0.03,'4_1':0.0},(56,289):{'3_1':0.03},(56,288):{'3_1':0.03},(56,287):{'3_1':0.03},(56,286):{'3_1':0.0},(56,285):{'3_1':0.0,'5_2':0.0},(56,283):{'3_1':0.03},(56,282):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(56,281):{'3_1':0.0},(56,280):{'3_1':0.0},(56,279):{'3_1':0.03,'4_1':0.0},(56,276):{'3_1':0.0},(56,275):{'3_1':0.0,'4_1':0.0},(56,274):{'3_1':0.06},(56,273):{'3_1':0.0},(56,272):{'3_1':0.0},(56,271):{'3_1':0.0},(56,270):{'3_1':0.03,'4_1':0.0},(56,269):{'3_1':0.0,'4_1':0.0},(56,268):{'3_1':0.0},(56,267):{'3_1':0.0,'4_1':0.0},(56,266):{'3_1':0.0,'4_1':0.0},(56,265):{'3_1':0.03},(56,264):{'3_1':0.06},(56,263):{'3_1':0.03,'4_1':0.0},(56,262):{'3_1':0.03},(56,261):{'3_1':0.09},(56,260):{'3_1':0.03,'4_1':0.0},(56,259):{'3_1':0.03,'4_1':0.0},(56,258):{'3_1':0.03},(56,257):{'3_1':0.03,'4_1':0.0},(56,256):{'3_1':0.0},(56,255):{'3_1':0.03},(56,254):{'3_1':0.0},(56,253):{'3_1':0.0},(56,252):{'3_1':0.0,'4_1':0.0},(56,251):{'4_1':0.0},(56,250):{'3_1':0.06},(56,249):{'3_1':0.03},(56,248):{'3_1':0.0},(56,247):{'3_1':0.0},(56,246):{'3_1':0.0},(56,245):{'3_1':0.0,'4_1':0.0},(56,244):{'3_1':0.03},(56,243):{'3_1':0.03},(56,242):{'3_1':0.0},(56,241):{'3_1':0.0},(56,240):{'3_1':0.0,'4_1':0.0},(56,239):{'3_1':0.0},(56,238):{'3_1':0.0},(56,237):{'3_1':0.0,'4_1':0.0},(56,236):{'3_1':0.0},(56,234):{'3_1':0.0},(56,233):{'3_1':0.0},(56,232):{'3_1':0.03},(56,231):{'3_1':0.0,'4_1':0.0},(56,230):{'4_1':0.0,'3_1':0.0},(56,229):{'3_1':0.0},(56,228):{'3_1':0.0},(56,227):{'3_1':0.0},(56,225):{'3_1':0.0},(56,224):{'3_1':0.0},(56,223):{'3_1':0.03},(56,222):{'3_1':0.0},(56,221):{'3_1':0.0},(56,220):{'3_1':0.03,'4_1':0.0},(56,219):{'3_1':0.0,'4_1':0.0},(56,218):{'4_1':0.0,'3_1':0.0},(56,217):{'3_1':0.0},(56,216):{'3_1':0.0,'4_1':0.0},(56,215):{'3_1':0.0},(56,214):{'3_1':0.0},(56,213):{'3_1':0.0},(56,212):{'3_1':0.0},(56,211):{'3_1':0.0},(56,210):{'3_1':0.03},(56,209):{'3_1':0.0},(56,208):{'3_1':0.03},(56,207):{'3_1':0.03},(56,205):{'3_1':0.0},(56,204):{'3_1':0.0},(56,203):{'3_1':0.0},(56,202):{'3_1':0.0},(56,201):{'3_1':0.03},(56,200):{'3_1':0.0,'4_1':0.0},(56,199):{'3_1':0.0},(56,198):{'3_1':0.0},(56,197):{'3_1':0.0},(56,196):{'3_1':0.0,'4_1':0.0},(56,195):{'3_1':0.0},(56,194):{'3_1':0.03},(56,193):{'3_1':0.0},(56,192):{'3_1':0.0},(56,190):{'3_1':0.03},(56,189):{'3_1':0.03},(56,188):{'3_1':0.0},(56,187):{'3_1':0.0},(56,186):{'3_1':0.0},(56,184):{'3_1':0.03},(56,183):{'3_1':0.03},(56,182):{'3_1':0.0},(56,181):{'3_1':0.0},(56,180):{'3_1':0.0},(56,179):{'3_1':0.0},(56,177):{'3_1':0.0},(56,176):{'3_1':0.0},(56,175):{'3_1':0.0},(56,174):{'3_1':0.0},(56,172):{'3_1':0.0},(56,171):{'3_1':0.0},(56,170):{'3_1':0.0},(56,169):{'3_1':0.0},(56,168):{'3_1':0.0},(56,167):{'3_1':0.0},(56,165):{'3_1':0.0},(56,164):{'3_1':0.0},(56,163):{'3_1':0.0},(56,159):{'3_1':0.0},(56,158):{'3_1':0.0},(56,155):{'3_1':0.0},(57,459):{'3_1':0.9,'6_1':0.0,'5_1':0.0,'7_3':0.0},(57,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0},(57,457):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(57,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_5':0.0},(57,455):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'8_2':0.0},(57,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(57,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(57,452):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(57,451):{'3_1':0.9,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(57,450):{'3_1':0.9,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(57,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(57,448):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(57,447):{'3_1':0.9,'5_1':0.03},(57,446):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(57,445):{'3_1':0.9,'7_5':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(57,444):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(57,443):{'3_1':0.9,'7_5':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(57,442):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(57,441):{'3_1':0.87,'5_2':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(57,440):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0},(57,439):{'3_1':0.9,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(57,438):{'3_1':0.87,'6_2':0.0,'7_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(57,437):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(57,436):{'3_1':0.9,'5_1':0.03,'7_6':0.0},(57,435):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'7_5':0.0,'-3':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'3_1#5_1':0.0},(57,434):{'3_1':0.84,'5_2':0.03,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(57,433):{'3_1':0.81,'6_2':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0},(57,432):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(57,431):{'3_1':0.9,'5_1':0.03,'7_5':0.0,'8_2':0.0,'-3':0.0},(57,430):{'3_1':0.84,'5_2':0.0,'7_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(57,429):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_2':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(57,428):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'-3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(57,427):{'3_1':0.75,'5_2':0.06,'5_1':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0,'1':-0.03},(57,426):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(57,425):{'3_1':0.81,'5_1':0.03,'7_5':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0},(57,424):{'3_1':0.78,'5_1':0.03,'7_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(57,423):{'3_1':0.78,'8_2':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(57,422):{'3_1':0.84,'5_1':0.06,'8_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(57,421):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'3_1#5_2':0.0,'-3':0.0},(57,420):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(57,419):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'7_5':0.0,'8_2':0.0,'6_3':0.0,'7_2':0.0,'-3':0.0},(57,418):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'-3':0.03,'8_2':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_6':0.0},(57,417):{'3_1':0.78,'8_2':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(57,416):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'-3':0.0,'5_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(57,415):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'8_2':0.03,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(57,414):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0,'7_2':0.0,'8_8':0.0,'8_11':0.0,'-3':0.0},(57,413):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(57,412):{'3_1':0.63,'5_1':0.09,'8_2':0.06,'6_2':0.03,'7_5':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'8_7':0.0,'-3':0.0},(57,411):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0},(57,410):{'3_1':0.66,'5_2':0.06,'5_1':0.06,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0},(57,409):{'3_1':0.63,'5_1':0.15,'5_2':0.06,'-3':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0},(57,408):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'9_1':0.0,'3_1#5_1':0.0},(57,407):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(57,406):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_2':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(57,405):{'3_1':0.84,'6_2':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(57,404):{'3_1':0.78,'7_5':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0},(57,403):{'3_1':0.81,'5_1':0.03,'7_5':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(57,402):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(57,401):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0},(57,400):{'3_1':0.81,'5_2':0.0,'5_1':0.0,'4_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(57,399):{'3_1':0.81,'6_2':0.03,'8_20|3_1#3_1':0.03,'7_5':0.0,'8_11':0.0,'-3':0.0},(57,398):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(57,397):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0,'8_19':0.0},(57,396):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(57,395):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(57,394):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'8_11':0.0,'6_2':0.0},(57,393):{'3_1':0.72,'5_1':0.03,'6_2':0.03,'5_2':0.0,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(57,392):{'3_1':0.57,'5_1':0.09,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(57,391):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_9':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(57,390):{'3_1':0.6,'5_1':0.06,'-3':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(57,389):{'3_1':0.54,'6_2':0.03,'5_1':0.03,'4_1':0.0},(57,388):{'3_1':0.48,'5_1':0.03,'7_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(57,387):{'3_1':0.33,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(57,386):{'3_1':0.36,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(57,385):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(57,384):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(57,383):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(57,382):{'3_1':0.12,'4_1':0.06,'6_3':0.0,'-3':0.0},(57,381):{'3_1':0.09,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_11':0.0},(57,380):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_11':0.0},(57,379):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(57,378):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'7_2':0.0},(57,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(57,376):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(57,375):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(57,374):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(57,373):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(57,372):{'3_1':0.06,'5_1':0.0},(57,371):{'3_1':0.03},(57,370):{'3_1':0.06,'4_1':0.0},(57,369):{'3_1':0.03},(57,368):{'3_1':0.03,'4_1':0.0},(57,367):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_5':0.0},(57,366):{'3_1':0.03,'6_2':0.0},(57,365):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(57,364):{'3_1':0.06,'4_1':0.0,'8_11':0.0},(57,363):{'3_1':0.03,'8_11':0.0},(57,362):{'3_1':0.03},(57,361):{'3_1':0.0},(57,360):{'3_1':0.0,'4_1':0.0},(57,359):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_11':0.0},(57,358):{'3_1':0.0,'4_1':0.0},(57,357):{'3_1':0.03,'6_2':0.0},(57,356):{'3_1':0.0},(57,355):{'3_1':0.03,'4_1':0.0},(57,354):{'3_1':0.03,'4_1':0.0},(57,353):{'3_1':0.0},(57,352):{'3_1':0.0},(57,351):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(57,350):{'3_1':0.03},(57,349):{'3_1':0.0},(57,348):{'3_1':0.0,'4_1':0.0},(57,347):{'5_2':0.0,'6_1':0.0},(57,346):{'3_1':0.0,'6_2':0.0},(57,345):{'3_1':0.03},(57,344):{'3_1':0.0},(57,343):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(57,342):{'3_1':0.0},(57,341):{'3_1':0.0},(57,340):{'3_1':0.06},(57,339):{'3_1':0.0,'6_1':0.0},(57,338):{'3_1':0.03,'4_1':0.0},(57,337):{'3_1':0.06,'6_1':0.0,'4_1':0.0},(57,336):{'3_1':0.03},(57,335):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(57,334):{'3_1':0.03,'6_1':0.0},(57,333):{'3_1':0.03,'4_1':0.0},(57,332):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(57,331):{'3_1':0.03,'8_20|3_1#3_1':0.0},(57,330):{'3_1':0.06},(57,329):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(57,328):{'3_1':0.0},(57,327):{'3_1':0.03},(57,326):{'3_1':0.06,'4_1':0.0},(57,325):{'3_1':0.0},(57,324):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(57,323):{'3_1':0.0,'5_2':0.0},(57,322):{'3_1':0.0},(57,321):{'3_1':0.06},(57,320):{'3_1':0.0,'7_2':0.0},(57,319):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(57,318):{'3_1':0.0},(57,317):{'3_1':0.03},(57,316):{'3_1':0.03},(57,315):{'3_1':0.0},(57,314):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(57,313):{'3_1':0.0},(57,312):{'3_1':0.03},(57,311):{'3_1':0.03,'4_1':0.0},(57,310):{'3_1':0.0},(57,309):{'3_1':0.0,'4_1':0.0,'8_6':0.0},(57,308):{'3_1':0.03,'8_20|3_1#3_1':0.0},(57,307):{'3_1':0.0},(57,306):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(57,305):{'3_1':0.0},(57,304):{'3_1':0.0},(57,302):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(57,301):{'3_1':0.0},(57,300):{'3_1':0.06},(57,299):{'3_1':0.03},(57,298):{'3_1':0.06,'5_1':0.0},(57,297):{'3_1':0.03,'5_1':0.0},(57,296):{'3_1':0.03},(57,295):{'3_1':0.03},(57,294):{'3_1':0.03},(57,293):{'3_1':0.03},(57,292):{'3_1':0.0,'5_2':0.0},(57,291):{'3_1':0.03},(57,290):{'3_1':0.0,'5_2':0.0},(57,289):{'3_1':0.03},(57,288):{'3_1':0.03},(57,287):{'3_1':0.0},(57,286):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(57,285):{'3_1':0.03,'5_1':0.0},(57,284):{'3_1':0.03,'5_2':0.0},(57,283):{'3_1':0.0},(57,282):{'3_1':0.0},(57,281):{'3_1':0.03},(57,280):{'3_1':0.0,'4_1':0.0},(57,279):{'3_1':0.0,'4_1':0.0},(57,278):{'3_1':0.0,'4_1':0.0},(57,277):{'3_1':0.0},(57,276):{'3_1':0.03,'5_1':0.0},(57,275):{'3_1':0.03,'5_2':0.0},(57,274):{'3_1':0.0},(57,273):{'3_1':0.0,'4_1':0.0},(57,272):{'3_1':0.0},(57,271):{'3_1':0.03},(57,270):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(57,269):{'3_1':0.03},(57,268):{'3_1':0.0},(57,267):{'3_1':0.0,'5_2':0.0},(57,265):{'3_1':0.03},(57,264):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(57,263):{'3_1':0.0},(57,262):{'3_1':0.0},(57,261):{'3_1':0.0,'4_1':0.0},(57,260):{'3_1':0.0},(57,259):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(57,258):{'3_1':0.03,'4_1':0.0},(57,257):{'3_1':0.0},(57,256):{'3_1':0.0},(57,255):{'3_1':0.03,'5_1':0.0},(57,254):{'3_1':0.0},(57,253):{'3_1':0.03},(57,252):{'3_1':0.0},(57,251):{'3_1':0.03},(57,250):{'3_1':0.0,'5_1':0.0},(57,249):{'3_1':0.0},(57,248):{'3_1':0.0},(57,247):{'3_1':0.03},(57,246):{'3_1':0.0},(57,245):{'3_1':0.0},(57,244):{'3_1':0.03},(57,243):{'3_1':0.06},(57,242):{'3_1':0.0},(57,241):{'3_1':0.0},(57,240):{'3_1':0.0,'4_1':0.0},(57,239):{'3_1':0.0},(57,238):{'3_1':0.0},(57,237):{'3_1':0.03},(57,236):{'3_1':0.0},(57,235):{'3_1':0.0},(57,234):{'3_1':0.0},(57,233):{'3_1':0.0},(57,232):{'3_1':0.0,'8_20|3_1#3_1':0.0},(57,231):{'3_1':0.03},(57,230):{'3_1':0.0,'4_1':0.0},(57,229):{'3_1':0.0},(57,228):{'3_1':0.0},(57,227):{'3_1':0.03},(57,226):{'3_1':0.03},(57,225):{'3_1':0.0,'4_1':0.0},(57,224):{'3_1':0.03},(57,223):{'3_1':0.0},(57,222):{'3_1':0.0},(57,221):{'3_1':0.0},(57,220):{'3_1':0.03,'4_1':0.0},(57,219):{'3_1':0.0},(57,218):{'3_1':0.0},(57,217):{'3_1':0.0},(57,215):{'3_1':0.03},(57,214):{'3_1':0.0},(57,212):{'3_1':0.06,'4_1':0.0},(57,211):{'3_1':0.0},(57,210):{'3_1':0.0},(57,209):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(57,208):{'3_1':0.06},(57,207):{'3_1':0.0},(57,206):{'3_1':0.0},(57,205):{'3_1':0.03},(57,204):{'3_1':0.0},(57,203):{'3_1':0.03,'4_1':0.0},(57,201):{'4_1':0.0},(57,200):{'3_1':0.03},(57,199):{'3_1':0.03},(57,198):{'3_1':0.0},(57,197):{'3_1':0.0},(57,196):{'3_1':0.03},(57,194):{'3_1':0.0},(57,193):{'3_1':0.0},(57,192):{'3_1':0.0},(57,191):{'3_1':0.0},(57,190):{'3_1':0.03,'4_1':0.0},(57,189):{'3_1':0.03},(57,188):{'3_1':0.03},(57,187):{'3_1':0.0},(57,186):{'3_1':0.0},(57,185):{'3_1':0.0},(57,184):{'3_1':0.0},(57,183):{'3_1':0.06},(57,182):{'3_1':0.0},(57,181):{'3_1':0.0},(57,180):{'3_1':0.0},(57,179):{'3_1':0.0},(57,178):{'3_1':0.0},(57,177):{'3_1':0.0},(57,175):{'3_1':0.0},(57,173):{'3_1':0.0},(57,171):{'3_1':0.0},(57,170):{'3_1':0.0},(57,169):{'3_1':0.0},(57,167):{'3_1':0.0},(57,165):{'3_1':0.0},(57,161):{'3_1':0.0},(57,160):{'3_1':0.0},(57,159):{'3_1':0.0},(57,157):{'3_1':0.0},(57,156):{'3_1':0.0},(57,155):{'3_1':0.0},(57,152):{'3_1':0.0},(57,150):{'3_1':0.0},(57,147):{'3_1':0.0},(57,146):{'3_1':0.0},(57,145):{'3_1':0.0},(57,144):{'3_1':0.0},(57,142):{'3_1':0.0},(57,141):{'3_1':0.0},(57,140):{'3_1':0.0},(57,139):{'3_1':0.0},(57,138):{'3_1':0.0},(57,133):{'3_1':0.0},(58,459):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(58,458):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(58,457):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(58,456):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(58,455):{'3_1':0.9,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(58,454):{'3_1':0.9,'5_2':0.03,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(58,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(58,452):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(58,451):{'3_1':0.9,'5_2':0.0,'6_2':0.0},(58,450):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(58,449):{'3_1':0.87,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(58,448):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0},(58,447):{'3_1':0.84,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'5_1':0.0,'8_2':0.0,'-3':0.0},(58,446):{'3_1':0.81,'5_2':0.06,'5_1':0.03,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(58,445):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(58,444):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(58,443):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0},(58,442):{'3_1':0.84,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(58,441):{'3_1':0.84,'5_2':0.06,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0},(58,440):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0},(58,439):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(58,438):{'3_1':0.84,'5_1':0.03,'7_5':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(58,437):{'3_1':0.9,'5_2':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(58,436):{'3_1':0.84,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(58,435):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_6':0.0},(58,434):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(58,433):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'-3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(58,432):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(58,431):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'5_2':0.0,'-3':0.0},(58,430):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(58,429):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(58,428):{'3_1':0.84,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0},(58,427):{'3_1':0.81,'5_2':0.06,'5_1':0.03,'6_3':0.0,'8_2':0.0,'-3':0.0},(58,426):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0},(58,425):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0,'8_5':0.0},(58,424):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(58,423):{'3_1':0.81,'5_1':0.03,'7_5':0.03,'8_2':0.03,'7_3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(58,422):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(58,421):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(58,420):{'3_1':0.84,'5_1':0.03,'7_3':0.0,'8_2':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(58,419):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(58,418):{'3_1':0.81,'5_1':0.06,'7_3':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(58,417):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(58,416):{'3_1':0.66,'5_1':0.12,'5_2':0.12,'7_5':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(58,415):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(58,414):{'3_1':0.72,'5_1':0.12,'8_2':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(58,413):{'3_1':0.63,'5_1':0.15,'5_2':0.06,'8_2':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0,'1':-0.03},(58,412):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'8_2':0.03,'6_2':0.0,'-3':0.0,'7_4':0.0},(58,411):{'3_1':0.63,'5_1':0.15,'5_2':0.06,'8_2':0.03,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(58,410):{'3_1':0.63,'5_1':0.12,'5_2':0.09,'6_2':0.0,'-3':0.0,'7_3':0.0,'8_2':0.0,'1':-0.03},(58,409):{'3_1':0.66,'5_1':0.12,'6_2':0.03,'8_2':0.03,'5_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(58,408):{'3_1':0.78,'5_1':0.09,'5_2':0.03,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(58,407):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(58,406):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'7_1':0.0},(58,405):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.03,'7_1':0.0,'8_11':0.0,'8_19':0.0,'-3':0.0},(58,404):{'3_1':0.81,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0},(58,403):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_5':0.0},(58,402):{'3_1':0.78,'5_2':0.06,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(58,401):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'7_5':0.0,'5_2':0.0,'6_3':0.0},(58,400):{'3_1':0.81,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(58,399):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(58,398):{'3_1':0.81,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0},(58,397):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(58,396):{'3_1':0.78,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(58,395):{'3_1':0.72,'8_19':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_5':0.0},(58,394):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(58,393):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(58,392):{'3_1':0.6,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'8_5':0.0},(58,391):{'3_1':0.63,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0},(58,390):{'3_1':0.6,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_16':0.0},(58,389):{'3_1':0.57,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(58,388):{'3_1':0.45,'5_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(58,387):{'3_1':0.36,'5_1':0.03,'4_1':0.0,'6_2':0.0},(58,386):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_2':0.0},(58,385):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'8_11':0.0,'6_2':0.0,'6_3':0.0},(58,384):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(58,383):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(58,382):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_18':0.0,'-3':0.0},(58,381):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0,'8_6':0.0,'-3':0.0},(58,380):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(58,379):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0},(58,378):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(58,377):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(58,376):{'3_1':0.03,'4_1':0.0,'8_10':0.0},(58,375):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(58,374):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0},(58,373):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(58,372):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(58,371):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(58,370):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(58,369):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(58,368):{'3_1':0.06,'5_1':0.0},(58,367):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(58,366):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(58,365):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(58,364):{'3_1':0.06,'5_1':0.0},(58,363):{'3_1':0.03,'4_1':0.0},(58,362):{'3_1':0.03},(58,361):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(58,360):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(58,359):{'3_1':0.0,'4_1':0.0},(58,358):{'3_1':0.03,'4_1':0.0},(58,357):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(58,356):{'5_2':0.0},(58,355):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(58,354):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_1':0.0},(58,353):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,352):{'3_1':0.0},(58,351):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(58,350):{'3_1':0.03},(58,349):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(58,348):{'3_1':0.0,'4_1':0.0},(58,347):{'3_1':0.0},(58,346):{'3_1':0.0},(58,345):{'3_1':0.0,'4_1':0.0},(58,344):{'3_1':0.03,'4_1':0.0},(58,343):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(58,342):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(58,341):{'3_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(58,340):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(58,339):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(58,338):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(58,337):{'3_1':0.0},(58,336):{'3_1':0.0},(58,335):{'3_1':0.06,'5_2':0.0},(58,334):{'3_1':0.03},(58,333):{'3_1':0.12},(58,332):{'3_1':0.09,'4_1':0.0},(58,331):{'3_1':0.06},(58,330):{'3_1':0.03,'4_1':0.0},(58,329):{'3_1':0.03,'4_1':0.0},(58,328):{'3_1':0.06,'6_1':0.0},(58,327):{'3_1':0.03,'8_20|3_1#3_1':0.0},(58,326):{'3_1':0.0},(58,325):{'3_1':0.0,'6_1':0.0},(58,324):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(58,323):{'3_1':0.0},(58,322):{'3_1':0.0,'6_3':0.0},(58,321):{'4_1':0.0,'3_1':0.0},(58,320):{'3_1':0.0},(58,319):{'3_1':0.03},(58,318):{'3_1':0.0,'4_1':0.0},(58,317):{'3_1':0.0},(58,316):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(58,315):{'4_1':0.0,'5_2':0.0},(58,314):{'3_1':0.06},(58,313):{'3_1':0.0},(58,312):{'3_1':0.03,'5_2':0.0},(58,311):{'3_1':0.0,'4_1':0.0},(58,310):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(58,309):{'3_1':0.03,'4_1':0.0},(58,308):{'3_1':0.03,'5_1':0.0},(58,307):{'3_1':0.0,'4_1':0.0},(58,306):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,305):{'3_1':0.03},(58,304):{'3_1':0.03,'5_1':0.0},(58,303):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(58,302):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(58,301):{'3_1':0.06},(58,300):{'3_1':0.03,'5_1':0.0},(58,299):{'3_1':0.06,'5_2':0.0},(58,298):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(58,297):{'3_1':0.03,'4_1':0.0},(58,296):{'3_1':0.0},(58,295):{'3_1':0.06},(58,294):{'3_1':0.0,'6_3':0.0},(58,293):{'3_1':0.0,'5_2':0.0},(58,292):{'3_1':0.0,'5_2':0.0},(58,291):{'3_1':0.0},(58,290):{'3_1':0.03,'5_2':0.0},(58,289):{'3_1':0.0,'6_3':0.0},(58,288):{'3_1':0.0},(58,286):{'3_1':0.0},(58,285):{'3_1':0.0,'4_1':0.0},(58,284):{'3_1':0.0,'4_1':0.0},(58,283):{'3_1':0.0},(58,282):{'3_1':0.0},(58,281):{'3_1':0.0},(58,280):{'3_1':0.0},(58,279):{'3_1':0.03},(58,278):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(58,277):{'3_1':0.03,'4_1':0.0},(58,276):{'3_1':0.0},(58,275):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(58,274):{'3_1':0.03,'4_1':0.0},(58,273):{'3_1':0.0},(58,272):{'3_1':0.0},(58,271):{'3_1':0.0,'4_1':0.0},(58,270):{'3_1':0.03,'4_1':0.0},(58,269):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(58,268):{'3_1':0.0},(58,267):{'4_1':0.0},(58,266):{'3_1':0.0,'4_1':0.0},(58,265):{'3_1':0.0},(58,264):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,263):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(58,262):{'3_1':0.0,'5_2':0.0},(58,261):{'3_1':0.06},(58,260):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(58,259):{'3_1':0.03,'6_1':0.0},(58,258):{'3_1':0.0,'4_1':0.0},(58,257):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(58,256):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(58,255):{'3_1':0.0,'6_1':0.0},(58,254):{'3_1':0.0},(58,253):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(58,252):{'3_1':0.03},(58,251):{'3_1':0.0},(58,250):{'3_1':0.03,'5_2':0.0},(58,249):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(58,248):{'3_1':0.03},(58,247):{'3_1':0.0},(58,245):{'3_1':0.0,'4_1':0.0},(58,244):{'3_1':0.0,'4_1':0.0},(58,243):{'3_1':0.03},(58,242):{'3_1':0.03},(58,241):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,240):{'3_1':0.0},(58,239):{'3_1':0.0},(58,238):{'3_1':0.0,'4_1':0.0},(58,237):{'3_1':0.03},(58,236):{'3_1':0.0,'5_2':0.0},(58,235):{'3_1':0.0},(58,234):{'3_1':0.0,'4_1':0.0},(58,233):{'3_1':0.0},(58,232):{'3_1':0.06,'4_1':0.0},(58,230):{'3_1':0.0},(58,229):{'3_1':0.0},(58,228):{'3_1':0.0},(58,227):{'3_1':0.03},(58,226):{'3_1':0.0},(58,225):{'3_1':0.03},(58,224):{'3_1':0.03},(58,223):{'3_1':0.0},(58,222):{'3_1':0.06,'5_2':0.0},(58,221):{'3_1':0.03},(58,220):{'3_1':0.0},(58,219):{'3_1':0.0,'4_1':0.0},(58,218):{'3_1':0.0},(58,217):{'4_1':0.0,'3_1':0.0},(58,216):{'3_1':0.0},(58,215):{'3_1':0.03},(58,214):{'3_1':0.0},(58,213):{'3_1':0.0},(58,212):{'3_1':0.03,'4_1':0.0},(58,211):{'3_1':0.03,'4_1':0.0},(58,210):{'3_1':0.0},(58,209):{'3_1':0.0,'5_2':0.0},(58,208):{'3_1':0.03},(58,207):{'3_1':0.03,'5_2':0.0},(58,206):{'3_1':0.03,'4_1':0.0},(58,205):{'3_1':0.0},(58,204):{'3_1':0.0},(58,202):{'3_1':0.0,'5_2':0.0},(58,201):{'3_1':0.0},(58,200):{'3_1':0.0,'4_1':0.0},(58,199):{'3_1':0.03,'5_1':0.0},(58,198):{'3_1':0.03,'5_2':0.0},(58,197):{'3_1':0.03},(58,196):{'3_1':0.0},(58,195):{'3_1':0.0},(58,194):{'3_1':0.03,'5_2':0.0},(58,193):{'3_1':0.0},(58,192):{'3_1':0.03},(58,191):{'3_1':0.03},(58,190):{'3_1':0.03},(58,189):{'3_1':0.0},(58,188):{'3_1':0.0},(58,187):{'3_1':0.03},(58,186):{'3_1':0.0},(58,184):{'3_1':0.0},(58,183):{'5_2':0.0,'3_1':0.0},(58,182):{'3_1':0.0},(58,181):{'3_1':0.0},(58,180):{'3_1':0.0},(58,179):{'3_1':0.0},(58,178):{'3_1':0.0},(58,177):{'3_1':0.0},(58,175):{'3_1':0.0},(58,174):{'3_1':0.0,'5_2':0.0},(58,173):{'5_1':0.0},(58,171):{'3_1':0.0},(58,169):{'3_1':0.0},(58,168):{'3_1':0.0},(58,166):{'3_1':0.0},(58,165):{'3_1':0.0},(58,164):{'3_1':0.0},(58,162):{'3_1':0.0},(58,161):{'3_1':0.0},(58,160):{'3_1':0.0},(58,157):{'3_1':0.0},(58,156):{'3_1':0.0},(58,153):{'3_1':0.0},(58,152):{'3_1':0.0},(58,151):{'3_1':0.0},(58,150):{'3_1':0.0},(58,149):{'3_1':0.0},(58,148):{'3_1':0.0},(58,147):{'3_1':0.0},(58,146):{'3_1':0.0},(58,144):{'3_1':0.0},(58,140):{'3_1':0.0},(58,136):{'3_1':0.0},(58,135):{'3_1':0.0},(58,130):{'3_1':0.0},(59,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(59,458):{'3_1':0.9,'7_5':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(59,457):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0},(59,456):{'3_1':0.9,'6_2':0.0,'7_5':0.0,'-3':0.0},(59,455):{'3_1':0.9,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(59,454):{'3_1':0.9,'5_1':0.0,'7_5':0.0,'-3':0.0},(59,453):{'3_1':0.9,'5_1':0.03,'7_1':0.0,'5_2':0.0,'8_2':0.0},(59,452):{'3_1':0.9,'5_1':0.03,'-3':0.0},(59,451):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(59,450):{'3_1':0.87,'-3':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(59,449):{'3_1':0.9,'5_1':0.0,'7_5':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(59,448):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(59,447):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(59,446):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(59,445):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0},(59,444):{'3_1':0.87,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(59,443):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(59,442):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_3':0.0,'8_2':0.0,'6_3':0.0,'7_1':0.0},(59,441):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(59,440):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'8_2':0.0,'-3':0.0},(59,439):{'3_1':0.87,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_19':0.0},(59,438):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(59,437):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(59,436):{'3_1':0.9,'5_2':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'1':-0.03},(59,435):{'3_1':0.84,'8_2':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(59,434):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_5':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(59,433):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'6_2':0.0,'8_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(59,432):{'3_1':0.84,'5_2':0.03,'7_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(59,431):{'3_1':0.75,'5_1':0.09,'5_2':0.06,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(59,430):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(59,429):{'3_1':0.87,'5_1':0.06,'5_2':0.0,'6_2':0.0},(59,428):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(59,427):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'7_3':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(59,426):{'3_1':0.81,'5_1':0.03,'-3':0.03,'5_2':0.0,'6_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(59,425):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(59,424):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'7_1':0.0,'8_2':0.0,'6_2':0.0,'8_11':0.0},(59,423):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'7_3':0.0,'7_1':0.0,'7_5':0.0},(59,422):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(59,421):{'3_1':0.72,'5_1':0.06,'-3':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(59,420):{'3_1':0.78,'5_1':0.09,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(59,419):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(59,418):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.0,'7_1':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_11':0.0,'8_19':0.0,'-3':0.0},(59,417):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'7_1':0.03,'8_2':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(59,416):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(59,415):{'3_1':0.72,'5_1':0.09,'5_2':0.06,'8_2':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0},(59,414):{'3_1':0.66,'5_1':0.06,'5_2':0.06,'8_2':0.03,'6_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(59,413):{'3_1':0.63,'5_1':0.15,'5_2':0.06,'8_2':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'3_1#5_1':0.0,'1':-0.03},(59,412):{'3_1':0.72,'5_1':0.12,'8_2':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0,'3_1#5_1':0.0},(59,411):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'8_2':0.03,'-3':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'1':-0.03},(59,410):{'3_1':0.63,'5_1':0.12,'5_2':0.09,'6_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(59,409):{'3_1':0.6,'5_2':0.12,'5_1':0.12,'6_2':0.0,'8_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(59,408):{'3_1':0.78,'5_2':0.06,'5_1':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0,'3_1#5_1':0.0,'-3':0.0},(59,407):{'3_1':0.75,'5_1':0.06,'-3':0.03,'6_2':0.0,'8_2':0.0,'7_5':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(59,406):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(59,405):{'3_1':0.78,'5_2':0.06,'5_1':0.0,'6_2':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0},(59,404):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(59,403):{'3_1':0.78,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_11':0.0},(59,402):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_3':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(59,401):{'3_1':0.84,'6_2':0.0,'7_5':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_11':0.0,'-3':0.0},(59,400):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(59,399):{'3_1':0.84,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(59,398):{'3_1':0.75,'5_2':0.03,'7_5':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(59,397):{'3_1':0.78,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'-3':0.0},(59,396):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(59,395):{'3_1':0.75,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(59,394):{'3_1':0.69,'5_2':0.03,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(59,393):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'7_5':0.0,'8_10':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(59,392):{'3_1':0.63,'5_1':0.09,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(59,391):{'3_1':0.57,'5_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(59,390):{'3_1':0.6,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0},(59,389):{'3_1':0.54,'6_2':0.03,'4_1':0.0,'7_1':0.0,'5_1':0.0,'5_2':0.0,'8_7':0.0},(59,388):{'3_1':0.51,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(59,387):{'3_1':0.33,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_7':0.0,'-3':0.0},(59,386):{'3_1':0.3,'5_2':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(59,385):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0},(59,384):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(59,383):{'3_1':0.03,'4_1':0.03,'5_1':0.03,'6_2':0.0},(59,382):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(59,381):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0},(59,380):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(59,379):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(59,378):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_7':0.0},(59,377):{'5_2':0.03,'3_1':0.03,'4_1':0.0,'5_1':0.0},(59,376):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(59,375):{'3_1':0.03,'4_1':0.03},(59,374):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(59,373):{'3_1':0.06,'4_1':0.0,'8_7':0.0},(59,372):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(59,371):{'3_1':0.06,'5_1':0.0},(59,370):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(59,369):{'3_1':0.06,'5_2':0.0},(59,368):{'3_1':0.03,'4_1':0.0},(59,367):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(59,366):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(59,365):{'3_1':0.0,'4_1':0.0},(59,364):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(59,363):{'3_1':0.0,'5_2':0.0},(59,362):{'3_1':0.0,'4_1':0.0,'6_3':0.0,'8_7':0.0},(59,361):{'3_1':0.03,'5_2':0.0},(59,360):{'3_1':0.0},(59,359):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_7':0.0},(59,358):{'3_1':0.0,'6_3':0.0},(59,357):{'3_1':0.03,'6_1':0.0},(59,356):{'3_1':0.0,'4_1':0.0},(59,355):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(59,354):{'3_1':0.03,'4_1':0.0},(59,353):{'3_1':0.03},(59,352):{'3_1':0.03},(59,351):{'3_1':0.03,'6_3':0.0},(59,350):{'3_1':0.06,'4_1':0.0,'8_10':0.0},(59,349):{'3_1':0.0,'4_1':0.0,'8_7':0.0},(59,348):{'3_1':0.0,'5_1':0.0},(59,347):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(59,346):{'5_1':0.0,'6_1':0.0},(59,345):{'3_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(59,344):{'3_1':0.0},(59,343):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(59,342):{'3_1':0.0,'8_20|3_1#3_1':0.0},(59,341):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(59,340):{'3_1':0.03},(59,339):{'3_1':0.0,'5_2':0.0},(59,338):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(59,337):{'3_1':0.0,'4_1':0.0},(59,336):{'3_1':0.03},(59,335):{'3_1':0.0,'7_2':0.0},(59,334):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(59,333):{'3_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0},(59,332):{'3_1':0.09},(59,331):{'3_1':0.03},(59,330):{'3_1':0.06,'4_1':0.0},(59,329):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(59,328):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(59,327):{'3_1':0.03},(59,326):{'3_1':0.0},(59,325):{'3_1':0.0,'4_1':0.0},(59,324):{'3_1':0.0,'4_1':0.0,'8_7':0.0},(59,323):{'3_1':0.0,'5_2':0.0},(59,322):{'3_1':0.0,'4_1':0.0},(59,321):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(59,320):{'3_1':0.0,'4_1':0.0},(59,319):{'3_1':0.0},(59,318):{'3_1':0.0,'8_7':0.0},(59,317):{'3_1':0.03,'5_2':0.0},(59,316):{'3_1':0.0,'4_1':0.0},(59,315):{'3_1':0.0},(59,314):{'3_1':0.0},(59,313):{'3_1':0.06},(59,312):{'3_1':0.03,'4_1':0.0},(59,311):{'3_1':0.03,'4_1':0.0},(59,310):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(59,309):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_7':0.0},(59,308):{'3_1':0.03,'5_2':0.0},(59,307):{'3_1':0.03},(59,306):{'3_1':0.03,'5_1':0.0},(59,305):{'3_1':0.0,'4_1':0.0},(59,304):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'8_7':0.0},(59,303):{'4_1':0.0,'5_1':0.0},(59,302):{'3_1':0.0,'4_1':0.0},(59,301):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(59,300):{'3_1':0.0},(59,299):{'3_1':0.0},(59,298):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(59,297):{'3_1':0.03,'4_1':0.0},(59,296):{'3_1':0.0},(59,295):{'3_1':0.03,'5_2':0.0},(59,294):{'3_1':0.0,'8_7':0.0},(59,293):{'3_1':0.0,'8_7':0.0,'6_3':0.0,'8_8':0.0},(59,292):{'3_1':0.0,'5_2':0.0},(59,291):{'3_1':0.03,'4_1':0.0},(59,290):{'4_1':0.0,'3_1':0.0},(59,289):{'3_1':0.03},(59,288):{'3_1':0.0},(59,287):{'3_1':0.0},(59,286):{'3_1':0.0,'5_2':0.0},(59,285):{'3_1':0.0},(59,284):{'3_1':0.0,'5_2':0.0},(59,283):{'3_1':0.0},(59,282):{'3_1':0.0,'4_1':0.0},(59,281):{'3_1':0.0,'6_3':0.0,'8_7':0.0},(59,280):{'3_1':0.0},(59,279):{'3_1':0.0,'6_3':0.0},(59,278):{'3_1':0.0},(59,277):{'3_1':0.0},(59,276):{'3_1':0.0,'6_3':0.0},(59,275):{'3_1':0.0},(59,274):{'3_1':0.03,'4_1':0.0},(59,273):{'3_1':0.0},(59,272):{'3_1':0.03,'4_1':0.0,'8_10':0.0},(59,271):{'3_1':0.0},(59,270):{'3_1':0.0},(59,269):{'3_1':0.0,'6_3':0.0},(59,268):{'3_1':0.0},(59,267):{'3_1':0.0,'5_2':0.0},(59,266):{'3_1':0.0},(59,265):{'3_1':0.0},(59,264):{'3_1':0.0},(59,263):{'3_1':0.06,'4_1':0.0},(59,262):{'3_1':0.03,'4_1':0.0},(59,261):{'3_1':0.03},(59,260):{'3_1':0.0},(59,259):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(59,258):{'3_1':0.0},(59,257):{'3_1':0.03,'5_2':0.0},(59,256):{'3_1':0.03},(59,255):{'3_1':0.0,'4_1':0.0},(59,254):{'3_1':0.0,'5_2':0.0},(59,253):{'3_1':0.0},(59,252):{'3_1':0.0,'5_2':0.0},(59,251):{'3_1':0.0},(59,250):{'3_1':0.0},(59,249):{'3_1':0.0},(59,248):{'3_1':0.0},(59,247):{'3_1':0.03},(59,246):{'3_1':0.0},(59,245):{'3_1':0.0},(59,244):{'3_1':0.0},(59,243):{'3_1':0.0},(59,242):{'3_1':0.03},(59,241):{'3_1':0.0},(59,240):{'3_1':0.0},(59,239):{'3_1':0.0,'5_2':0.0},(59,238):{'3_1':0.0},(59,237):{'3_1':0.0},(59,236):{'3_1':0.03},(59,235):{'3_1':0.03},(59,234):{'3_1':0.0},(59,233):{'3_1':0.0},(59,232):{'3_1':0.06},(59,231):{'3_1':0.0},(59,230):{'3_1':0.0},(59,229):{'3_1':0.0},(59,228):{'3_1':0.0},(59,227):{'3_1':0.03},(59,226):{'3_1':0.0,'4_1':0.0},(59,225):{'3_1':0.0},(59,224):{'3_1':0.0,'5_2':0.0},(59,223):{'3_1':0.0},(59,222):{'3_1':0.0},(59,221):{'3_1':0.03,'6_2':0.0},(59,220):{'3_1':0.0,'6_2':0.0},(59,218):{'3_1':0.0},(59,217):{'3_1':0.0},(59,216):{'3_1':0.03},(59,215):{'3_1':0.03,'4_1':0.0},(59,214):{'3_1':0.03},(59,213):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(59,212):{'3_1':0.0,'5_2':0.0},(59,211):{'3_1':0.0},(59,210):{'3_1':0.0},(59,209):{'3_1':0.0},(59,208):{'3_1':0.03,'5_2':0.0},(59,207):{'3_1':0.03,'5_2':0.0},(59,206):{'3_1':0.0},(59,205):{'3_1':0.03},(59,204):{'3_1':0.0},(59,203):{'3_1':0.0,'4_1':0.0},(59,202):{'3_1':0.0},(59,201):{'3_1':0.0},(59,200):{'3_1':0.0},(59,199):{'3_1':0.03},(59,198):{'3_1':0.0},(59,197):{'3_1':0.03},(59,196):{'3_1':0.0,'4_1':0.0},(59,195):{'3_1':0.03},(59,194):{'3_1':0.0},(59,193):{'3_1':0.03},(59,192):{'3_1':0.0},(59,191):{'3_1':0.0},(59,190):{'3_1':0.0},(59,188):{'3_1':0.0},(59,187):{'3_1':0.0},(59,186):{'3_1':0.0},(59,185):{'3_1':0.0},(59,184):{'3_1':0.0},(59,183):{'3_1':0.06},(59,182):{'3_1':0.0},(59,181):{'3_1':0.0},(59,180):{'3_1':0.0},(59,179):{'3_1':0.0},(59,178):{'3_1':0.0},(59,177):{'3_1':0.0},(59,176):{'3_1':0.0},(59,175):{'3_1':0.0},(59,174):{'3_1':0.0},(59,173):{'3_1':0.0},(59,169):{'3_1':0.0},(59,168):{'3_1':0.0},(59,165):{'3_1':0.0},(59,162):{'3_1':0.0},(59,160):{'3_1':0.0},(59,159):{'3_1':0.0},(59,157):{'3_1':0.0},(59,151):{'3_1':0.0},(59,150):{'3_1':0.0},(59,147):{'3_1':0.0},(59,145):{'3_1':0.0},(59,144):{'3_1':0.0},(59,142):{'3_1':0.03},(59,139):{'3_1':0.0},(59,136):{'3_1':0.0},(59,135):{'3_1':0.0},(59,132):{'3_1':0.0},(59,126):{'3_1':0.0},(59,112):{'3_1':0.0},(60,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(60,458):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(60,457):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(60,456):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(60,455):{'3_1':0.9,'7_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0},(60,454):{'3_1':0.9,'5_1':0.03,'7_5':0.0},(60,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(60,452):{'3_1':0.9,'5_1':0.0,'7_5':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(60,451):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(60,450):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(60,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_5':0.0,'7_3':0.0,'8_2':0.0},(60,448):{'3_1':0.9,'5_1':0.0,'7_5':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(60,447):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(60,446):{'3_1':0.9,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0,'3_1#5_2':0.0},(60,445):{'3_1':0.9,'5_2':0.03,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(60,444):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(60,443):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(60,442):{'3_1':0.87,'5_1':0.06,'5_2':0.0,'3_1#5_2':0.0},(60,441):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(60,440):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0},(60,439):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(60,438):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_2':0.0},(60,437):{'3_1':0.9,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(60,436):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'8_2':0.0,'-3':0.0},(60,435):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(60,434):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(60,433):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'-3':0.0},(60,432):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(60,431):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0},(60,430):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'8_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(60,429):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(60,428):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(60,427):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_1':0.0,'-3':0.0},(60,426):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'6_3':0.0,'-3':0.0},(60,425):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(60,424):{'3_1':0.72,'5_1':0.09,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(60,423):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'7_5':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(60,422):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.03,'7_1':0.0,'-3':0.0,'7_5':0.0},(60,421):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(60,420):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.03,'7_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(60,419):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'-3':0.03,'7_1':0.0,'6_2':0.0,'8_2':0.0,'7_3':0.0},(60,418):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'7_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(60,417):{'3_1':0.78,'5_1':0.06,'8_2':0.06,'7_5':0.0,'5_2':0.0,'7_1':0.0},(60,416):{'3_1':0.75,'5_1':0.06,'5_2':0.06,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(60,415):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'7_5':0.03,'-3':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(60,414):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.03,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(60,413):{'3_1':0.63,'5_1':0.09,'8_2':0.09,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(60,412):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.03,'8_2':0.03,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(60,411):{'3_1':0.75,'5_1':0.06,'5_2':0.06,'8_2':0.03,'6_2':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(60,410):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'8_2':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(60,409):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(60,408):{'3_1':0.72,'5_1':0.09,'8_2':0.03,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(60,407):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'-3':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(60,406):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(60,405):{'3_1':0.75,'5_1':0.09,'7_3':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0},(60,404):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(60,403):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0},(60,402):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(60,401):{'3_1':0.75,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(60,400):{'3_1':0.81,'5_2':0.0,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0},(60,399):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_4':0.0},(60,398):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(60,397):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_6':0.0},(60,396):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_5':0.0},(60,395):{'3_1':0.72,'6_2':0.03,'5_2':0.03,'5_1':0.0,'8_2':0.0,'7_5':0.0,'-3':0.0},(60,394):{'3_1':0.69,'5_1':0.09,'6_2':0.0,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(60,393):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0},(60,392):{'3_1':0.57,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(60,391):{'3_1':0.54,'5_1':0.03,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(60,390):{'3_1':0.57,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_5':0.0,'6_2':0.0,'6_3':0.0},(60,389):{'3_1':0.51,'4_1':0.03,'6_2':0.03,'5_1':0.03,'7_1':0.0,'8_2':0.0,'8_19':0.0},(60,388):{'3_1':0.48,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_9':0.0,'-3':0.0},(60,387):{'3_1':0.24,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_9':0.0,'8_19':0.0},(60,386):{'3_1':0.24,'6_2':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0,'5_2':0.0},(60,385):{'3_1':0.21,'4_1':0.03,'6_2':0.03,'5_1':0.03,'5_2':0.0,'7_5':0.0},(60,384):{'3_1':0.15,'6_2':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(60,383):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(60,382):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0},(60,381):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'3_1#5_1':0.0},(60,380):{'3_1':0.18,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_2':0.0,'8_5':0.0},(60,379):{'3_1':0.03,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_1':0.0},(60,378):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(60,377):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(60,376):{'4_1':0.03,'3_1':0.0,'6_2':0.0,'5_1':0.0},(60,375):{'3_1':0.03,'4_1':0.03,'7_5':0.0},(60,374):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(60,373):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0},(60,372):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(60,371):{'3_1':0.0},(60,370):{'3_1':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0},(60,369):{'3_1':0.06,'6_2':0.0,'4_1':0.0},(60,368):{'3_1':0.06,'5_1':0.0},(60,367):{'3_1':0.03,'6_2':0.0},(60,366):{'3_1':0.09,'5_2':0.0},(60,365):{'5_1':0.0,'3_1':0.0,'8_20|3_1#3_1':0.0},(60,364):{'3_1':0.09,'4_1':0.0},(60,363):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(60,362):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(60,361):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(60,360):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(60,359):{'3_1':0.03,'4_1':0.0,'8_2':0.0},(60,358):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(60,357):{'3_1':0.03,'5_2':0.0},(60,356):{'3_1':0.06,'4_1':0.0},(60,355):{'3_1':0.0,'4_1':0.0},(60,354):{'3_1':0.0,'4_1':0.0},(60,353):{'3_1':0.06},(60,352):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(60,351):{'3_1':0.06,'4_1':0.0},(60,350):{'3_1':0.0},(60,349):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(60,348):{'3_1':0.0,'4_1':0.0},(60,347):{'3_1':0.0,'4_1':0.0},(60,346):{'3_1':0.0,'4_1':0.0},(60,345):{'4_1':0.0,'3_1':0.0},(60,344):{'3_1':0.0,'6_1':0.0},(60,343):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(60,342):{'4_1':0.0},(60,341):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(60,340):{'3_1':0.03,'8_20|3_1#3_1':0.0},(60,339):{'3_1':0.0},(60,338):{'4_1':0.0},(60,337):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(60,336):{'3_1':0.06,'5_2':0.0},(60,335):{'3_1':0.06,'4_1':0.0},(60,334):{'3_1':0.03},(60,333):{'3_1':0.03,'4_1':0.0},(60,332):{'3_1':0.03,'4_1':0.0},(60,331):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(60,330):{'3_1':0.03},(60,329):{'3_1':0.06},(60,328):{'3_1':0.0,'3_1#5_1':0.0},(60,327):{'3_1':0.03},(60,326):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(60,325):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(60,324):{'4_1':0.0},(60,323):{'3_1':0.0,'4_1':0.0},(60,322):{'3_1':0.03},(60,321):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(60,320):{'3_1':0.0,'5_2':0.0},(60,319):{'3_1':0.06,'4_1':0.0},(60,318):{'3_1':0.0},(60,317):{'3_1':0.03,'4_1':0.0},(60,316):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(60,315):{'3_1':0.06},(60,314):{'3_1':0.03,'5_1':0.0,'3_1#5_2':0.0},(60,313):{'3_1':0.0,'8_20|3_1#3_1':0.0},(60,312):{'3_1':0.0,'6_1':0.0},(60,311):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(60,310):{'3_1':0.03,'4_1':0.0},(60,309):{'3_1':0.03},(60,308):{'3_1':0.0,'4_1':0.0},(60,307):{'3_1':0.03},(60,306):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(60,305):{'3_1':0.0,'5_1':0.0},(60,304):{'3_1':0.03,'4_1':0.0},(60,303):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(60,302):{'3_1':0.06},(60,301):{'3_1':0.03},(60,300):{'3_1':0.03},(60,299):{'3_1':0.0},(60,298):{'3_1':0.0,'4_1':0.0},(60,297):{'3_1':0.03},(60,296):{'5_1':0.0},(60,295):{'3_1':0.03},(60,294):{'3_1':0.03,'5_1':0.0},(60,293):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(60,292):{'3_1':0.03},(60,291):{'3_1':0.03,'4_1':0.0},(60,290):{'3_1':0.0},(60,289):{'3_1':0.03,'4_1':0.0},(60,288):{'3_1':0.03,'5_1':0.0},(60,287):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(60,286):{'3_1':0.03},(60,285):{'3_1':0.0,'5_1':0.0},(60,284):{'3_1':0.0,'5_1':0.0},(60,283):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(60,282):{'3_1':0.0},(60,281):{'3_1':0.0,'5_2':0.0},(60,280):{'3_1':0.0,'4_1':0.0},(60,279):{'3_1':0.0,'5_1':0.0},(60,278):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(60,277):{'3_1':0.0},(60,276):{'3_1':0.0},(60,275):{'3_1':0.0},(60,273):{'3_1':0.0},(60,272):{'3_1':0.0},(60,271):{'3_1':0.03,'8_20|3_1#3_1':0.0},(60,270):{'3_1':0.03},(60,269):{'3_1':0.03},(60,268):{'3_1':0.03,'4_1':0.0},(60,267):{'3_1':0.0},(60,265):{'3_1':0.03},(60,264):{'3_1':0.0,'6_2':0.0},(60,263):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(60,262):{'3_1':0.03},(60,261):{'3_1':0.03},(60,260):{'3_1':0.03},(60,259):{'3_1':0.03},(60,257):{'3_1':0.0},(60,256):{'3_1':0.0,'4_1':0.0},(60,254):{'3_1':0.0,'4_1':0.0},(60,253):{'3_1':0.03,'4_1':0.0},(60,252):{'3_1':0.03},(60,251):{'3_1':0.0},(60,250):{'3_1':0.0},(60,249):{'3_1':0.0},(60,248):{'3_1':0.03},(60,247):{'3_1':0.0},(60,246):{'3_1':0.03},(60,245):{'3_1':0.0,'5_1':0.0},(60,244):{'3_1':0.03},(60,243):{'3_1':0.0},(60,242):{'3_1':0.06},(60,241):{'3_1':0.0},(60,240):{'3_1':0.0},(60,239):{'3_1':0.03},(60,238):{'3_1':0.0},(60,237):{'3_1':0.0},(60,236):{'3_1':0.03},(60,235):{'3_1':0.03},(60,234):{'3_1':0.03},(60,233):{'3_1':0.03},(60,232):{'3_1':0.0},(60,231):{'3_1':0.0},(60,230):{'3_1':0.0,'4_1':0.0},(60,229):{'3_1':0.03},(60,228):{'3_1':0.0,'4_1':0.0},(60,227):{'3_1':0.03},(60,226):{'3_1':0.03},(60,225):{'3_1':0.03},(60,224):{'3_1':0.0,'4_1':0.0},(60,223):{'3_1':0.06},(60,222):{'3_1':0.0},(60,221):{'3_1':0.0},(60,220):{'3_1':0.0,'6_2':0.0},(60,219):{'3_1':0.0},(60,218):{'3_1':0.0,'4_1':0.0},(60,217):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(60,216):{'3_1':0.03,'4_1':0.0},(60,215):{'3_1':0.0},(60,214):{'3_1':0.0},(60,213):{'3_1':0.03,'4_1':0.0},(60,212):{'3_1':0.0},(60,211):{'3_1':0.03},(60,210):{'3_1':0.03},(60,209):{'3_1':0.0},(60,208):{'3_1':0.0},(60,207):{'3_1':0.0},(60,206):{'3_1':0.03},(60,205):{'3_1':0.0},(60,204):{'3_1':0.0},(60,203):{'3_1':0.0},(60,202):{'3_1':0.0},(60,201):{'3_1':0.03},(60,200):{'3_1':0.0,'6_2':0.0},(60,199):{'3_1':0.03},(60,198):{'3_1':0.0},(60,197):{'3_1':0.0},(60,196):{'3_1':0.03},(60,195):{'3_1':0.03},(60,194):{'3_1':0.0},(60,193):{'3_1':0.0},(60,192):{'3_1':0.0,'4_1':0.0},(60,191):{'3_1':0.03},(60,190):{'3_1':0.03},(60,189):{'3_1':0.0,'5_1':0.0},(60,188):{'3_1':0.03},(60,187):{'3_1':0.0},(60,186):{'3_1':0.0},(60,185):{'3_1':0.0},(60,184):{'3_1':0.0},(60,183):{'3_1':0.03},(60,182):{'3_1':0.0},(60,181):{'3_1':0.0},(60,180):{'3_1':0.03},(60,179):{'3_1':0.0},(60,178):{'3_1':0.0},(60,177):{'3_1':0.03},(60,176):{'3_1':0.0},(60,174):{'3_1':0.0},(60,168):{'3_1':0.0},(60,167):{'3_1':0.0},(60,166):{'3_1':0.0},(60,165):{'3_1':0.0},(60,164):{'3_1':0.0},(60,160):{'3_1':0.0},(60,153):{'3_1':0.0},(60,152):{'4_1':0.0},(60,147):{'3_1':0.0},(60,145):{'3_1':0.0},(60,143):{'3_1':0.0},(60,142):{'3_1':0.0},(60,139):{'3_1':0.0},(60,136):{'3_1':0.0},(60,127):{'3_1':0.0},(61,459):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(61,458):{'3_1':0.9,'7_5':0.0,'5_1':0.0,'5_2':0.0},(61,457):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'8_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(61,456):{'3_1':0.9,'5_2':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0},(61,455):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(61,454):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'7_5':0.0,'5_2':0.0},(61,453):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(61,452):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'3_1#5_2':0.0},(61,451):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'8_2':0.0,'-3':0.0},(61,450):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_5':0.0},(61,449):{'3_1':0.9,'5_1':0.03},(61,448):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(61,447):{'3_1':0.9,'5_2':0.03,'5_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(61,446):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(61,445):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_5':0.0},(61,444):{'3_1':0.9,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(61,443):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'7_5':0.0,'5_2':0.0},(61,442):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(61,441):{'3_1':0.87,'5_1':0.03,'5_2':0.03,'7_5':0.0,'6_2':0.0,'8_2':0.0},(61,440):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'-3':0.0},(61,439):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_3':0.0,'8_2':0.0},(61,438):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(61,437):{'3_1':0.84,'5_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(61,436):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_5':0.0,'6_2':0.0,'-3':0.0},(61,435):{'3_1':0.84,'5_1':0.06,'8_2':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(61,434):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_2':0.03,'7_5':0.0,'7_3':0.0},(61,433):{'3_1':0.87,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0},(61,432):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_2':0.0,'7_5':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(61,431):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_18':0.0,'-3':0.0},(61,430):{'3_1':0.81,'5_1':0.03,'7_5':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(61,429):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(61,428):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'-3':0.03,'7_5':0.0,'6_2':0.0,'7_1':0.0},(61,427):{'3_1':0.78,'5_1':0.06,'-3':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(61,426):{'3_1':0.81,'5_1':0.09,'-3':0.03,'5_2':0.0,'6_2':0.0},(61,425):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_5':0.0,'8_2':0.0,'-3':0.0},(61,424):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'7_3':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(61,423):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'7_5':0.0,'5_2':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(61,422):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(61,421):{'3_1':0.81,'5_1':0.03,'7_1':0.0,'7_5':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(61,420):{'3_1':0.72,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'1':-0.03},(61,419):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(61,418):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0},(61,417):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'7_1':0.03,'5_2':0.0,'-3':0.0},(61,416):{'3_1':0.72,'5_1':0.09,'5_2':0.06,'7_1':0.0,'7_5':0.0,'8_2':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(61,415):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'7_1':0.0},(61,414):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'8_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0},(61,413):{'3_1':0.75,'5_1':0.06,'5_2':0.06,'7_1':0.0,'8_2':0.0,'7_3':0.0,'7_5':0.0},(61,412):{'3_1':0.69,'5_1':0.15,'8_2':0.03,'5_2':0.03,'7_5':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(61,411):{'3_1':0.66,'5_1':0.12,'5_2':0.06,'8_2':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(61,410):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'7_1':0.0,'8_2':0.0,'6_2':0.0,'-3':0.0},(61,409):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'8_9':0.0},(61,408):{'3_1':0.72,'5_1':0.12,'5_2':0.06,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(61,407):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'1':-0.03},(61,406):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(61,405):{'3_1':0.72,'5_2':0.06,'5_1':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(61,404):{'3_1':0.81,'5_2':0.06,'5_1':0.03,'6_2':0.0,'-3':0.0},(61,403):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(61,402):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(61,401):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(61,400):{'3_1':0.81,'5_1':0.03,'6_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(61,399):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(61,398):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(61,397):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(61,396):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_19':0.0,'7_1':0.0},(61,395):{'3_1':0.69,'5_2':0.03,'5_1':0.03,'6_2':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(61,394):{'3_1':0.57,'5_2':0.06,'5_1':0.03,'6_2':0.0,'-3':0.0,'7_5':0.0,'8_14':0.0,'8_19':0.0},(61,393):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(61,392):{'3_1':0.66,'5_2':0.03,'5_1':0.03,'7_5':0.03,'4_1':0.0,'-3':0.0},(61,391):{'3_1':0.6,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(61,390):{'3_1':0.45,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_16':0.0,'-3':0.0},(61,389):{'3_1':0.51,'6_2':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'8_14':0.0,'5_2':0.0,'6_3':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0,'1':-0.03},(61,388):{'3_1':0.48,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(61,387):{'3_1':0.27,'6_2':0.06,'4_1':0.03,'5_1':0.03,'-3':0.0},(61,386):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0},(61,385):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_7':0.0},(61,384):{'3_1':0.09,'4_1':0.03,'8_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'3_1#5_2':0.0},(61,383):{'3_1':0.12,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(61,382):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'-3':0.0},(61,381):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(61,380):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(61,379):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_3':0.0},(61,378):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0,'1':-0.03},(61,377):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_5':0.0},(61,376):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_7':0.0},(61,375):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(61,374):{'3_1':0.03,'8_2':0.0,'6_2':0.0},(61,373):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(61,372):{'3_1':0.03,'4_1':0.0},(61,371):{'3_1':0.06,'4_1':0.0,'-3':0.0},(61,370):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(61,369):{'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_7':0.0},(61,368):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(61,367):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(61,366):{'3_1':0.03,'4_1':0.0},(61,365):{'3_1':0.06,'8_7':0.0},(61,364):{'3_1':0.09,'5_1':0.0},(61,363):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(61,362):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(61,361):{'3_1':0.03,'4_1':0.0},(61,360):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(61,359):{'3_1':0.03,'6_1':0.0},(61,358):{'4_1':0.0,'8_7':0.0},(61,357):{'3_1':0.0,'4_1':0.0,'8_2':0.0},(61,356):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(61,355):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_7':0.0},(61,354):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(61,353):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(61,352):{'3_1':0.03,'4_1':0.03},(61,351):{'3_1':0.0,'4_1':0.0},(61,350):{'3_1':0.03,'4_1':0.0},(61,349):{'3_1':0.03,'5_1':0.0},(61,348):{'3_1':0.03,'4_1':0.0},(61,347):{'3_1':0.0},(61,346):{'3_1':0.0},(61,345):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(61,344):{'3_1':0.0,'6_1':0.0},(61,343):{'4_1':0.0,'3_1':0.0},(61,342):{'3_1':0.03,'4_1':0.0},(61,341):{'6_1':0.0,'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(61,340):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(61,339):{'3_1':0.03,'6_1':0.0,'5_1':0.0,'8_8':0.0},(61,338):{'3_1':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(61,337):{'3_1':0.0,'6_1':0.0,'4_1':0.0,'6_3':0.0},(61,336):{'3_1':0.03,'4_1':0.0},(61,335):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(61,334):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(61,333):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(61,332):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(61,331):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(61,330):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(61,329):{'3_1':0.03,'6_1':0.0},(61,328):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(61,327):{'3_1':0.0,'4_1':0.0},(61,326):{'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(61,325):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(61,324):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(61,323):{'3_1':0.03,'4_1':0.0},(61,321):{'3_1':0.03,'6_2':0.0},(61,320):{'3_1':0.03,'5_1':0.0},(61,319):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(61,318):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(61,317):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(61,316):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(61,315):{'3_1':0.03,'6_3':0.0},(61,314):{'3_1':0.03,'8_6':0.0},(61,313):{'3_1':0.03,'4_1':0.0},(61,312):{'3_1':0.03},(61,311):{'3_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(61,310):{'3_1':0.0,'8_7':0.0},(61,309):{'3_1':0.0,'4_1':0.0},(61,308):{'3_1':0.03},(61,307):{'3_1':0.03,'4_1':0.0},(61,306):{'3_1':0.03},(61,305):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(61,304):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(61,303):{'3_1':0.03,'4_1':0.0},(61,302):{'3_1':0.03,'4_1':0.0},(61,301):{'3_1':0.03,'8_7':0.0},(61,300):{'3_1':0.03,'5_1':0.0},(61,299):{'3_1':0.03,'6_1':0.0},(61,298):{'3_1':0.03},(61,297):{'3_1':0.0},(61,296):{'3_1':0.0,'5_1':0.0},(61,295):{'3_1':0.03},(61,294):{'3_1':0.06},(61,293):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(61,292):{'3_1':0.03,'5_2':0.0},(61,291):{'3_1':0.03,'4_1':0.0},(61,290):{'3_1':0.0},(61,289):{'3_1':0.03,'6_3':0.0,'8_7':0.0},(61,288):{'3_1':0.03,'6_3':0.0},(61,287):{'4_1':0.0},(61,286):{'3_1':0.03,'5_2':0.0},(61,285):{'3_1':0.03},(61,284):{'3_1':0.06},(61,283):{'3_1':0.03},(61,282):{'3_1':0.0,'6_3':0.0},(61,281):{'3_1':0.03,'4_1':0.0},(61,280):{'3_1':0.03,'6_3':0.0},(61,279):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(61,278):{'3_1':0.0},(61,277):{'3_1':0.06},(61,276):{'3_1':0.03,'5_2':0.0},(61,275):{'3_1':0.03},(61,274):{'3_1':0.0},(61,273):{'3_1':0.0},(61,272):{'3_1':0.0,'4_1':0.0},(61,271):{'3_1':0.0},(61,270):{'3_1':0.0,'5_2':0.0},(61,269):{'3_1':0.0},(61,268):{'3_1':0.03,'5_2':0.0},(61,267):{'3_1':0.0},(61,266):{'3_1':0.03,'6_3':0.0},(61,265):{'3_1':0.0,'6_2':0.0},(61,264):{'3_1':0.03},(61,263):{'3_1':0.03,'4_1':0.0},(61,262):{'3_1':0.06},(61,261):{'3_1':0.0},(61,260):{'3_1':0.0},(61,259):{'3_1':0.03},(61,258):{'3_1':0.03,'4_1':0.0},(61,257):{'3_1':0.03},(61,256):{'3_1':0.03},(61,255):{'4_1':0.0},(61,254):{'3_1':0.0},(61,253):{'3_1':0.03},(61,252):{'3_1':0.03},(61,251):{'3_1':0.03},(61,250):{'3_1':0.03,'6_2':0.0},(61,249):{'3_1':0.0,'4_1':0.0},(61,248):{'3_1':0.03},(61,247):{'3_1':0.0},(61,246):{'3_1':0.0},(61,245):{'3_1':0.03,'4_1':0.0},(61,244):{'3_1':0.03,'5_1':0.0},(61,243):{'3_1':0.06},(61,242):{'3_1':0.03},(61,241):{'3_1':0.0},(61,240):{'3_1':0.0},(61,239):{'3_1':0.03},(61,238):{'3_1':0.03},(61,237):{'3_1':0.0},(61,236):{'3_1':0.03},(61,235):{'3_1':0.03},(61,234):{'3_1':0.06},(61,233):{'3_1':0.03},(61,232):{'3_1':0.0},(61,231):{'3_1':0.0},(61,230):{'3_1':0.0},(61,229):{'3_1':0.0},(61,228):{'3_1':0.03},(61,226):{'3_1':0.03},(61,225):{'3_1':0.03},(61,224):{'3_1':0.0},(61,223):{'3_1':0.03},(61,222):{'3_1':0.03,'4_1':0.0},(61,221):{'3_1':0.03,'4_1':0.0},(61,220):{'3_1':0.03},(61,219):{'3_1':0.0},(61,218):{'3_1':0.0},(61,217):{'3_1':0.0},(61,216):{'3_1':0.0},(61,215):{'3_1':0.0},(61,214):{'3_1':0.0,'4_1':0.0},(61,213):{'3_1':0.0},(61,212):{'3_1':0.0,'4_1':0.0},(61,211):{'3_1':0.0},(61,210):{'3_1':0.03},(61,209):{'3_1':0.03},(61,208):{'3_1':0.06},(61,207):{'3_1':0.03},(61,206):{'3_1':0.03},(61,205):{'3_1':0.0,'4_1':0.0},(61,204):{'3_1':0.0},(61,203):{'3_1':0.0},(61,202):{'3_1':0.0},(61,201):{'3_1':0.0},(61,200):{'3_1':0.0},(61,199):{'3_1':0.03},(61,198):{'3_1':0.03},(61,197):{'3_1':0.0,'4_1':0.0},(61,196):{'3_1':0.0},(61,195):{'3_1':0.0},(61,194):{'3_1':0.0},(61,193):{'3_1':0.0},(61,192):{'3_1':0.0},(61,191):{'3_1':0.0},(61,190):{'3_1':0.03},(61,189):{'3_1':0.0},(61,188):{'3_1':0.0},(61,187):{'3_1':0.0},(61,186):{'3_1':0.03},(61,185):{'3_1':0.0,'5_1':0.0},(61,184):{'3_1':0.03},(61,183):{'3_1':0.0},(61,182):{'3_1':0.0},(61,181):{'3_1':0.0},(61,180):{'3_1':0.0},(61,179):{'3_1':0.0},(61,178):{'3_1':0.0},(61,177):{'3_1':0.0},(61,176):{'3_1':0.0},(61,152):{'3_1':0.0},(61,151):{'3_1':0.0},(61,149):{'3_1':0.0},(61,148):{'3_1':0.0},(61,147):{'3_1':0.0},(61,145):{'3_1':0.0},(61,144):{'3_1':0.0},(61,143):{'3_1':0.0},(61,141):{'3_1':0.0},(61,139):{'3_1':0.0},(61,138):{'3_1':0.0},(61,137):{'3_1':0.0},(61,136):{'3_1':0.0},(61,135):{'3_1':0.0},(61,130):{'3_1':0.0},(61,129):{'3_1':0.0},(61,111):{'3_1':0.0},(61,109):{'3_1':0.0},(62,459):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0},(62,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(62,457):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(62,456):{'3_1':0.87,'5_2':0.03,'8_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(62,455):{'3_1':0.9,'5_1':0.03,'5_2':0.0},(62,454):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(62,453):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(62,452):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(62,451):{'3_1':0.87,'5_2':0.03,'5_1':0.03},(62,450):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(62,449):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(62,448):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(62,447):{'3_1':0.9,'5_1':0.03,'8_20|3_1#3_1':0.0},(62,446):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(62,445):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(62,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(62,443):{'3_1':0.81,'5_2':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0},(62,442):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(62,441):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(62,440):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(62,439):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(62,438):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0},(62,437):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(62,436):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(62,435):{'3_1':0.84,'5_1':0.06,'8_2':0.0,'7_1':0.0,'5_2':0.0,'7_5':0.0},(62,434):{'3_1':0.9,'5_2':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0},(62,433):{'3_1':0.84,'5_2':0.03,'5_1':0.03,'7_1':0.0,'-3':0.0,'1':-0.03},(62,432):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(62,431):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_1':0.0},(62,430):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'-3':0.0},(62,429):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(62,428):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0,'6_3':0.0},(62,427):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(62,426):{'3_1':0.78,'5_1':0.03,'-3':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(62,425):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'5_2':0.0,'7_5':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(62,424):{'3_1':0.72,'5_1':0.06,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(62,423):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(62,422):{'3_1':0.81,'5_2':0.03,'8_2':0.03,'7_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(62,421):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0},(62,420):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0,'8_10':0.0,'9_1':0.0},(62,419):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_2':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0},(62,418):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(62,417):{'3_1':0.72,'5_1':0.09,'8_2':0.03,'-3':0.03,'5_2':0.0,'7_1':0.0,'6_3':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(62,416):{'3_1':0.72,'5_1':0.09,'8_2':0.06,'5_2':0.03,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(62,415):{'3_1':0.6,'5_1':0.09,'5_2':0.06,'6_2':0.06,'8_2':0.06,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0},(62,414):{'3_1':0.63,'5_1':0.09,'8_2':0.06,'5_2':0.06,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(62,413):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'8_2':0.03,'7_1':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(62,412):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'9_1':0.0},(62,411):{'3_1':0.63,'5_1':0.09,'5_2':0.09,'8_2':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0},(62,410):{'3_1':0.57,'5_1':0.12,'5_2':0.12,'8_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(62,409):{'3_1':0.57,'5_1':0.15,'5_2':0.06,'8_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_9':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(62,408):{'3_1':0.78,'5_1':0.06,'-3':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(62,407):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'1':-0.03},(62,406):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(62,405):{'3_1':0.69,'5_2':0.09,'5_1':0.03,'7_3':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0},(62,404):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'-3':0.03,'6_2':0.0,'7_5':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(62,403):{'3_1':0.75,'5_1':0.06,'7_1':0.0,'7_5':0.0,'8_2':0.0,'5_2':0.0,'-3':0.0},(62,402):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0},(62,401):{'3_1':0.75,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(62,400):{'3_1':0.72,'5_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_11':0.0},(62,399):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(62,398):{'3_1':0.84,'5_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(62,397):{'3_1':0.72,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(62,396):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(62,395):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'7_1':0.0,'8_2':0.0,'8_10':0.0,'-3':0.0},(62,394):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0},(62,393):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_2':0.0,'8_2':0.0,'3_1#5_1':0.0},(62,392):{'3_1':0.69,'5_1':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0},(62,391):{'3_1':0.57,'5_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_5':0.0},(62,390):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(62,389):{'3_1':0.42,'4_1':0.03,'5_1':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0},(62,388):{'3_1':0.48,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(62,387):{'3_1':0.36,'6_2':0.03,'5_1':0.0,'8_9':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(62,386):{'3_1':0.3,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(62,385):{'3_1':0.18,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(62,384):{'3_1':0.12,'5_1':0.03,'6_2':0.03,'4_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(62,383):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_4':0.0,'8_7':0.0},(62,382):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(62,381):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(62,380):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(62,379):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0},(62,378):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(62,377):{'3_1':0.06,'4_1':0.03},(62,376):{'4_1':0.03,'3_1':0.0,'7_2':0.0},(62,375):{'3_1':0.09,'4_1':0.03,'-3':0.0},(62,374):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(62,373):{'3_1':0.06,'4_1':0.03},(62,372):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(62,371):{'3_1':0.06,'4_1':0.0},(62,370):{'3_1':0.09,'5_2':0.0},(62,369):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(62,368):{'3_1':0.06,'6_2':0.0,'5_1':0.0,'7_5':0.0},(62,367):{'3_1':0.09,'5_1':0.03,'5_2':0.0},(62,366):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(62,365):{'3_1':0.06,'5_1':0.0,'-3':0.0},(62,364):{'3_1':0.03,'5_1':0.0},(62,363):{'3_1':0.0,'6_1':0.0,'7_5':0.0},(62,362):{'3_1':0.03,'8_21|3_1#4_1':0.0},(62,361):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(62,360):{'3_1':0.06,'4_1':0.0},(62,359):{'3_1':0.0,'5_1':0.0,'-3':0.0},(62,358):{'3_1':0.06,'5_2':0.0},(62,357):{'3_1':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0},(62,356):{'3_1':0.03,'4_1':0.0,'-3':0.0},(62,355):{'3_1':0.06,'-3':0.0},(62,354):{'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(62,353):{'3_1':0.03,'6_2':0.0},(62,352):{'3_1':0.0},(62,351):{'3_1':0.0,'8_20|3_1#3_1':0.0},(62,350):{'3_1':0.03,'4_1':0.0},(62,349):{'3_1':0.0,'6_2':0.0},(62,348):{'3_1':0.0},(62,347):{'3_1':0.0,'6_1':0.0},(62,346):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(62,345):{'3_1':0.0},(62,344):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(62,343):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(62,342):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(62,341):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'8_13':0.0,'8_17':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(62,340):{'3_1':0.03},(62,339):{'3_1':0.06,'6_2':0.0},(62,338):{'3_1':0.03},(62,337):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(62,336):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(62,335):{'3_1':0.03,'5_2':0.0},(62,334):{'3_1':0.03,'4_1':0.03},(62,333):{'3_1':0.03,'4_1':0.0},(62,332):{'3_1':0.03},(62,331):{'3_1':0.0,'4_1':0.0},(62,330):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(62,329):{'3_1':0.03},(62,328):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(62,327):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(62,326):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(62,325):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(62,324):{'3_1':0.0,'6_2':0.0,'6_3':0.0},(62,323):{'3_1':0.0,'4_1':0.0},(62,322):{'3_1':0.03,'5_1':0.0},(62,321):{'3_1':0.0},(62,320):{'3_1':0.0},(62,319):{'3_1':0.03,'6_1':0.0},(62,318):{'3_1':0.0,'4_1':0.0,'-3':0.0},(62,317):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_8':0.0},(62,316):{'3_1':0.03},(62,315):{'3_1':0.0},(62,314):{'3_1':0.03},(62,313):{'3_1':0.03,'4_1':0.0},(62,312):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(62,311):{'3_1':0.0,'5_1':0.0,'6_3':0.0},(62,310):{'3_1':0.03,'8_7':0.0,'8_8':0.0},(62,309):{'3_1':0.03},(62,308):{'3_1':0.06},(62,307):{'3_1':0.03},(62,306):{'3_1':0.0},(62,305):{'3_1':0.06,'-3':0.0},(62,304):{'3_1':0.0,'8_7':0.0},(62,303):{'3_1':0.03,'4_1':0.0},(62,302):{'3_1':0.06,'4_1':0.0,'8_7':0.0},(62,301):{'3_1':0.03,'5_1':0.0},(62,300):{'3_1':0.06,'6_2':0.0},(62,299):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(62,298):{'3_1':0.06,'6_3':0.0,'8_7':0.0},(62,297):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(62,296):{'3_1':0.0,'5_2':0.0},(62,295):{'3_1':0.03},(62,294):{'3_1':0.03},(62,293):{'3_1':0.0,'5_1':0.0},(62,292):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(62,291):{'3_1':0.0},(62,290):{'3_1':0.06},(62,289):{'3_1':0.03},(62,288):{'3_1':0.03},(62,287):{'3_1':0.0},(62,286):{'3_1':0.06},(62,285):{'3_1':0.03,'4_1':0.0,'8_7':0.0},(62,284):{'3_1':0.03,'8_10':0.0},(62,283):{'3_1':0.03},(62,282):{'3_1':0.0},(62,281):{'3_1':0.03},(62,280):{'3_1':0.0},(62,279):{'3_1':0.0},(62,278):{'3_1':0.03},(62,277):{'3_1':0.0,'5_2':0.0},(62,276):{'3_1':0.03,'4_1':0.0},(62,275):{'3_1':0.0},(62,274):{'3_1':0.03,'6_3':0.0},(62,273):{'4_1':0.0,'3_1':0.0},(62,272):{'3_1':0.0,'8_20|3_1#3_1':0.0},(62,271):{'3_1':0.03,'6_2':0.0},(62,270):{'3_1':0.0},(62,269):{'4_1':0.0},(62,268):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(62,267):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(62,266):{'3_1':0.03,'6_3':0.0},(62,265):{'3_1':0.03},(62,264):{'3_1':0.0},(62,263):{'3_1':0.03,'4_1':0.0},(62,262):{'3_1':0.0},(62,261):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(62,260):{'3_1':0.03},(62,259):{'3_1':0.03},(62,258):{'3_1':0.0,'4_1':0.0},(62,257):{'3_1':0.0,'4_1':0.0},(62,256):{'3_1':0.06},(62,255):{'3_1':0.0},(62,254):{'3_1':0.0},(62,253):{'3_1':0.0},(62,252):{'3_1':0.03},(62,251):{'3_1':0.03},(62,250):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(62,249):{'3_1':0.03},(62,248):{'3_1':0.03},(62,246):{'3_1':0.0,'4_1':0.0},(62,245):{'3_1':0.06},(62,244):{'3_1':0.0},(62,243):{'3_1':0.03},(62,242):{'3_1':0.03},(62,241):{'3_1':0.03,'5_1':0.0},(62,240):{'3_1':0.03},(62,239):{'3_1':0.0},(62,238):{'3_1':0.0},(62,237):{'3_1':0.03,'4_1':0.0},(62,236):{'3_1':0.0},(62,235):{'3_1':0.03},(62,234):{'3_1':0.03,'4_1':0.0},(62,233):{'3_1':0.03},(62,232):{'3_1':0.09,'4_1':0.0},(62,231):{'3_1':0.03},(62,230):{'3_1':0.03},(62,229):{'3_1':0.03,'4_1':0.0},(62,228):{'3_1':0.06},(62,227):{'3_1':0.0},(62,226):{'3_1':0.03},(62,225):{'3_1':0.03},(62,224):{'3_1':0.0},(62,223):{'3_1':0.03},(62,222):{'3_1':0.06},(62,221):{'3_1':0.03},(62,220):{'3_1':0.0},(62,219):{'3_1':0.0},(62,218):{'3_1':0.0,'4_1':0.0},(62,217):{'3_1':0.03},(62,216):{'3_1':0.0},(62,215):{'3_1':0.0},(62,214):{'3_1':0.0,'4_1':0.0},(62,213):{'3_1':0.0,'4_1':0.0},(62,212):{'3_1':0.0,'4_1':0.0},(62,211):{'3_1':0.0},(62,210):{'3_1':0.0,'4_1':0.0},(62,209):{'3_1':0.0},(62,208):{'3_1':0.03,'5_2':0.0},(62,207):{'3_1':0.06},(62,206):{'3_1':0.03},(62,205):{'3_1':0.0},(62,204):{'3_1':0.03},(62,203):{'4_1':0.0},(62,202):{'3_1':0.06},(62,201):{'3_1':0.03},(62,200):{'3_1':0.03},(62,199):{'3_1':0.06,'5_1':0.0},(62,198):{'3_1':0.0},(62,197):{'3_1':0.0},(62,196):{'3_1':0.03},(62,195):{'3_1':0.03},(62,194):{'3_1':0.03},(62,193):{'3_1':0.0},(62,192):{'3_1':0.0},(62,191):{'3_1':0.03},(62,190):{'3_1':0.0},(62,189):{'3_1':0.03},(62,188):{'3_1':0.03},(62,187):{'3_1':0.03},(62,186):{'3_1':0.0},(62,185):{'3_1':0.0},(62,184):{'3_1':0.0},(62,183):{'3_1':0.0},(62,182):{'3_1':0.0},(62,180):{'3_1':0.03},(62,179):{'3_1':0.0},(62,177):{'3_1':0.0},(62,176):{'5_2':0.0},(62,174):{'3_1':0.0},(62,173):{'3_1':0.0},(62,172):{'3_1':0.0},(62,170):{'3_1':0.0},(62,163):{'3_1':0.0},(62,160):{'4_1':0.0},(62,155):{'3_1':0.0},(62,153):{'3_1':0.0},(62,151):{'3_1':0.0},(62,150):{'3_1':0.0},(62,149):{'4_1':0.0},(62,145):{'3_1':0.0},(62,144):{'3_1':0.0},(62,142):{'3_1':0.0},(62,140):{'3_1':0.0},(62,139):{'3_1':0.0},(62,136):{'3_1':0.0},(62,133):{'3_1':0.0},(62,132):{'3_1':0.0},(62,126):{'3_1':0.0},(63,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0,'7_5':0.0},(63,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(63,457):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(63,456):{'3_1':0.9,'7_1':0.03,'5_2':0.0,'8_2':0.0,'5_1':0.0},(63,455):{'3_1':0.9,'7_1':0.0,'7_5':0.0},(63,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(63,453):{'3_1':0.9,'5_2':0.0,'7_1':0.0,'6_2':0.0},(63,452):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(63,451):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(63,450):{'3_1':0.9,'5_2':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_1':0.0,'-3':0.0},(63,449):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(63,448):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(63,447):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(63,446):{'3_1':0.81,'5_1':0.03,'7_5':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(63,445):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(63,444):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(63,443):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(63,442):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(63,441):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0},(63,440):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0},(63,439):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(63,438):{'3_1':0.87,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0},(63,437):{'3_1':0.81,'5_2':0.06,'5_1':0.03,'7_5':0.0,'8_2':0.0,'7_1':0.0},(63,436):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(63,435):{'3_1':0.87,'5_1':0.03,'7_5':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0},(63,434):{'3_1':0.84,'5_1':0.06,'-3':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(63,433):{'3_1':0.87,'8_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(63,432):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'9_1':0.0,'3_1#5_1':0.0,'-3':0.0},(63,431):{'3_1':0.84,'8_2':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(63,430):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_2':0.0,'7_5':0.0,'-3':0.0},(63,429):{'3_1':0.87,'8_2':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(63,428):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0},(63,427):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(63,426):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0},(63,425):{'3_1':0.78,'5_2':0.06,'5_1':0.03,'8_2':0.0,'7_1':0.0,'7_5':0.0},(63,424):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(63,423):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(63,422):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'5_2':0.0,'7_5':0.0,'7_1':0.0,'8_19':0.0},(63,421):{'3_1':0.72,'5_1':0.09,'7_1':0.03,'-3':0.0,'8_2':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0},(63,420):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'8_2':0.03,'6_3':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(63,419):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.03,'7_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(63,418):{'3_1':0.69,'5_1':0.09,'8_2':0.03,'5_2':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'9_1':0.0,'-3':0.0},(63,417):{'3_1':0.78,'5_1':0.03,'7_1':0.03,'7_5':0.0,'5_2':0.0,'-3':0.0,'8_2':0.0,'1':-0.03},(63,416):{'3_1':0.66,'5_1':0.18,'8_2':0.03,'5_2':0.0,'7_5':0.0,'-3':0.0,'6_3':0.0,'7_6':0.0},(63,415):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_3':0.0,'7_1':0.0,'-3':0.0},(63,414):{'3_1':0.66,'5_1':0.12,'5_2':0.06,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0},(63,413):{'3_1':0.69,'5_1':0.12,'8_2':0.06,'5_2':0.03,'7_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(63,412):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_3':0.0,'7_5':0.0,'8_2':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0},(63,411):{'3_1':0.63,'5_1':0.06,'5_2':0.06,'8_2':0.06,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(63,410):{'3_1':0.66,'5_1':0.12,'8_2':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(63,409):{'3_1':0.57,'5_1':0.24,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(63,408):{'3_1':0.66,'5_1':0.15,'5_2':0.06,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(63,407):{'3_1':0.72,'5_2':0.06,'5_1':0.06,'8_2':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(63,406):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(63,405):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(63,404):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(63,403):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'7_5':0.0,'7_1':0.0,'-3':0.0},(63,402):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_13':0.0},(63,401):{'3_1':0.81,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0,'6_1':0.0},(63,400):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_5':0.0},(63,399):{'3_1':0.81,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0},(63,398):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0},(63,397):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(63,396):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_19':0.0},(63,395):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_1':0.0,'-3':0.0},(63,394):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0},(63,393):{'3_1':0.6,'5_1':0.03,'5_2':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(63,392):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(63,391):{'3_1':0.63,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(63,390):{'3_1':0.51,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_7':0.0,'8_13':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(63,389):{'3_1':0.48,'5_1':0.03,'7_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(63,388):{'3_1':0.54,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0},(63,387):{'3_1':0.36,'5_1':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0},(63,386):{'3_1':0.24,'6_2':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(63,385):{'3_1':0.27,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_11':0.0,'3_1#5_1':0.0,'-3':0.0},(63,384):{'3_1':0.15,'4_1':0.06,'6_2':0.06,'5_1':0.0},(63,383):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(63,382):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(63,381):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0},(63,380):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(63,379):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(63,378):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0},(63,377):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(63,376):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(63,375):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(63,374):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(63,373):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(63,372):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(63,371):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(63,370):{'3_1':0.06,'4_1':0.0},(63,369):{'3_1':0.03,'5_2':0.0},(63,368):{'3_1':0.03,'6_2':0.0},(63,367):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(63,366):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0},(63,365):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(63,364):{'3_1':0.09},(63,363):{'3_1':0.06,'5_2':0.0},(63,362):{'3_1':0.06,'5_1':0.0},(63,361):{'3_1':0.0},(63,360):{'3_1':0.03,'4_1':0.0},(63,359):{'3_1':0.06},(63,358):{'3_1':0.03,'4_1':0.0},(63,357):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(63,356):{'3_1':0.03,'4_1':0.0},(63,355):{'3_1':0.06,'4_1':0.0},(63,354):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(63,353):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(63,352):{'3_1':0.03,'4_1':0.0},(63,351):{'3_1':0.03},(63,350):{'3_1':0.0,'5_1':0.0},(63,349):{'5_1':0.0},(63,348):{'3_1':0.0,'6_2':0.0},(63,347):{'3_1':0.03,'4_1':0.0},(63,346):{'3_1':0.03},(63,345):{'4_1':0.0,'5_2':0.0,'6_2':0.0},(63,344):{'3_1':0.03},(63,343):{'3_1':0.0,'4_1':0.0},(63,342):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(63,341):{'3_1':0.03},(63,340):{'3_1':0.03,'4_1':0.0},(63,339):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,338):{'3_1':0.06},(63,337):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(63,336):{'3_1':0.03,'4_1':0.0},(63,335):{'3_1':0.03,'5_2':0.0},(63,334):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(63,333):{'3_1':0.0},(63,332):{'3_1':0.03},(63,331):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(63,330):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(63,329):{'3_1':0.06},(63,328):{'4_1':0.0,'3_1':0.0,'6_1':0.0,'6_3':0.0},(63,327):{'3_1':0.0,'4_1':0.0},(63,326):{'3_1':0.0,'5_1':0.0,'7_5':0.0},(63,324):{'3_1':0.0},(63,323):{'4_1':0.0,'6_1':0.0},(63,322):{'3_1':0.0},(63,321):{'3_1':0.03},(63,320):{'3_1':0.0},(63,319):{'3_1':0.03},(63,318):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(63,317):{'3_1':0.0,'6_1':0.0},(63,316):{'3_1':0.0},(63,315):{'3_1':0.03,'5_1':0.0},(63,314):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(63,313):{'3_1':0.06,'5_1':0.0},(63,312):{'3_1':0.03},(63,311):{'3_1':0.0,'4_1':0.0},(63,310):{'3_1':0.03},(63,309):{'3_1':0.0,'5_1':0.0},(63,308):{'3_1':0.03,'4_1':0.0},(63,307):{'3_1':0.0,'4_1':0.0},(63,306):{'3_1':0.06,'5_1':0.0},(63,305):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(63,304):{'3_1':0.0,'5_1':0.0},(63,303):{'3_1':0.06},(63,302):{'3_1':0.03},(63,301):{'3_1':0.06},(63,300):{'3_1':0.06,'5_1':0.0},(63,299):{'3_1':0.0},(63,298):{'3_1':0.03,'5_1':0.0},(63,297):{'3_1':0.06,'5_1':0.0},(63,296):{'3_1':0.0,'4_1':0.0},(63,295):{'3_1':0.0},(63,294):{'3_1':0.03,'4_1':0.0},(63,293):{'3_1':0.03},(63,292):{'3_1':0.0,'4_1':0.0},(63,291):{'3_1':0.0},(63,290):{'3_1':0.0},(63,289):{'3_1':0.0,'4_1':0.0},(63,288):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(63,287):{'3_1':0.03},(63,286):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(63,285):{'3_1':0.06,'5_1':0.0},(63,284):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(63,283):{'3_1':0.0,'4_1':0.0},(63,282):{'3_1':0.0,'7_5':0.0},(63,281):{'3_1':0.06,'5_1':0.0},(63,280):{'3_1':0.03},(63,279):{'3_1':0.03},(63,278):{'3_1':0.03,'4_1':0.0},(63,277):{'3_1':0.0,'4_1':0.0},(63,276):{'3_1':0.03,'4_1':0.0},(63,275):{'3_1':0.0},(63,274):{'4_1':0.0,'5_1':0.0},(63,273):{'3_1':0.0},(63,272):{'3_1':0.03},(63,271):{'3_1':0.03,'4_1':0.0},(63,270):{'3_1':0.0,'4_1':0.0},(63,269):{'3_1':0.0},(63,268):{'3_1':0.03},(63,267):{'3_1':0.03,'4_1':0.0},(63,265):{'3_1':0.0,'4_1':0.0},(63,264):{'3_1':0.03},(63,263):{'3_1':0.0,'4_1':0.0},(63,262):{'3_1':0.0},(63,261):{'3_1':0.0,'4_1':0.0},(63,260):{'3_1':0.06,'4_1':0.0},(63,259):{'3_1':0.0},(63,258):{'3_1':0.0,'4_1':0.0},(63,257):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(63,256):{'3_1':0.03,'4_1':0.0},(63,255):{'3_1':0.0},(63,254):{'3_1':0.06},(63,253):{'3_1':0.0,'4_1':0.0},(63,252):{'3_1':0.03,'4_1':0.0},(63,251):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(63,250):{'3_1':0.0,'4_1':0.0},(63,248):{'3_1':0.0},(63,247):{'3_1':0.0},(63,246):{'3_1':0.0},(63,245):{'3_1':0.0},(63,244):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(63,243):{'3_1':0.06,'4_1':0.0},(63,242):{'3_1':0.03,'5_1':0.0},(63,241):{'3_1':0.06},(63,240):{'3_1':0.0},(63,239):{'3_1':0.03},(63,238):{'3_1':0.03},(63,237):{'3_1':0.03},(63,235):{'3_1':0.0},(63,234):{'3_1':0.0},(63,233):{'3_1':0.03,'4_1':0.0},(63,232):{'3_1':0.03},(63,231):{'3_1':0.03},(63,230):{'3_1':0.03,'4_1':0.0},(63,229):{'3_1':0.03},(63,228):{'3_1':0.0,'4_1':0.0},(63,227):{'3_1':0.0},(63,226):{'3_1':0.0},(63,225):{'3_1':0.0},(63,224):{'3_1':0.03},(63,223):{'3_1':0.03},(63,222):{'3_1':0.09},(63,221):{'3_1':0.03,'4_1':0.0},(63,220):{'3_1':0.03,'4_1':0.0},(63,219):{'3_1':0.0},(63,218):{'3_1':0.0},(63,217):{'3_1':0.0},(63,216):{'4_1':0.0},(63,215):{'3_1':0.03},(63,214):{'3_1':0.0},(63,213):{'3_1':0.03},(63,212):{'3_1':0.0,'4_1':0.0},(63,211):{'3_1':0.0,'4_1':0.0},(63,209):{'3_1':0.0},(63,208):{'3_1':0.06},(63,207):{'3_1':0.03},(63,206):{'3_1':0.03},(63,205):{'3_1':0.0},(63,204):{'3_1':0.03},(63,203):{'3_1':0.03},(63,202):{'3_1':0.0},(63,201):{'3_1':0.03},(63,200):{'3_1':0.03,'4_1':0.0},(63,199):{'3_1':0.03},(63,198):{'3_1':0.06,'4_1':0.0},(63,197):{'3_1':0.03},(63,196):{'3_1':0.0},(63,195):{'3_1':0.0},(63,194):{'3_1':0.03},(63,193):{'3_1':0.06},(63,192):{'3_1':0.03},(63,191):{'3_1':0.03},(63,190):{'3_1':0.06},(63,189):{'3_1':0.03},(63,188):{'3_1':0.03},(63,186):{'3_1':0.03},(63,185):{'3_1':0.0},(63,184):{'3_1':0.0},(63,183):{'3_1':0.0},(63,182):{'3_1':0.0,'5_1':0.0},(63,181):{'3_1':0.03},(63,180):{'3_1':0.0},(63,179):{'3_1':0.0},(63,178):{'3_1':0.0},(63,177):{'3_1':0.0},(63,176):{'3_1':0.03},(63,175):{'3_1':0.0},(63,173):{'3_1':0.0},(63,169):{'3_1':0.0},(63,168):{'3_1':0.0},(63,167):{'3_1':0.0},(63,166):{'3_1':0.0},(63,164):{'3_1':0.0},(63,152):{'3_1':0.0},(63,150):{'3_1':0.0},(63,145):{'3_1':0.0},(63,144):{'3_1':0.0},(63,143):{'3_1':0.0},(63,139):{'3_1':0.0},(63,134):{'3_1':0.0},(63,128):{'3_1':0.0},(63,127):{'3_1':0.0},(63,106):{'3_1':0.0},(64,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(64,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(64,457):{'3_1':0.9,'5_2':0.03,'7_5':0.0,'5_1':0.0},(64,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0},(64,455):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_5':0.0},(64,454):{'3_1':0.9,'7_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'9_1':0.0},(64,453):{'3_1':0.9,'6_2':0.0,'7_5':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(64,452):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'6_2':0.0},(64,451):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(64,450):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0},(64,449):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(64,448):{'3_1':0.9,'5_1':0.03,'5_2':0.0},(64,447):{'3_1':0.9,'5_1':0.03,'7_1':0.0,'5_2':0.0,'6_2':0.0},(64,446):{'3_1':0.84,'5_2':0.06,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(64,445):{'3_1':0.81,'7_1':0.03,'5_2':0.03,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(64,444):{'3_1':0.84,'5_1':0.06,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(64,443):{'3_1':0.9,'5_1':0.03,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(64,442):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(64,441):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(64,440):{'3_1':0.87,'5_2':0.03,'5_1':0.03,'-3':0.0,'8_11':0.0},(64,439):{'3_1':0.84,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(64,438):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_5':0.0},(64,437):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'-3':0.0,'8_2':0.0,'1':-0.03},(64,436):{'3_1':0.84,'5_1':0.06,'7_5':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(64,435):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(64,434):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(64,433):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(64,432):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_5':0.0,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(64,431):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(64,430):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(64,429):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0,'3_1#5_1':0.0},(64,428):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(64,427):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0},(64,426):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0},(64,425):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(64,424):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_2':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(64,423):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(64,422):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(64,421):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(64,420):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(64,419):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0},(64,418):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'7_1':0.03,'8_2':0.0,'7_3':0.0,'1':-0.03},(64,417):{'3_1':0.72,'5_2':0.06,'5_1':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0,'7_5':0.0,'9_1':0.0},(64,416):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'-3':0.0,'8_2':0.0,'7_1':0.0},(64,415):{'3_1':0.72,'5_1':0.12,'8_2':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0,'7_5':0.0},(64,414):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(64,413):{'3_1':0.72,'5_1':0.12,'5_2':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(64,412):{'3_1':0.72,'5_1':0.15,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(64,411):{'3_1':0.63,'5_1':0.18,'5_2':0.03,'8_2':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(64,410):{'3_1':0.66,'5_1':0.12,'8_2':0.06,'5_2':0.03,'-3':0.0,'7_1':0.0,'9_1':0.0},(64,409):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'6_2':0.03,'8_2':0.03,'7_1':0.0,'-3':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(64,408):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(64,407):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'6_2':0.0,'-3':0.0,'7_1':0.0,'8_2':0.0,'1':-0.03},(64,406):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.03,'-3':0.03,'8_2':0.0,'7_1':0.0,'7_5':0.0},(64,405):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_1':0.0,'-3':0.0},(64,404):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_5':0.0,'-3':0.0},(64,403):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'9_1':0.0,'-3':0.0},(64,402):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'7_5':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(64,401):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(64,400):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_6':0.0},(64,399):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(64,398):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0},(64,397):{'3_1':0.75,'5_2':0.03,'5_1':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0},(64,396):{'3_1':0.75,'5_1':0.06,'7_5':0.03,'5_2':0.0,'8_2':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0},(64,395):{'3_1':0.78,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_14':0.0},(64,394):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(64,393):{'3_1':0.6,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_5':0.0,'7_1':0.0,'8_2':0.0,'8_14':0.0},(64,392):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_19':0.0,'-3':0.0},(64,391):{'3_1':0.72,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_14':0.0},(64,390):{'3_1':0.57,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(64,389):{'3_1':0.48,'5_1':0.06,'4_1':0.03,'6_2':0.03,'7_5':0.0,'5_2':0.0,'8_2':0.0},(64,388):{'3_1':0.45,'5_1':0.09,'4_1':0.03,'5_2':0.0,'8_9':0.0},(64,387):{'3_1':0.3,'5_1':0.03,'6_2':0.03,'-3':0.0},(64,386):{'3_1':0.27,'6_2':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(64,385):{'3_1':0.15,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(64,384):{'3_1':0.18,'6_2':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'8_1':0.0,'8_14':0.0,'8_19':0.0},(64,383):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'8_9':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(64,382):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(64,381):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0},(64,380):{'3_1':0.09,'5_1':0.06,'6_2':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(64,379):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(64,378):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(64,377):{'3_1':0.03,'6_2':0.0,'5_1':0.0,'8_9':0.0},(64,376):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0},(64,375):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(64,374):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'8_9':0.0},(64,373):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'-3':0.0},(64,372):{'3_1':0.06,'8_9':0.0},(64,371):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(64,370):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(64,369):{'3_1':0.03,'7_7':0.0},(64,368):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(64,367):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_14':0.0},(64,366):{'3_1':0.06,'5_2':0.0,'8_9':0.0},(64,365):{'3_1':0.03,'5_1':0.0},(64,364):{'3_1':0.03,'6_2':0.0,'5_1':0.0},(64,363):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'8_9':0.0},(64,362):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(64,361):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(64,360):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(64,359):{'3_1':0.03,'6_2':0.03},(64,358):{'3_1':0.03},(64,357):{'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0},(64,356):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_6':0.0},(64,355):{'3_1':0.0,'6_2':0.0,'5_1':0.0},(64,354):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(64,353):{'3_1':0.06,'4_1':0.0},(64,352):{'3_1':0.03,'5_2':0.0},(64,351):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(64,350):{'3_1':0.0},(64,349):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(64,347):{'3_1':0.0,'4_1':0.0},(64,346):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(64,345):{'3_1':0.0,'4_1':0.0},(64,344):{'3_1':0.03,'5_2':0.0},(64,343):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(64,342):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(64,341):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(64,340):{'3_1':0.0,'4_1':0.0},(64,339):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(64,338):{'3_1':0.0},(64,337):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(64,336):{'3_1':0.0},(64,335):{'3_1':0.03},(64,334):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(64,333):{'3_1':0.03},(64,332):{'3_1':0.03,'5_2':0.0},(64,331):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(64,330):{'3_1':0.06},(64,329):{'3_1':0.0},(64,328):{'3_1':0.0},(64,327):{'3_1':0.0,'5_2':0.0},(64,326):{'3_1':0.0},(64,325):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(64,324):{'3_1':0.0,'5_2':0.0},(64,323):{'3_1':0.0,'4_1':0.0},(64,322):{'3_1':0.03,'4_1':0.0},(64,321):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(64,320):{'3_1':0.0},(64,319):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(64,318):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(64,317):{'3_1':0.03,'4_1':0.0,'8_10':0.0},(64,316):{'3_1':0.03},(64,315):{'3_1':0.06,'5_1':0.0},(64,314):{'3_1':0.03},(64,313):{'3_1':0.0},(64,312):{'3_1':0.06},(64,311):{'3_1':0.0,'6_3':0.0},(64,310):{'3_1':0.0},(64,309):{'3_1':0.0,'4_1':0.0},(64,308):{'3_1':0.03,'4_1':0.0},(64,307):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(64,306):{'3_1':0.0,'5_1':0.0},(64,305):{'3_1':0.0,'5_1':0.0},(64,304):{'3_1':0.03,'6_2':0.0},(64,303):{'3_1':0.06,'4_1':0.0},(64,302):{'3_1':0.03,'5_1':0.0},(64,301):{'3_1':0.06,'5_1':0.0,'-3':0.0},(64,300):{'3_1':0.03},(64,299):{'3_1':0.03,'5_1':0.0},(64,298):{'3_1':0.0,'5_1':0.0},(64,297):{'3_1':0.03,'5_1':0.0},(64,296):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(64,295):{'5_1':0.0},(64,294):{'3_1':0.03},(64,293):{'5_1':0.0,'3_1':0.0,'5_2':0.0},(64,292):{'3_1':0.03,'5_1':0.0},(64,291):{'3_1':0.03},(64,290):{'3_1':0.03},(64,289):{'3_1':0.06},(64,288):{'3_1':0.03,'5_1':0.0},(64,287):{'3_1':0.0},(64,286):{'3_1':0.0},(64,285):{'3_1':0.0,'5_1':0.0},(64,284):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(64,283):{'3_1':0.0},(64,282):{'3_1':0.0},(64,281):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(64,280):{'3_1':0.0},(64,279):{'3_1':0.0,'4_1':0.0},(64,278):{'3_1':0.0,'5_2':0.0},(64,277):{'3_1':0.0,'5_1':0.0},(64,276):{'3_1':0.0},(64,275):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(64,274):{'3_1':0.0,'4_1':0.0},(64,273):{'3_1':0.0,'5_2':0.0},(64,272):{'3_1':0.0,'6_3':0.0},(64,271):{'4_1':0.0,'3_1':0.0},(64,270):{'3_1':0.0,'4_1':0.0},(64,269):{'3_1':0.0},(64,268):{'3_1':0.0},(64,267):{'3_1':0.0,'6_3':0.0},(64,266):{'3_1':0.0},(64,265):{'3_1':0.0,'4_1':0.0},(64,264):{'3_1':0.0,'4_1':0.0},(64,263):{'3_1':0.03,'4_1':0.0},(64,262):{'3_1':0.0,'5_2':0.0},(64,261):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(64,260):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(64,259):{'3_1':0.0},(64,258):{'3_1':0.0},(64,257):{'3_1':0.0,'6_3':0.0},(64,256):{'3_1':0.03,'4_1':0.0},(64,255):{'3_1':0.0},(64,254):{'3_1':0.0},(64,253):{'3_1':0.0},(64,252):{'3_1':0.0},(64,251):{'3_1':0.0},(64,250):{'3_1':0.0},(64,249):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(64,248):{'3_1':0.0,'5_2':0.0},(64,247):{'3_1':0.0,'6_3':0.0},(64,246):{'3_1':0.0},(64,245):{'3_1':0.0},(64,244):{'3_1':0.03},(64,243):{'3_1':0.0},(64,242):{'3_1':0.06},(64,241):{'3_1':0.03},(64,240):{'3_1':0.03},(64,239):{'3_1':0.0},(64,238):{'3_1':0.03,'4_1':0.0},(64,237):{'3_1':0.03},(64,236):{'3_1':0.03},(64,235):{'3_1':0.03},(64,234):{'3_1':0.0},(64,233):{'3_1':0.06},(64,232):{'3_1':0.03},(64,231):{'3_1':0.03,'6_3':0.0},(64,230):{'3_1':0.03},(64,229):{'3_1':0.03},(64,228):{'3_1':0.03},(64,227):{'3_1':0.0},(64,226):{'3_1':0.03},(64,224):{'3_1':0.03},(64,223):{'3_1':0.0},(64,222):{'3_1':0.0,'4_1':0.0},(64,221):{'3_1':0.0},(64,220):{'3_1':0.0},(64,219):{'3_1':0.0},(64,218):{'3_1':0.0},(64,217):{'3_1':0.0},(64,216):{'3_1':0.03,'4_1':0.0},(64,214):{'3_1':0.0,'4_1':0.0},(64,213):{'3_1':0.0},(64,212):{'3_1':0.06,'5_1':0.0},(64,211):{'3_1':0.0},(64,210):{'3_1':0.0},(64,209):{'3_1':0.0,'4_1':0.0},(64,208):{'3_1':0.06,'4_1':0.0},(64,207):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(64,206):{'3_1':0.0},(64,205):{'3_1':0.03,'4_1':0.0},(64,204):{'3_1':0.03},(64,203):{'3_1':0.06},(64,202):{'3_1':0.03},(64,201):{'3_1':0.03},(64,200):{'3_1':0.03},(64,199):{'3_1':0.03},(64,198):{'3_1':0.0},(64,197):{'3_1':0.0},(64,196):{'3_1':0.03},(64,195):{'3_1':0.0},(64,194):{'3_1':0.03},(64,193):{'3_1':0.03,'5_1':0.0},(64,192):{'3_1':0.0},(64,191):{'3_1':0.0},(64,190):{'3_1':0.0},(64,189):{'3_1':0.03},(64,187):{'3_1':0.0},(64,186):{'3_1':0.03},(64,185):{'3_1':0.06},(64,184):{'3_1':0.0},(64,183):{'3_1':0.0,'4_1':0.0},(64,182):{'3_1':0.0},(64,181):{'3_1':0.0},(64,180):{'3_1':0.0},(64,178):{'3_1':0.0},(64,176):{'3_1':0.0},(64,174):{'3_1':0.0},(64,173):{'3_1':0.0},(64,171):{'3_1':0.0},(64,169):{'3_1':0.0},(64,168):{'3_1':0.0},(64,166):{'3_1':0.0},(64,165):{'3_1':0.0},(64,153):{'3_1':0.0},(64,152):{'3_1':0.0},(64,143):{'3_1':0.0},(64,142):{'3_1':0.0},(64,141):{'3_1':0.0},(64,139):{'3_1':0.0},(64,136):{'3_1':0.0},(64,135):{'3_1':0.0},(64,131):{'3_1':0.0},(64,129):{'3_1':0.0},(64,127):{'3_1':0.0},(65,459):{'3_1':0.87,'8_2':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(65,458):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(65,457):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'-3':0.0},(65,456):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0,'6_3':0.0},(65,455):{'3_1':0.9,'5_2':0.0,'7_1':0.0,'5_1':0.0,'8_2':0.0},(65,454):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_1':0.0},(65,453):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(65,452):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_19':0.0},(65,451):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(65,450):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'3_1#5_2':0.0,'-3':0.0},(65,449):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'3_1#5_2':0.0,'-3':0.0},(65,448):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0},(65,447):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(65,446):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(65,445):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'7_5':0.0},(65,444):{'3_1':0.9,'5_2':0.0,'8_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(65,443):{'3_1':0.87,'5_2':0.03,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(65,442):{'3_1':0.87,'7_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(65,441):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_3':0.0},(65,440):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(65,439):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(65,438):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(65,437):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'8_2':0.0,'6_3':0.0,'7_5':0.0},(65,436):{'3_1':0.87,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0,'8_2':0.0},(65,435):{'3_1':0.81,'5_1':0.06,'7_5':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(65,434):{'3_1':0.78,'5_2':0.03,'8_2':0.03,'5_1':0.03,'7_1':0.03,'7_5':0.0,'8_14':0.0},(65,433):{'3_1':0.78,'5_1':0.12,'5_2':0.0,'8_2':0.0,'-3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(65,432):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(65,431):{'3_1':0.81,'5_1':0.03,'7_1':0.0,'6_2':0.0,'8_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(65,430):{'3_1':0.84,'5_1':0.06,'8_2':0.03,'5_2':0.0},(65,429):{'3_1':0.78,'5_2':0.06,'5_1':0.03,'7_1':0.03,'8_2':0.0,'7_3':0.0,'-3':0.0},(65,428):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'7_5':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(65,427):{'3_1':0.84,'5_1':0.06,'-3':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(65,426):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(65,425):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0,'7_5':0.0},(65,424):{'3_1':0.78,'5_1':0.03,'7_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0},(65,423):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_2':0.0,'-3':0.0,'7_3':0.0},(65,422):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(65,421):{'3_1':0.78,'5_1':0.03,'7_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0,'9_1':0.0,'3_1#5_1':0.0},(65,420):{'3_1':0.72,'5_1':0.09,'7_1':0.03,'5_2':0.0,'8_2':0.0,'7_3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(65,419):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'-3':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(65,418):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'-3':0.0,'7_1':0.0,'8_2':0.0},(65,417):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0,'-3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(65,416):{'3_1':0.75,'8_2':0.06,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0},(65,415):{'3_1':0.72,'8_2':0.09,'5_1':0.06,'5_2':0.03,'-3':0.0,'7_1':0.0,'8_9':0.0},(65,414):{'3_1':0.75,'5_1':0.09,'8_2':0.06,'-3':0.0,'5_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(65,413):{'3_1':0.72,'5_1':0.09,'8_2':0.06,'-3':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(65,412):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'7_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(65,411):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'-3':0.03,'6_2':0.03,'8_2':0.03,'7_1':0.0,'8_14':0.0},(65,410):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'-3':0.03,'7_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(65,409):{'3_1':0.6,'5_1':0.18,'5_2':0.06,'6_2':0.03,'8_2':0.0,'7_1':0.0,'9_1':0.0,'-3':0.0},(65,408):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'7_1':0.03,'6_2':0.0,'7_5':0.0,'-3':0.0,'8_2':0.0,'8_14':0.0},(65,407):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'7_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'7_5':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(65,406):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.03,'8_2':0.03,'7_1':0.0,'7_5':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(65,405):{'3_1':0.78,'5_1':0.03,'7_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_6':0.0},(65,404):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(65,403):{'3_1':0.75,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0,'1':-0.03},(65,402):{'3_1':0.78,'7_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(65,401):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'6_3':0.0,'8_19':0.0},(65,400):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0},(65,399):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(65,398):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'6_1':0.0,'7_5':0.0},(65,397):{'3_1':0.78,'5_1':0.0,'7_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(65,396):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(65,395):{'3_1':0.81,'5_1':0.0,'7_1':0.0,'7_5':0.0,'5_2':0.0,'6_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(65,394):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(65,393):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(65,392):{'3_1':0.66,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(65,391):{'3_1':0.63,'5_1':0.06,'6_2':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0},(65,390):{'3_1':0.54,'5_1':0.06,'-3':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_19':0.0},(65,389):{'3_1':0.48,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(65,388):{'3_1':0.42,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(65,387):{'3_1':0.33,'5_1':0.03,'-3':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(65,386):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(65,385):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_5':0.0},(65,384):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'8_2':0.0,'8_6':0.0},(65,383):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'8_2':0.0},(65,382):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'7_2':0.0,'8_2':0.0,'-3':0.0},(65,381):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(65,380):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(65,379):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'8_10':0.0},(65,378):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_11':0.0},(65,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(65,376):{'4_1':0.03,'5_1':0.0,'3_1':0.0},(65,375):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(65,374):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(65,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(65,372):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_6':0.0},(65,371):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(65,370):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(65,369):{'3_1':0.12,'5_1':0.0,'8_7':0.0},(65,368):{'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(65,367):{'3_1':0.03,'6_2':0.0,'8_7':0.0},(65,366):{'3_1':0.09,'8_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(65,365):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_2':0.0},(65,364):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(65,363):{'3_1':0.03},(65,362):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0},(65,361):{'5_1':0.0,'3_1':0.0,'6_1':0.0,'6_2':0.0},(65,360):{'3_1':0.06,'4_1':0.0},(65,359):{'3_1':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(65,358):{'3_1':0.03,'4_1':0.0},(65,357):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(65,356):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(65,355):{'3_1':0.03,'5_1':0.0},(65,354):{'3_1':0.06,'5_1':0.0},(65,353):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(65,352):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(65,351):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(65,350):{'3_1':0.03,'5_1':0.0,'8_7':0.0},(65,349):{'3_1':0.03},(65,348):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(65,347):{'3_1':0.0,'4_1':0.0},(65,346):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(65,345):{'3_1':0.03},(65,344):{'3_1':0.0,'5_1':0.0},(65,343):{'3_1':0.0},(65,342):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(65,341):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(65,340):{'3_1':0.06},(65,339):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_7':0.0},(65,338):{'3_1':0.0,'4_1':0.0},(65,337):{'3_1':0.03},(65,336):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(65,335):{'3_1':0.06,'5_2':0.0},(65,334):{'3_1':0.09,'4_1':0.0},(65,333):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(65,332):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'-3':0.0},(65,331):{'3_1':0.03,'8_9':0.0},(65,330):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(65,329):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(65,328):{'3_1':0.0},(65,327):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(65,326):{'3_1':0.0,'6_3':0.0},(65,325):{'4_1':0.0,'5_2':0.0},(65,324):{'3_1':0.0,'4_1':0.0},(65,323):{'3_1':0.0,'4_1':0.0},(65,322):{'3_1':0.0},(65,321):{'3_1':0.0},(65,320):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(65,319):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(65,318):{'3_1':0.0,'4_1':0.0},(65,317):{'3_1':0.0,'5_1':0.0},(65,316):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(65,315):{'3_1':0.06},(65,314):{'3_1':0.0,'5_1':0.0},(65,313):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(65,312):{'3_1':0.03,'8_7':0.0},(65,311):{'3_1':0.06},(65,310):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(65,309):{'3_1':0.0},(65,308):{'3_1':0.03,'5_1':0.0},(65,307):{'3_1':0.03,'5_1':0.0,'7_5':0.0},(65,306):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(65,305):{'3_1':0.03,'5_1':0.0},(65,304):{'5_1':0.03,'3_1':0.0,'4_1':0.0,'5_2':0.0},(65,303):{'3_1':0.03,'5_1':0.0},(65,302):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(65,301):{'3_1':0.03,'5_1':0.0},(65,300):{'3_1':0.03,'5_1':0.0},(65,299):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(65,298):{'3_1':0.03,'5_1':0.03},(65,297):{'3_1':0.0,'5_1':0.0},(65,296):{'3_1':0.06,'4_1':0.0},(65,295):{'3_1':0.03,'5_1':0.0},(65,294):{'3_1':0.03,'5_1':0.0},(65,293):{'3_1':0.03,'5_1':0.0},(65,292):{'3_1':0.0,'5_2':0.0},(65,291):{'3_1':0.03,'5_1':0.0},(65,290):{'3_1':0.0},(65,289):{'3_1':0.0,'5_1':0.0},(65,288):{'3_1':0.06},(65,287):{'3_1':0.03},(65,286):{'3_1':0.0},(65,285):{'3_1':0.03,'5_1':0.0},(65,284):{'3_1':0.0,'4_1':0.0},(65,283):{'3_1':0.0,'5_1':0.0},(65,282):{'3_1':0.0},(65,281):{'3_1':0.0,'5_1':0.0},(65,280):{'3_1':0.0},(65,279):{'3_1':0.0},(65,278):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(65,277):{'3_1':0.0,'5_1':0.0},(65,276):{'3_1':0.03,'4_1':0.0},(65,275):{'3_1':0.03},(65,274):{'3_1':0.0},(65,273):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(65,272):{'3_1':0.0,'4_1':0.0},(65,271):{'3_1':0.03},(65,270):{'3_1':0.0},(65,269):{'3_1':0.0},(65,268):{'3_1':0.03,'4_1':0.0},(65,267):{'3_1':0.03},(65,266):{'3_1':0.03,'4_1':0.0},(65,265):{'3_1':0.03},(65,264):{'3_1':0.0},(65,263):{'3_1':0.03},(65,262):{'4_1':0.0,'3_1':0.0},(65,261):{'3_1':0.06,'4_1':0.0},(65,260):{'3_1':0.0,'4_1':0.0},(65,259):{'3_1':0.09},(65,258):{'3_1':0.0,'6_3':0.0},(65,257):{'3_1':0.03},(65,256):{'3_1':0.03,'6_2':0.0},(65,255):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(65,254):{'3_1':0.0},(65,253):{'3_1':0.0,'4_1':0.0},(65,252):{'3_1':0.03},(65,251):{'3_1':0.0},(65,250):{'3_1':0.0},(65,249):{'3_1':0.03},(65,248):{'3_1':0.03},(65,247):{'3_1':0.03},(65,246):{'3_1':0.0},(65,245):{'3_1':0.0},(65,244):{'3_1':0.03},(65,243):{'3_1':0.06},(65,242):{'3_1':0.03},(65,241):{'3_1':0.0},(65,240):{'3_1':0.06},(65,239):{'3_1':0.03},(65,238):{'3_1':0.0},(65,237):{'3_1':0.06},(65,236):{'3_1':0.03},(65,235):{'3_1':0.03},(65,234):{'3_1':0.03},(65,233):{'3_1':0.06},(65,232):{'3_1':0.03,'4_1':0.0},(65,231):{'3_1':0.0},(65,230):{'3_1':0.03},(65,229):{'3_1':0.06},(65,228):{'3_1':0.03,'4_1':0.0},(65,227):{'3_1':0.03},(65,226):{'3_1':0.03},(65,225):{'3_1':0.0},(65,224):{'3_1':0.0},(65,223):{'3_1':0.03},(65,222):{'3_1':0.0},(65,221):{'3_1':0.03},(65,220):{'3_1':0.0},(65,219):{'3_1':0.0},(65,218):{'3_1':0.0,'5_1':0.0},(65,217):{'3_1':0.03},(65,216):{'3_1':0.0},(65,215):{'4_1':0.0},(65,214):{'3_1':0.0},(65,213):{'3_1':0.03},(65,212):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(65,211):{'3_1':0.03},(65,210):{'3_1':0.03},(65,209):{'3_1':0.03},(65,208):{'3_1':0.0},(65,207):{'3_1':0.06},(65,206):{'3_1':0.03},(65,205):{'3_1':0.03},(65,204):{'3_1':0.06},(65,203):{'3_1':0.03},(65,202):{'3_1':0.03},(65,201):{'3_1':0.09},(65,200):{'3_1':0.0,'4_1':0.0},(65,199):{'3_1':0.06},(65,198):{'3_1':0.0},(65,197):{'3_1':0.0},(65,196):{'3_1':0.03},(65,195):{'3_1':0.03},(65,194):{'3_1':0.0},(65,193):{'3_1':0.03},(65,192):{'3_1':0.03},(65,191):{'3_1':0.0},(65,190):{'3_1':0.03},(65,189):{'3_1':0.0},(65,188):{'3_1':0.03},(65,187):{'3_1':0.0},(65,186):{'3_1':0.03},(65,185):{'3_1':0.0},(65,184):{'3_1':0.0},(65,183):{'3_1':0.0},(65,182):{'3_1':0.03},(65,181):{'3_1':0.0},(65,180):{'3_1':0.0},(65,179):{'3_1':0.0},(65,178):{'3_1':0.03},(65,177):{'3_1':0.0},(65,175):{'3_1':0.0},(65,174):{'3_1':0.0},(65,173):{'3_1':0.0},(65,172):{'3_1':0.0},(65,171):{'3_1':0.0},(65,170):{'3_1':0.03},(65,169):{'3_1':0.0},(65,167):{'3_1':0.0},(65,164):{'3_1':0.0},(65,163):{'3_1':0.0},(65,161):{'3_1':0.0},(65,160):{'3_1':0.0},(65,155):{'3_1':0.0},(65,154):{'3_1':0.0},(65,152):{'3_1':0.0},(65,150):{'3_1':0.0},(65,148):{'3_1':0.0},(65,147):{'3_1':0.0},(65,146):{'3_1':0.0},(65,145):{'3_1':0.0},(65,144):{'3_1':0.0},(65,142):{'3_1':0.0},(65,141):{'3_1':0.0},(65,140):{'3_1':0.0},(65,139):{'3_1':0.0},(65,135):{'3_1':0.03},(65,134):{'3_1':0.0},(65,133):{'3_1':0.0},(65,127):{'3_1':0.0},(65,110):{'3_1':0.0},(66,459):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(66,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(66,457):{'3_1':0.87,'8_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(66,456):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'5_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(66,455):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'5_2':0.0},(66,454):{'3_1':0.9,'7_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(66,453):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(66,452):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'-3':0.0},(66,451):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(66,450):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(66,449):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_5':0.0,'7_1':0.0,'8_2':0.0},(66,448):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(66,447):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(66,446):{'3_1':0.9,'5_1':0.03,'5_2':0.0},(66,445):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(66,444):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0},(66,443):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(66,442):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'7_1':0.0,'7_5':0.0},(66,441):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(66,440):{'3_1':0.87,'7_1':0.0,'8_2':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(66,439):{'3_1':0.81,'7_1':0.03,'5_1':0.0,'7_5':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(66,438):{'3_1':0.81,'5_1':0.03,'7_1':0.0,'8_2':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(66,437):{'3_1':0.84,'5_1':0.06,'-3':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(66,436):{'3_1':0.81,'5_1':0.09,'5_2':0.03,'-3':0.0,'7_1':0.0,'7_5':0.0},(66,435):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'7_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'9_1':0.0},(66,434):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(66,433):{'3_1':0.84,'5_1':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'5_2':0.0,'-3':0.0},(66,432):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0},(66,431):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(66,430):{'3_1':0.84,'5_1':0.06,'5_2':0.03,'8_2':0.0,'7_1':0.0},(66,429):{'3_1':0.81,'7_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(66,428):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(66,427):{'3_1':0.84,'5_1':0.03,'7_1':0.03,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(66,426):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(66,425):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'5_2':0.0,'-3':0.0,'7_1':0.0,'8_19':0.0,'7_5':0.0},(66,424):{'3_1':0.75,'5_1':0.03,'7_1':0.03,'8_2':0.03,'5_2':0.03,'7_5':0.0},(66,423):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(66,422):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'7_1':0.03,'8_2':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(66,421):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'7_1':0.03,'-3':0.0,'7_5':0.0,'8_2':0.0},(66,420):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(66,419):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_8':0.0,'-3':0.0},(66,418):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(66,417):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(66,416):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'8_2':0.03,'7_1':0.0,'7_5':0.0,'6_2':0.0},(66,415):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'7_1':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(66,414):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(66,413):{'3_1':0.72,'5_1':0.09,'7_1':0.03,'5_2':0.0,'7_5':0.0,'8_2':0.0,'7_3':0.0,'9_1':0.0,'-3':0.0},(66,412):{'3_1':0.66,'5_1':0.12,'8_2':0.03,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(66,411):{'3_1':0.63,'5_1':0.18,'5_2':0.06,'8_2':0.03,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(66,410):{'3_1':0.63,'5_1':0.15,'5_2':0.06,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(66,409):{'3_1':0.66,'5_1':0.12,'5_2':0.06,'8_2':0.03,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(66,408):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(66,407):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0,'7_5':0.0},(66,406):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(66,405):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'8_1':0.0,'8_2':0.0,'-3':0.0},(66,404):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(66,403):{'3_1':0.78,'5_1':0.03,'6_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_7':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(66,402):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(66,401):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(66,400):{'3_1':0.81,'5_2':0.0,'7_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(66,399):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_5':0.0,'8_8':0.0},(66,398):{'3_1':0.72,'7_1':0.06,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_11':0.0},(66,397):{'3_1':0.75,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0},(66,396):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0,'3_1#5_1':0.0},(66,395):{'3_1':0.69,'5_2':0.06,'5_1':0.03,'8_2':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(66,394):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0},(66,393):{'3_1':0.66,'5_2':0.06,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(66,392):{'3_1':0.69,'5_1':0.03,'7_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0},(66,391):{'3_1':0.6,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(66,390):{'3_1':0.57,'5_1':0.09,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(66,389):{'3_1':0.45,'5_1':0.03,'7_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(66,388):{'3_1':0.39,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(66,387):{'3_1':0.36,'6_2':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(66,386):{'3_1':0.27,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0},(66,385):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(66,384):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0},(66,383):{'3_1':0.09,'5_1':0.03,'4_1':0.03,'6_2':0.03,'5_2':0.0,'7_5':0.0,'-3':0.0},(66,382):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'3_1#5_1':0.0},(66,381):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(66,380):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_6':0.0},(66,379):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(66,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(66,377):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(66,376):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(66,375):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(66,374):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(66,373):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(66,372):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(66,371):{'3_1':0.06,'4_1':0.0},(66,370):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(66,369):{'3_1':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0},(66,368):{'3_1':0.09,'5_2':0.0},(66,367):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(66,366):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(66,365):{'3_1':0.06,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(66,364):{'3_1':0.03,'5_2':0.0},(66,363):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(66,362):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(66,361):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0},(66,360):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(66,359):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(66,358):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(66,357):{'3_1':0.03,'5_1':0.0},(66,356):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(66,355):{'3_1':0.03,'5_1':0.0},(66,354):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(66,353):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(66,352):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(66,351):{'3_1':0.06,'6_2':0.0},(66,350):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(66,349):{'3_1':0.03,'5_2':0.0,'8_5':0.0},(66,348):{'3_1':0.0},(66,347):{'3_1':0.0,'4_1':0.0},(66,346):{'3_1':0.0},(66,345):{'3_1':0.03,'4_1':0.0,'-3':0.0},(66,344):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(66,343):{'3_1':0.0,'4_1':0.0,'8_10':0.0},(66,342):{'3_1':0.03,'-3':0.0},(66,341):{'3_1':0.03,'5_2':0.0},(66,340):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(66,339):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(66,338):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(66,337):{'3_1':0.06},(66,336):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(66,335):{'3_1':0.06},(66,334):{'3_1':0.03,'4_1':0.0},(66,333):{'3_1':0.0,'5_2':0.0},(66,332):{'3_1':0.06,'4_1':0.0},(66,331):{'3_1':0.06,'4_1':0.0},(66,330):{'3_1':0.12},(66,329):{'3_1':0.03},(66,328):{'3_1':0.0,'4_1':0.0},(66,327):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(66,326):{'3_1':0.0,'4_1':0.0},(66,325):{'3_1':0.0},(66,324):{'3_1':0.03},(66,323):{'4_1':0.0},(66,322):{'3_1':0.03,'4_1':0.0},(66,321):{'3_1':0.06},(66,320):{'3_1':0.03,'5_1':0.0},(66,319):{'3_1':0.03},(66,318):{'3_1':0.03,'5_2':0.0},(66,317):{'3_1':0.0,'5_1':0.0},(66,316):{'3_1':0.09,'5_1':0.0},(66,315):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(66,314):{'3_1':0.06},(66,313):{'3_1':0.03},(66,312):{'3_1':0.03},(66,311):{'3_1':0.06,'5_1':0.0},(66,310):{'3_1':0.03,'5_2':0.0},(66,309):{'3_1':0.03,'5_2':0.0},(66,308):{'3_1':0.03,'4_1':0.0},(66,307):{'3_1':0.0,'5_1':0.0},(66,306):{'3_1':0.06,'5_1':0.0},(66,305):{'3_1':0.03},(66,304):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(66,303):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(66,302):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(66,301):{'3_1':0.06,'4_1':0.0},(66,300):{'3_1':0.03,'5_1':0.0},(66,299):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(66,298):{'3_1':0.0,'5_1':0.0},(66,297):{'3_1':0.06},(66,296):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(66,295):{'3_1':0.03,'5_1':0.0},(66,294):{'3_1':0.09},(66,293):{'3_1':0.06},(66,292):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(66,291):{'3_1':0.03,'5_1':0.0},(66,290):{'3_1':0.06,'5_2':0.0},(66,289):{'3_1':0.06},(66,288):{'3_1':0.0,'5_1':0.0},(66,287):{'3_1':0.0},(66,286):{'3_1':0.03},(66,285):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(66,284):{'3_1':0.09,'4_1':0.0},(66,283):{'3_1':0.03,'5_1':0.0},(66,282):{'3_1':0.06,'5_1':0.0},(66,281):{'3_1':0.0},(66,280):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(66,279):{'3_1':0.03,'5_1':0.0},(66,278):{'3_1':0.0},(66,277):{'3_1':0.0,'5_1':0.0,'6_3':0.0},(66,276):{'3_1':0.06,'4_1':0.0},(66,275):{'3_1':0.03},(66,274):{'3_1':0.0,'4_1':0.0},(66,273):{'3_1':0.03,'4_1':0.0},(66,272):{'3_1':0.0},(66,271):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(66,270):{'3_1':0.0},(66,269):{'3_1':0.0},(66,268):{'3_1':0.0,'5_2':0.0},(66,267):{'3_1':0.0},(66,266):{'3_1':0.03},(66,265):{'3_1':0.03,'5_2':0.0},(66,264):{'3_1':0.0,'4_1':0.0},(66,263):{'3_1':0.03,'5_2':0.0},(66,262):{'3_1':0.06},(66,261):{'3_1':0.06,'4_1':0.0},(66,260):{'3_1':0.0,'5_2':0.0},(66,259):{'3_1':0.03},(66,258):{'3_1':0.03,'4_1':0.0},(66,257):{'3_1':0.09},(66,256):{'3_1':0.0},(66,255):{'3_1':0.03},(66,254):{'3_1':0.06},(66,253):{'3_1':0.0},(66,252):{'3_1':0.03},(66,251):{'3_1':0.0},(66,250):{'3_1':0.0},(66,249):{'3_1':0.06},(66,248):{'3_1':0.06,'4_1':0.0},(66,247):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(66,246):{'3_1':0.03},(66,245):{'3_1':0.03},(66,244):{'3_1':0.03},(66,243):{'3_1':0.0},(66,242):{'3_1':0.06},(66,241):{'3_1':0.03,'4_1':0.0},(66,240):{'3_1':0.03},(66,239):{'3_1':0.03,'4_1':0.0},(66,238):{'3_1':0.03},(66,237):{'3_1':0.03,'4_1':0.0},(66,236):{'3_1':0.0,'6_3':0.0},(66,235):{'3_1':0.0},(66,234):{'3_1':0.03},(66,233):{'3_1':0.03},(66,232):{'3_1':0.03},(66,231):{'3_1':0.03},(66,230):{'3_1':0.03},(66,229):{'3_1':0.03},(66,228):{'3_1':0.0},(66,227):{'3_1':0.03},(66,226):{'3_1':0.0},(66,225):{'3_1':0.03,'4_1':0.0},(66,224):{'3_1':0.0},(66,223):{'3_1':0.0},(66,222):{'3_1':0.06},(66,221):{'3_1':0.03},(66,220):{'4_1':0.0,'3_1':0.0},(66,219):{'3_1':0.0,'6_2':0.0},(66,218):{'3_1':0.0},(66,217):{'3_1':0.0},(66,216):{'3_1':0.03,'4_1':0.0},(66,215):{'3_1':0.0},(66,214):{'3_1':0.0},(66,213):{'3_1':0.03},(66,212):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(66,211):{'3_1':0.03,'4_1':0.0},(66,210):{'3_1':0.0,'4_1':0.0},(66,209):{'3_1':0.06},(66,208):{'3_1':0.09},(66,207):{'3_1':0.03,'4_1':0.0},(66,206):{'3_1':0.03,'4_1':0.0},(66,205):{'3_1':0.03},(66,204):{'3_1':0.03},(66,203):{'3_1':0.03,'4_1':0.0},(66,202):{'3_1':0.03},(66,201):{'3_1':0.09,'5_1':0.0},(66,200):{'3_1':0.0},(66,199):{'3_1':0.03},(66,198):{'3_1':0.03},(66,197):{'3_1':0.0},(66,196):{'3_1':0.03},(66,195):{'3_1':0.03},(66,194):{'3_1':0.0},(66,193):{'3_1':0.03},(66,192):{'3_1':0.0},(66,191):{'3_1':0.0},(66,190):{'3_1':0.0},(66,189):{'3_1':0.0},(66,188):{'3_1':0.0},(66,187):{'3_1':0.03,'4_1':0.0},(66,186):{'3_1':0.06},(66,185):{'3_1':0.03},(66,184):{'3_1':0.03,'4_1':0.0},(66,183):{'3_1':0.03},(66,182):{'3_1':0.06},(66,181):{'3_1':0.0},(66,179):{'3_1':0.0},(66,178):{'3_1':0.0},(66,177):{'3_1':0.03},(66,176):{'3_1':0.0},(66,175):{'3_1':0.0},(66,174):{'3_1':0.0},(66,173):{'3_1':0.0},(66,170):{'3_1':0.0},(66,169):{'3_1':0.0},(66,168):{'3_1':0.0},(66,164):{'3_1':0.0},(66,163):{'3_1':0.0},(66,161):{'3_1':0.0},(66,155):{'3_1':0.03},(66,154):{'3_1':0.0},(66,151):{'3_1':0.0},(66,149):{'3_1':0.0},(66,148):{'3_1':0.0},(66,146):{'3_1':0.0},(66,136):{'3_1':0.0},(66,134):{'3_1':0.0},(66,133):{'3_1':0.0},(66,131):{'3_1':0.0},(67,459):{'3_1':0.84,'5_1':0.03,'7_1':0.03,'5_2':0.0,'6_2':0.0},(67,458):{'3_1':0.87,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0},(67,457):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(67,456):{'3_1':0.87,'7_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(67,455):{'3_1':0.87,'5_2':0.03,'7_1':0.0,'5_1':0.0,'8_2':0.0},(67,454):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_1':0.0},(67,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(67,452):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(67,451):{'3_1':0.81,'5_1':0.03,'7_1':0.03,'5_2':0.0,'8_2':0.0,'6_3':0.0},(67,450):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(67,449):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_6':0.0},(67,448):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(67,447):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(67,446):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(67,445):{'3_1':0.84,'5_2':0.03,'7_1':0.03,'5_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(67,444):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(67,443):{'3_1':0.81,'5_1':0.03,'7_1':0.03,'5_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(67,442):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(67,441):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'7_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(67,440):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(67,439):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(67,438):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'-3':0.0,'7_1':0.0,'8_2':0.0,'7_3':0.0},(67,437):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(67,436):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(67,435):{'3_1':0.81,'5_1':0.06,'7_1':0.03,'8_2':0.03,'5_2':0.0,'3_1#5_1':0.0},(67,434):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0,'7_5':0.0},(67,433):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'-3':0.0,'7_1':0.0,'8_2':0.0},(67,432):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'7_1':0.0,'6_2':0.0,'9_1':0.0,'-3':0.0},(67,431):{'3_1':0.75,'5_1':0.06,'7_1':0.03,'5_2':0.0,'8_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(67,430):{'3_1':0.84,'8_2':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0},(67,429):{'3_1':0.75,'5_1':0.06,'-3':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(67,428):{'3_1':0.78,'5_2':0.06,'5_1':0.06,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(67,427):{'3_1':0.78,'5_1':0.06,'7_1':0.03,'8_2':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0},(67,426):{'3_1':0.78,'7_1':0.03,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(67,425):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'5_2':0.03,'-3':0.0},(67,424):{'3_1':0.87,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(67,423):{'3_1':0.81,'5_1':0.03,'-3':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0},(67,422):{'3_1':0.81,'5_1':0.03,'-3':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0},(67,421):{'3_1':0.78,'5_1':0.09,'5_2':0.03,'8_2':0.0,'-3':0.0,'8_6':0.0},(67,420):{'3_1':0.72,'8_2':0.06,'5_2':0.03,'5_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0},(67,419):{'3_1':0.72,'5_1':0.12,'8_2':0.03,'5_2':0.03,'7_1':0.0,'9_1':0.0},(67,418):{'3_1':0.75,'5_1':0.09,'5_2':0.06,'8_2':0.0,'-3':0.0},(67,417):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(67,416):{'3_1':0.63,'5_1':0.09,'5_2':0.09,'8_2':0.06,'6_2':0.0,'7_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(67,415):{'3_1':0.75,'5_1':0.12,'7_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'9_1':0.0},(67,414):{'3_1':0.69,'5_1':0.15,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'1':-0.03},(67,413):{'3_1':0.69,'8_2':0.06,'5_1':0.03,'7_1':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(67,412):{'3_1':0.6,'5_1':0.15,'5_2':0.06,'7_1':0.03,'6_2':0.03,'8_2':0.03,'-3':0.0},(67,411):{'3_1':0.6,'5_1':0.15,'5_2':0.06,'7_1':0.03,'8_2':0.03,'-3':0.0,'6_2':0.0,'7_5':0.0},(67,410):{'3_1':0.63,'5_1':0.12,'5_2':0.09,'8_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0},(67,409):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'7_1':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(67,408):{'3_1':0.72,'5_1':0.06,'8_2':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(67,407):{'3_1':0.75,'5_1':0.09,'7_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(67,406):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'5_2':0.0,'8_2':0.0,'7_3':0.0,'8_19':0.0},(67,405):{'3_1':0.81,'5_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(67,404):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_19':0.0},(67,403):{'3_1':0.84,'5_1':0.03,'6_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(67,402):{'3_1':0.81,'5_1':0.03,'7_1':0.03,'5_2':0.0,'6_2':0.0},(67,401):{'3_1':0.84,'5_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'8_2':0.0},(67,400):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0},(67,399):{'3_1':0.75,'5_1':0.06,'8_2':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(67,398):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(67,397):{'3_1':0.75,'5_1':0.03,'7_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_6':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(67,396):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(67,395):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0,'8_2':0.0},(67,394):{'3_1':0.69,'5_1':0.03,'6_2':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(67,393):{'3_1':0.6,'5_1':0.09,'6_2':0.03,'5_2':0.0,'8_2':0.0},(67,392):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'7_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(67,391):{'3_1':0.66,'5_1':0.06,'8_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0},(67,390):{'3_1':0.57,'5_1':0.03,'4_1':0.03,'7_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(67,389):{'3_1':0.45,'6_2':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_6':0.0},(67,388):{'3_1':0.42,'5_1':0.09,'4_1':0.0,'6_2':0.0,'-3':0.0},(67,387):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(67,386):{'3_1':0.27,'5_2':0.0,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(67,385):{'3_1':0.18,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_6':0.0},(67,384):{'3_1':0.15,'5_1':0.06,'6_2':0.0,'4_1':0.0,'7_3':0.0,'5_2':0.0,'-3':0.0},(67,383):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0},(67,382):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_1':0.0},(67,381):{'3_1':0.09,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(67,380):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(67,379):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0},(67,378):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(67,377):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(67,376):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(67,375):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(67,374):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(67,373):{'3_1':0.03,'5_1':0.0,'7_6':0.0},(67,372):{'3_1':0.06},(67,371):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(67,370):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(67,369):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(67,368):{'3_1':0.12},(67,367):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(67,366):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(67,365):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'4_1':0.0},(67,364):{'3_1':0.06,'5_1':0.0},(67,363):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(67,362):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(67,361):{'3_1':0.03,'7_3':0.0},(67,360):{'3_1':0.03},(67,359):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0},(67,358):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(67,357):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(67,356):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(67,355):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(67,354):{'3_1':0.03,'6_2':0.03,'5_1':0.0},(67,353):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(67,352):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(67,351):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(67,350):{'3_1':0.06},(67,349):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,348):{'3_1':0.0,'4_1':0.0},(67,347):{'3_1':0.0},(67,346):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(67,345):{'3_1':0.0},(67,344):{'3_1':0.03,'5_2':0.0},(67,343):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(67,342):{'3_1':0.03},(67,341):{'3_1':0.0,'8_20|3_1#3_1':0.0},(67,340):{'3_1':0.0,'5_2':0.0,'6_1':0.0,'8_7':0.0,'8_10':0.0},(67,339):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(67,338):{'3_1':0.06,'6_2':0.0},(67,337):{'3_1':0.06},(67,336):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(67,335):{'3_1':0.06,'4_1':0.0},(67,334):{'3_1':0.03,'4_1':0.0},(67,333):{'3_1':0.03,'4_1':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(67,332):{'3_1':0.0},(67,331):{'3_1':0.06,'5_2':0.0},(67,330):{'3_1':0.03},(67,329):{'3_1':0.03,'4_1':0.0},(67,328):{'3_1':0.0,'8_20|3_1#3_1':0.0},(67,327):{'3_1':0.0,'4_1':0.0},(67,326):{'3_1':0.03},(67,325):{'3_1':0.03,'5_2':0.0},(67,324):{'3_1':0.0,'4_1':0.0},(67,323):{'3_1':0.0,'6_1':0.0},(67,322):{'3_1':0.0,'4_1':0.0},(67,321):{'3_1':0.0},(67,320):{'5_1':0.0,'7_5':0.0},(67,319):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_1':0.0},(67,318):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(67,317):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(67,316):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(67,315):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(67,314):{'3_1':0.03},(67,313):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(67,312):{'5_2':0.0,'3_1':0.0},(67,311):{'3_1':0.09,'6_2':0.0,'7_3':0.0},(67,310):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(67,309):{'3_1':0.0,'5_1':0.0,'7_3':0.0},(67,308):{'3_1':0.0},(67,307):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(67,306):{'5_1':0.0,'3_1':0.0},(67,305):{'3_1':0.0,'4_1':0.0},(67,304):{'3_1':0.06,'4_1':0.0},(67,303):{'3_1':0.03,'5_1':0.0},(67,302):{'3_1':0.06,'5_1':0.0},(67,301):{'3_1':0.06},(67,300):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(67,299):{'3_1':0.06},(67,298):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(67,297):{'3_1':0.03,'8_21|3_1#4_1':0.0},(67,296):{'3_1':0.03,'5_1':0.0},(67,295):{'3_1':0.03,'5_1':0.0},(67,294):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(67,293):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(67,292):{'3_1':0.0,'5_1':0.0},(67,291):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(67,290):{'3_1':0.03,'5_1':0.0},(67,289):{'3_1':0.03},(67,288):{'3_1':0.0,'5_1':0.0},(67,287):{'3_1':0.03,'5_1':0.0},(67,286):{'3_1':0.06,'5_1':0.0},(67,285):{'3_1':0.03,'5_2':0.0},(67,284):{'3_1':0.0,'5_1':0.0},(67,283):{'3_1':0.03,'5_2':0.0},(67,282):{'3_1':0.03},(67,281):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(67,280):{'3_1':0.0,'5_1':0.0},(67,279):{'3_1':0.03,'4_1':0.0},(67,278):{'3_1':0.03},(67,277):{'3_1':0.0},(67,276):{'3_1':0.0},(67,275):{'3_1':0.0},(67,274):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(67,273):{'3_1':0.03},(67,272):{'4_1':0.0},(67,271):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(67,270):{'3_1':0.0},(67,269):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(67,268):{'3_1':0.0},(67,267):{'3_1':0.0,'5_2':0.0},(67,266):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(67,265):{'3_1':0.0,'5_2':0.0},(67,264):{'3_1':0.03,'4_1':0.0},(67,263):{'3_1':0.03,'4_1':0.0},(67,262):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(67,261):{'3_1':0.03},(67,260):{'3_1':0.0,'4_1':0.0},(67,259):{'3_1':0.0,'5_2':0.0},(67,258):{'3_1':0.0},(67,257):{'3_1':0.03,'5_1':0.0},(67,256):{'3_1':0.03},(67,255):{'3_1':0.0,'4_1':0.0},(67,254):{'3_1':0.03,'5_2':0.0},(67,253):{'3_1':0.03,'6_2':0.0},(67,252):{'3_1':0.03},(67,251):{'3_1':0.0,'4_1':0.0},(67,250):{'3_1':0.0},(67,249):{'3_1':0.0,'4_1':0.0},(67,248):{'3_1':0.0,'5_2':0.0},(67,247):{'3_1':0.03},(67,246):{'3_1':0.06},(67,245):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,244):{'3_1':0.03,'4_1':0.0},(67,243):{'3_1':0.03},(67,242):{'3_1':0.03},(67,241):{'3_1':0.0},(67,240):{'3_1':0.03},(67,239):{'3_1':0.0},(67,238):{'3_1':0.06},(67,237):{'3_1':0.03},(67,236):{'3_1':0.0},(67,235):{'3_1':0.0,'4_1':0.0},(67,234):{'3_1':0.0},(67,233):{'3_1':0.03},(67,232):{'3_1':0.03,'4_1':0.0},(67,231):{'3_1':0.03},(67,230):{'3_1':0.03},(67,229):{'3_1':0.0},(67,228):{'3_1':0.0},(67,227):{'3_1':0.0},(67,226):{'3_1':0.0},(67,225):{'3_1':0.0,'4_1':0.0},(67,224):{'3_1':0.0},(67,223):{'3_1':0.03},(67,222):{'3_1':0.03},(67,221):{'3_1':0.03},(67,220):{'3_1':0.03},(67,219):{'3_1':0.0,'4_1':0.0},(67,218):{'3_1':0.0},(67,217):{'3_1':0.03},(67,216):{'4_1':0.0},(67,215):{'3_1':0.0},(67,214):{'3_1':0.03},(67,213):{'3_1':0.0},(67,212):{'3_1':0.03,'4_1':0.0},(67,211):{'3_1':0.03,'4_1':0.0},(67,210):{'3_1':0.0},(67,209):{'3_1':0.03},(67,208):{'3_1':0.06},(67,207):{'3_1':0.0},(67,206):{'3_1':0.03},(67,205):{'3_1':0.03,'4_1':0.0},(67,204):{'3_1':0.06},(67,203):{'3_1':0.06},(67,202):{'3_1':0.0,'4_1':0.0},(67,201):{'3_1':0.03},(67,200):{'3_1':0.0},(67,199):{'3_1':0.06},(67,198):{'3_1':0.03},(67,197):{'3_1':0.03},(67,196):{'3_1':0.03,'4_1':0.0},(67,195):{'3_1':0.0},(67,194):{'3_1':0.03},(67,193):{'3_1':0.0},(67,192):{'3_1':0.0,'4_1':0.0},(67,191):{'3_1':0.03},(67,190):{'3_1':0.03,'4_1':0.0},(67,189):{'3_1':0.03},(67,188):{'3_1':0.0},(67,187):{'3_1':0.0},(67,186):{'3_1':0.0},(67,185):{'3_1':0.03},(67,184):{'3_1':0.03},(67,183):{'3_1':0.03},(67,182):{'3_1':0.03},(67,181):{'3_1':0.0},(67,179):{'3_1':0.0},(67,177):{'3_1':0.0},(67,176):{'3_1':0.0},(67,175):{'3_1':0.0},(67,174):{'3_1':0.0},(67,173):{'3_1':0.0},(67,172):{'3_1':0.0},(67,169):{'3_1':0.0},(67,167):{'3_1':0.0},(67,166):{'3_1':0.0},(67,161):{'3_1':0.0},(67,159):{'3_1':0.0},(67,157):{'3_1':0.0},(67,155):{'3_1':0.0},(67,154):{'3_1':0.0},(67,151):{'3_1':0.0},(67,150):{'3_1':0.0},(67,149):{'3_1':0.0},(67,142):{'3_1':0.0},(67,140):{'3_1':0.0},(67,137):{'3_1':0.0},(67,134):{'3_1':0.0},(67,132):{'3_1':0.0},(68,459):{'3_1':0.9,'5_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0},(68,458):{'3_1':0.87,'5_1':0.06,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(68,457):{'3_1':0.9,'7_5':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(68,456):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'7_5':0.0,'5_2':0.0,'8_2':0.0},(68,455):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'5_2':0.0},(68,454):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_1':0.0,'5_2':0.0},(68,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(68,452):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'8_2':0.0,'7_1':0.0},(68,451):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(68,450):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'5_2':0.0,'8_2':0.0},(68,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(68,448):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(68,447):{'3_1':0.84,'8_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0},(68,446):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(68,445):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(68,444):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'7_5':0.0,'7_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(68,443):{'3_1':0.9,'7_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0},(68,442):{'3_1':0.9,'5_2':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0},(68,441):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0},(68,440):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(68,439):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'8_2':0.0,'5_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(68,438):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(68,437):{'3_1':0.84,'5_1':0.06,'5_2':0.03,'7_1':0.0,'8_2':0.0},(68,436):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'-3':0.0,'5_2':0.0,'7_5':0.0},(68,435):{'3_1':0.84,'5_1':0.06,'7_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(68,434):{'3_1':0.84,'5_1':0.03,'7_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_19':0.0,'-3':0.0},(68,433):{'3_1':0.87,'5_1':0.06,'5_2':0.0,'7_3':0.0},(68,432):{'3_1':0.81,'5_1':0.03,'7_1':0.03,'5_2':0.0,'8_2':0.0,'7_5':0.0},(68,431):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_5':0.0,'9_1':0.0},(68,430):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(68,429):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(68,428):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(68,427):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(68,426):{'3_1':0.66,'5_1':0.09,'7_1':0.03,'8_2':0.03,'-3':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(68,425):{'3_1':0.84,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(68,424):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(68,423):{'3_1':0.75,'5_1':0.03,'7_1':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(68,422):{'3_1':0.78,'5_1':0.09,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(68,421):{'3_1':0.81,'5_1':0.03,'7_1':0.0,'8_2':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0},(68,420):{'3_1':0.78,'5_1':0.06,'7_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(68,419):{'3_1':0.75,'5_1':0.09,'7_1':0.0,'8_2':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(68,418):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(68,417):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_2':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'1':-0.03},(68,416):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'5_2':0.03,'7_1':0.0,'-3':0.0},(68,415):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'8_2':0.03,'-3':0.0,'7_1':0.0},(68,414):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0,'1':-0.03},(68,413):{'3_1':0.66,'5_1':0.09,'8_2':0.06,'5_2':0.03,'7_1':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0},(68,412):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'6_2':0.03,'8_2':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(68,411):{'3_1':0.69,'5_1':0.12,'5_2':0.06,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(68,410):{'3_1':0.6,'5_1':0.12,'5_2':0.09,'7_1':0.03,'6_2':0.0,'8_2':0.0,'7_5':0.0,'-3':0.0},(68,409):{'3_1':0.63,'5_1':0.18,'6_2':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_5':0.0,'9_1':0.0,'3_1#5_1':0.0,'-3':0.0},(68,408):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'7_1':0.03,'8_2':0.0,'6_2':0.0,'8_19':0.0,'9_1':0.0},(68,407):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(68,406):{'3_1':0.78,'5_1':0.06,'7_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0,'5_2':0.0,'8_2':0.0},(68,405):{'3_1':0.72,'5_1':0.03,'7_1':0.03,'6_1':0.0,'7_3':0.0,'8_2':0.0,'5_2':0.0},(68,404):{'3_1':0.84,'5_1':0.06,'7_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(68,403):{'3_1':0.78,'5_1':0.06,'7_5':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(68,402):{'3_1':0.75,'7_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0},(68,401):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'7_5':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'6_1':0.0,'6_3':0.0},(68,400):{'3_1':0.78,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0,'7_5':0.0,'8_11':0.0},(68,399):{'3_1':0.78,'5_1':0.03,'7_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(68,398):{'3_1':0.84,'8_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(68,397):{'3_1':0.84,'5_1':0.0,'7_5':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(68,396):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(68,395):{'3_1':0.63,'5_1':0.09,'-3':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0,'8_2':0.0},(68,394):{'3_1':0.69,'5_1':0.06,'7_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(68,393):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(68,392):{'3_1':0.6,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(68,391):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0,'-3':0.0},(68,390):{'3_1':0.63,'5_1':0.09,'6_2':0.03,'7_5':0.0,'8_2':0.0},(68,389):{'3_1':0.48,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(68,388):{'3_1':0.45,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_9':0.0,'-3':0.0},(68,387):{'3_1':0.27,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(68,386):{'3_1':0.15,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(68,385):{'3_1':0.27,'-3':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(68,384):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(68,383):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0},(68,382):{'3_1':0.12,'6_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'8_2':0.0},(68,381):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(68,380):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_5':0.0,'8_19':0.0},(68,379):{'5_2':0.06,'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_11':0.0},(68,378):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(68,377):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(68,376):{'3_1':0.03,'4_1':0.03,'7_6':0.0,'8_2':0.0},(68,375):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0},(68,374):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'4_1':0.0},(68,373):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(68,372):{'3_1':0.06,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(68,371):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(68,370):{'3_1':0.03},(68,369):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0},(68,368):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'7_3':0.0},(68,367):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_2':0.0},(68,366):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'4_1':0.0},(68,365):{'3_1':0.09,'4_1':0.0},(68,364):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(68,363):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(68,362):{'3_1':0.0,'5_1':0.0},(68,361):{'3_1':0.03,'4_1':0.0},(68,360):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(68,359):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(68,358):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(68,357):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(68,356):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(68,355):{'3_1':0.06,'4_1':0.0},(68,354):{'3_1':0.06,'5_1':0.0,'8_6':0.0},(68,353):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(68,352):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(68,351):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(68,350):{'3_1':0.0,'5_1':0.0},(68,349):{'3_1':0.0,'4_1':0.0},(68,348):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(68,347):{'3_1':0.03,'4_1':0.0},(68,346):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(68,345):{'3_1':0.0},(68,344):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(68,343):{'3_1':0.0,'6_2':0.0,'6_3':0.0},(68,342):{'3_1':0.03,'4_1':0.0},(68,341):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(68,340):{'3_1':0.06},(68,339):{'3_1':0.0},(68,338):{'3_1':0.03},(68,337):{'3_1':0.0,'8_10':0.0},(68,336):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(68,335):{'3_1':0.06,'5_2':0.0,'-3':0.0},(68,334):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(68,333):{'3_1':0.06,'4_1':0.0},(68,332):{'3_1':0.03,'6_3':0.0},(68,331):{'3_1':0.03,'4_1':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(68,330):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,329):{'3_1':0.0},(68,328):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(68,327):{'3_1':0.03},(68,326):{'3_1':0.03,'4_1':0.0},(68,325):{'3_1':0.03,'5_1':0.0},(68,324):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(68,323):{'4_1':0.0,'6_3':0.0},(68,322):{'3_1':0.0,'4_1':0.0},(68,321):{'3_1':0.03,'5_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(68,320):{'3_1':0.0,'5_2':0.0},(68,319):{'3_1':0.0,'5_1':0.0},(68,318):{'3_1':0.0,'5_1':0.0},(68,317):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(68,316):{'3_1':0.03,'4_1':0.0},(68,315):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(68,314):{'3_1':0.0},(68,313):{'3_1':0.03},(68,312):{'3_1':0.03,'5_2':0.0},(68,311):{'3_1':0.06,'5_1':0.0},(68,310):{'3_1':0.06},(68,309):{'3_1':0.0,'5_2':0.0},(68,308):{'3_1':0.09,'4_1':0.0},(68,307):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(68,306):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(68,305):{'3_1':0.06},(68,304):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(68,303):{'3_1':0.06,'5_1':0.0},(68,302):{'3_1':0.03,'5_1':0.0},(68,301):{'3_1':0.0},(68,300):{'3_1':0.06,'5_1':0.0},(68,299):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(68,298):{'3_1':0.06,'4_1':0.0},(68,297):{'3_1':0.0,'7_3':0.0},(68,295):{'3_1':0.03,'5_1':0.0},(68,294):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(68,293):{'3_1':0.03,'5_1':0.0},(68,292):{'3_1':0.03},(68,291):{'3_1':0.03},(68,290):{'3_1':0.03},(68,289):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(68,288):{'3_1':0.06,'5_1':0.0},(68,287):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(68,286):{'3_1':0.03,'5_1':0.0},(68,285):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(68,284):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(68,283):{'3_1':0.03,'5_1':0.0},(68,282):{'3_1':0.03},(68,281):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(68,280):{'3_1':0.03},(68,279):{'3_1':0.03},(68,278):{'3_1':0.03,'4_1':0.0},(68,277):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(68,276):{'3_1':0.03},(68,275):{'3_1':0.0,'4_1':0.0},(68,274):{'3_1':0.03},(68,273):{'3_1':0.0},(68,272):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(68,271):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(68,270):{'3_1':0.0,'5_1':0.0},(68,269):{'3_1':0.03},(68,268):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(68,267):{'3_1':0.0,'4_1':0.0},(68,266):{'3_1':0.0,'4_1':0.0},(68,265):{'3_1':0.0},(68,264):{'3_1':0.0,'4_1':0.0},(68,263):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(68,262):{'3_1':0.06,'4_1':0.0},(68,261):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,260):{'3_1':0.06,'5_2':0.0},(68,259):{'3_1':0.03,'4_1':0.0},(68,258):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(68,257):{'3_1':0.03,'4_1':0.0},(68,256):{'3_1':0.0},(68,255):{'3_1':0.0},(68,254):{'3_1':0.0},(68,253):{'3_1':0.0},(68,252):{'3_1':0.0,'4_1':0.0},(68,251):{'3_1':0.0,'6_3':0.0},(68,250):{'3_1':0.03,'5_2':0.0},(68,249):{'3_1':0.03,'5_2':0.0},(68,248):{'3_1':0.03},(68,247):{'3_1':0.03},(68,246):{'3_1':0.0},(68,245):{'3_1':0.03},(68,244):{'3_1':0.0},(68,243):{'3_1':0.03},(68,242):{'3_1':0.03},(68,241):{'3_1':0.06,'5_1':0.0},(68,240):{'3_1':0.03},(68,239):{'3_1':0.0},(68,238):{'3_1':0.0},(68,237):{'3_1':0.03},(68,236):{'3_1':0.03},(68,235):{'3_1':0.03},(68,234):{'3_1':0.09},(68,233):{'3_1':0.0},(68,232):{'3_1':0.0,'4_1':0.0},(68,231):{'3_1':0.03},(68,230):{'3_1':0.06,'4_1':0.0},(68,229):{'3_1':0.0},(68,228):{'3_1':0.0},(68,227):{'3_1':0.0},(68,226):{'3_1':0.0},(68,225):{'3_1':0.03},(68,224):{'3_1':0.03},(68,223):{'3_1':0.0},(68,222):{'3_1':0.0},(68,221):{'3_1':0.06},(68,220):{'3_1':0.0},(68,219):{'3_1':0.03},(68,218):{'3_1':0.0},(68,217):{'3_1':0.0},(68,216):{'3_1':0.0},(68,214):{'3_1':0.0},(68,213):{'3_1':0.03},(68,212):{'3_1':0.0,'6_2':0.0},(68,211):{'3_1':0.03},(68,210):{'3_1':0.0},(68,209):{'3_1':0.03},(68,208):{'3_1':0.03},(68,207):{'3_1':0.03},(68,206):{'3_1':0.0,'4_1':0.0},(68,205):{'3_1':0.03},(68,204):{'3_1':0.0},(68,203):{'3_1':0.03},(68,202):{'3_1':0.0},(68,201):{'3_1':0.03},(68,200):{'3_1':0.03},(68,199):{'3_1':0.03},(68,198):{'3_1':0.03},(68,197):{'3_1':0.03},(68,196):{'3_1':0.09},(68,195):{'3_1':0.03},(68,194):{'3_1':0.03},(68,193):{'3_1':0.06},(68,192):{'3_1':0.0},(68,191):{'3_1':0.0},(68,190):{'3_1':0.0},(68,189):{'3_1':0.06},(68,188):{'3_1':0.0},(68,187):{'3_1':0.0},(68,186):{'3_1':0.0},(68,185):{'3_1':0.03},(68,184):{'3_1':0.03},(68,183):{'3_1':0.0},(68,182):{'3_1':0.0},(68,181):{'3_1':0.03},(68,180):{'3_1':0.03},(68,178):{'3_1':0.0},(68,177):{'3_1':0.0},(68,175):{'3_1':0.0},(68,174):{'3_1':0.0},(68,169):{'3_1':0.0},(68,167):{'3_1':0.0},(68,164):{'3_1':0.0},(68,163):{'3_1':0.0},(68,162):{'3_1':0.0},(68,155):{'3_1':0.0},(68,153):{'3_1':0.0},(68,146):{'3_1':0.0},(68,143):{'3_1':0.0},(68,138):{'3_1':0.0},(68,134):{'3_1':0.0},(68,132):{'3_1':0.0},(68,130):{'3_1':0.0},(69,459):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(69,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(69,457):{'3_1':0.9,'5_2':0.0,'8_2':0.0,'5_1':0.0},(69,456):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0},(69,455):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(69,454):{'3_1':0.9,'5_2':0.03,'5_1':0.0,'7_1':0.0,'8_2':0.0},(69,453):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'6_2':0.0,'-3':0.0},(69,452):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_1':0.0},(69,451):{'3_1':0.9,'5_1':0.03,'5_2':0.0},(69,450):{'3_1':0.81,'5_1':0.06,'7_1':0.0,'8_2':0.0,'5_2':0.0},(69,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'-3':0.0},(69,448):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_5':0.0,'8_2':0.0},(69,447):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(69,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(69,445):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(69,444):{'3_1':0.87,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_3':0.0},(69,443):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(69,442):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'7_5':0.0,'8_2':0.0},(69,441):{'3_1':0.87,'5_1':0.03,'8_2':0.03,'5_2':0.0},(69,440):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(69,439):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(69,438):{'3_1':0.84,'5_1':0.06,'5_2':0.03,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(69,437):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(69,436):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'7_1':0.0,'5_2':0.0,'8_19':0.0},(69,435):{'3_1':0.87,'5_2':0.0,'7_1':0.0,'8_2':0.0,'5_1':0.0,'-3':0.0},(69,434):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'7_1':0.03,'7_5':0.0,'8_2':0.0},(69,433):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'8_2':0.0,'7_1':0.0,'5_2':0.0,'8_19':0.0},(69,432):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(69,431):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(69,430):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'7_1':0.0,'5_2':0.0,'-3':0.0},(69,429):{'3_1':0.81,'5_1':0.09,'5_2':0.03,'8_2':0.0,'7_1':0.0},(69,428):{'3_1':0.75,'5_1':0.12,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'9_1':0.0},(69,427):{'3_1':0.78,'5_1':0.09,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0},(69,426):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0},(69,425):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0,'1':-0.03},(69,424):{'3_1':0.72,'5_1':0.09,'8_2':0.06,'7_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(69,423):{'3_1':0.78,'5_1':0.06,'7_1':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(69,422):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_3':0.0},(69,421):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(69,420):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(69,419):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'5_2':0.03,'7_1':0.0,'7_5':0.0,'-3':0.0},(69,418):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'-3':0.0,'7_3':0.0,'8_2':0.0},(69,417):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'7_1':0.0,'7_5':0.0,'7_3':0.0,'8_2':0.0,'6_1':0.0,'6_2':0.0},(69,416):{'3_1':0.72,'5_1':0.15,'5_2':0.0,'7_1':0.0,'-3':0.0,'7_5':0.0,'8_2':0.0},(69,415):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_2':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(69,414):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_3':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(69,413):{'3_1':0.69,'5_1':0.12,'7_1':0.03,'8_2':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0},(69,412):{'3_1':0.69,'5_1':0.15,'6_2':0.03,'8_2':0.03,'5_2':0.0},(69,411):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_3':0.0},(69,410):{'3_1':0.66,'5_1':0.15,'5_2':0.06,'8_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(69,409):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'6_2':0.03,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_1':0.0},(69,408):{'3_1':0.66,'5_1':0.15,'5_2':0.03,'8_2':0.03,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(69,407):{'3_1':0.66,'5_1':0.12,'8_2':0.03,'5_2':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(69,406):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0},(69,405):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(69,404):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(69,403):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0,'-3':0.0},(69,402):{'3_1':0.84,'7_1':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(69,401):{'3_1':0.81,'5_1':0.03,'6_3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(69,400):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_11':0.0},(69,399):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(69,398):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(69,397):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'5_2':0.0,'-3':0.0,'8_2':0.0,'8_19':0.0},(69,396):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_19':0.0},(69,395):{'3_1':0.72,'5_1':0.03,'7_1':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0},(69,394):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'4_1':0.0,'8_2':0.0},(69,393):{'3_1':0.6,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(69,392):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(69,391):{'3_1':0.81,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0},(69,390):{'3_1':0.6,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0},(69,389):{'3_1':0.51,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_9':0.0},(69,388):{'3_1':0.42,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0,'8_6':0.0,'8_9':0.0},(69,387):{'3_1':0.3,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(69,386):{'3_1':0.21,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(69,385):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'8_9':0.0},(69,384):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(69,383):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0,'-3':0.0},(69,382):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0},(69,381):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0},(69,380):{'3_1':0.09,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_7':0.0},(69,379):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(69,378):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(69,377):{'5_2':0.03,'3_1':0.03,'4_1':0.0},(69,376):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(69,375):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(69,374):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(69,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(69,372):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(69,371):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(69,370):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(69,369):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0},(69,368):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(69,367):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'6_1':0.0},(69,366):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(69,365):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(69,364):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(69,363):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(69,362):{'3_1':0.03,'4_1':0.0},(69,361):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(69,360):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(69,359):{'3_1':0.06,'4_1':0.0,'8_7':0.0},(69,358):{'3_1':0.0,'5_1':0.0},(69,357):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(69,356):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(69,355):{'3_1':0.09,'4_1':0.0},(69,354):{'3_1':0.03},(69,353):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_13':0.0},(69,352):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(69,351):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(69,350):{'5_1':0.0,'3_1':0.0,'6_2':0.0},(69,349):{'3_1':0.03,'6_2':0.0},(69,348):{'3_1':0.0},(69,347):{'3_1':0.03},(69,346):{'3_1':0.0},(69,345):{'3_1':0.03},(69,344):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(69,343):{'3_1':0.0,'5_2':0.0},(69,342):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(69,341):{'3_1':0.0},(69,340):{'3_1':0.03,'4_1':0.0},(69,339):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(69,338):{'3_1':0.06,'5_2':0.0},(69,337):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(69,336):{'3_1':0.09},(69,335):{'3_1':0.0,'5_2':0.0},(69,334):{'3_1':0.0,'4_1':0.0},(69,333):{'3_1':0.03},(69,332):{'3_1':0.03,'4_1':0.0},(69,331):{'3_1':0.03,'4_1':0.0},(69,330):{'3_1':0.03},(69,329):{'3_1':0.03,'4_1':0.0},(69,328):{'3_1':0.03,'5_1':0.0},(69,327):{'3_1':0.03,'4_1':0.0},(69,326):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(69,325):{'3_1':0.03},(69,324):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(69,323):{'3_1':0.03},(69,322):{'3_1':0.0},(69,321):{'3_1':0.0},(69,320):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(69,319):{'3_1':0.03},(69,318):{'3_1':0.09,'5_2':0.0},(69,317):{'3_1':0.03,'5_1':0.0},(69,316):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(69,315):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0,'7_7':0.0},(69,314):{'3_1':0.03,'7_3':0.0},(69,313):{'3_1':0.03},(69,312):{'5_1':0.0,'3_1':0.0,'5_2':0.0},(69,311):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(69,310):{'3_1':0.06,'5_2':0.0},(69,309):{'3_1':0.03,'5_1':0.0},(69,308):{'3_1':0.03,'5_1':0.0},(69,307):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(69,306):{'3_1':0.0,'5_1':0.0},(69,305):{'3_1':0.03,'5_1':0.0},(69,304):{'3_1':0.0,'5_1':0.0},(69,303):{'3_1':0.03,'5_1':0.0},(69,302):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(69,301):{'3_1':0.0,'5_1':0.0},(69,300):{'3_1':0.03,'4_1':0.0},(69,299):{'3_1':0.03,'5_1':0.03},(69,298):{'3_1':0.03},(69,297):{'3_1':0.03,'5_1':0.0},(69,296):{'5_1':0.0,'3_1':0.0},(69,295):{'3_1':0.06},(69,294):{'3_1':0.03,'5_1':0.0},(69,293):{'3_1':0.03,'5_1':0.0},(69,292):{'3_1':0.03,'4_1':0.0},(69,291):{'3_1':0.06,'5_1':0.0},(69,290):{'3_1':0.03},(69,289):{'3_1':0.06},(69,288):{'3_1':0.0,'5_1':0.0},(69,287):{'3_1':0.0,'5_1':0.0},(69,286):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(69,285):{'5_1':0.0,'3_1':0.0},(69,284):{'3_1':0.03},(69,283):{'3_1':0.03,'5_1':0.0},(69,282):{'3_1':0.06},(69,281):{'3_1':0.0,'4_1':0.0},(69,280):{'3_1':0.03,'5_2':0.0},(69,279):{'3_1':0.06,'5_1':0.0},(69,278):{'3_1':0.0},(69,277):{'3_1':0.0},(69,276):{'3_1':0.0,'5_2':0.0},(69,275):{'3_1':0.03},(69,274):{'3_1':0.0,'5_2':0.0},(69,273):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(69,272):{'3_1':0.06,'5_2':0.0},(69,271):{'3_1':0.03,'4_1':0.0},(69,270):{'3_1':0.03},(69,269):{'3_1':0.0},(69,268):{'3_1':0.03,'5_2':0.0},(69,267):{'3_1':0.0,'4_1':0.0},(69,266):{'5_2':0.0},(69,265):{'3_1':0.03},(69,264):{'3_1':0.03,'4_1':0.0},(69,263):{'3_1':0.06},(69,262):{'3_1':0.0},(69,261):{'3_1':0.03,'5_2':0.0},(69,260):{'3_1':0.0},(69,259):{'3_1':0.0},(69,258):{'3_1':0.03,'4_1':0.0},(69,257):{'3_1':0.0,'4_1':0.0},(69,256):{'3_1':0.03},(69,255):{'3_1':0.03},(69,254):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(69,253):{'3_1':0.0,'4_1':0.0},(69,252):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(69,251):{'3_1':0.0,'5_2':0.0},(69,250):{'3_1':0.03,'5_2':0.0},(69,249):{'3_1':0.0,'5_1':0.0},(69,248):{'3_1':0.0},(69,247):{'3_1':0.03},(69,246):{'3_1':0.0},(69,245):{'3_1':0.06},(69,244):{'3_1':0.03},(69,243):{'3_1':0.03,'5_2':0.0},(69,242):{'3_1':0.03},(69,241):{'3_1':0.03},(69,240):{'3_1':0.03},(69,239):{'3_1':0.0},(69,238):{'3_1':0.06},(69,237):{'3_1':0.03},(69,236):{'3_1':0.0},(69,235):{'3_1':0.03,'5_2':0.0},(69,234):{'3_1':0.03},(69,233):{'3_1':0.03},(69,232):{'3_1':0.03,'4_1':0.0},(69,231):{'3_1':0.0},(69,230):{'3_1':0.03},(69,229):{'3_1':0.0},(69,228):{'3_1':0.03},(69,227):{'3_1':0.03,'4_1':0.0},(69,226):{'3_1':0.03},(69,225):{'3_1':0.03},(69,224):{'3_1':0.06},(69,223):{'3_1':0.03,'4_1':0.0},(69,222):{'3_1':0.03},(69,221):{'3_1':0.03},(69,220):{'3_1':0.0},(69,219):{'3_1':0.0},(69,218):{'3_1':0.0},(69,217):{'3_1':0.0,'4_1':0.0},(69,216):{'3_1':0.0,'4_1':0.0},(69,215):{'3_1':0.0},(69,214):{'3_1':0.0},(69,213):{'3_1':0.03},(69,212):{'3_1':0.0},(69,211):{'3_1':0.0},(69,210):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(69,209):{'3_1':0.0},(69,208):{'3_1':0.06},(69,207):{'3_1':0.06,'4_1':0.0},(69,206):{'3_1':0.0,'4_1':0.0},(69,205):{'3_1':0.0,'4_1':0.0},(69,204):{'3_1':0.03},(69,203):{'3_1':0.03},(69,202):{'3_1':0.03},(69,201):{'3_1':0.03,'4_1':0.0},(69,200):{'3_1':0.03},(69,199):{'3_1':0.03},(69,198):{'3_1':0.03},(69,197):{'3_1':0.0,'4_1':0.0},(69,196):{'3_1':0.0,'4_1':0.0},(69,195):{'3_1':0.0},(69,194):{'3_1':0.03},(69,193):{'3_1':0.03},(69,192):{'3_1':0.0},(69,191):{'3_1':0.03},(69,190):{'3_1':0.0},(69,189):{'3_1':0.0},(69,188):{'3_1':0.0},(69,187):{'3_1':0.03},(69,186):{'3_1':0.0},(69,185):{'3_1':0.0},(69,184):{'3_1':0.0},(69,183):{'3_1':0.0},(69,182):{'3_1':0.0},(69,181):{'3_1':0.0},(69,180):{'3_1':0.0},(69,178):{'3_1':0.0},(69,176):{'3_1':0.0},(69,175):{'3_1':0.0},(69,174):{'3_1':0.0},(69,173):{'3_1':0.0},(69,172):{'3_1':0.0},(69,171):{'3_1':0.0},(69,168):{'3_1':0.0},(69,164):{'3_1':0.0},(69,163):{'3_1':0.0},(69,158):{'3_1':0.0},(69,152):{'3_1':0.0},(69,151):{'3_1':0.0},(69,150):{'3_1':0.0},(69,148):{'3_1':0.0},(69,146):{'3_1':0.0},(69,143):{'3_1':0.0},(69,137):{'3_1':0.0},(69,136):{'3_1':0.0},(69,134):{'3_1':0.0},(69,132):{'3_1':0.0},(70,459):{'3_1':0.9,'5_1':0.0,'7_1':0.0},(70,458):{'3_1':0.9,'7_3':0.0,'5_1':0.0,'8_2':0.0},(70,457):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'5_2':0.0},(70,456):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_2':0.0},(70,455):{'3_1':0.9,'7_1':0.0,'5_1':0.0,'8_2':0.0},(70,454):{'3_1':0.87,'5_1':0.06,'5_2':0.0,'7_1':0.0},(70,453):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0},(70,452):{'3_1':0.9,'5_1':0.0,'7_1':0.0},(70,451):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0},(70,450):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0},(70,449):{'3_1':0.87,'7_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(70,448):{'3_1':0.87,'7_1':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(70,447):{'3_1':0.87,'7_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_2':0.0},(70,446):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_2':0.0},(70,445):{'3_1':0.87,'7_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0},(70,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(70,443):{'3_1':0.87,'7_1':0.03,'-3':0.0,'8_2':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(70,442):{'3_1':0.84,'5_2':0.06,'7_1':0.0,'8_2':0.0,'5_1':0.0,'-3':0.0},(70,441):{'3_1':0.87,'7_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(70,440):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(70,439):{'3_1':0.87,'8_2':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(70,438):{'3_1':0.84,'5_2':0.03,'5_1':0.03,'8_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(70,437):{'3_1':0.87,'5_1':0.06,'8_2':0.0,'5_2':0.0},(70,436):{'3_1':0.78,'7_1':0.03,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(70,435):{'3_1':0.9,'5_2':0.0,'7_1':0.0,'8_2':0.0,'5_1':0.0},(70,434):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_1':0.03,'8_2':0.0},(70,433):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0},(70,432):{'3_1':0.87,'5_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0},(70,431):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(70,430):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_19':0.0,'-3':0.0},(70,429):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_2':0.0,'-3':0.0},(70,428):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0,'-3':0.0},(70,427):{'3_1':0.78,'5_1':0.06,'5_2':0.06,'8_2':0.0,'-3':0.0,'6_2':0.0},(70,426):{'3_1':0.84,'5_1':0.03,'7_1':0.0,'8_2':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(70,425):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'9_1':0.0},(70,424):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(70,423):{'3_1':0.72,'5_2':0.03,'8_2':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(70,422):{'3_1':0.81,'5_1':0.06,'7_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0},(70,421):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0,'7_1':0.0,'6_2':0.0},(70,420):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(70,419):{'3_1':0.75,'5_1':0.06,'7_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(70,418):{'3_1':0.72,'5_1':0.12,'8_2':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0},(70,417):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'7_1':0.0,'9_1':0.0,'-3':0.0},(70,416):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0},(70,415):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'8_2':0.03,'-3':0.03,'7_1':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(70,414):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(70,413):{'3_1':0.72,'5_1':0.09,'6_2':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(70,412):{'3_1':0.75,'5_1':0.12,'8_2':0.03,'5_2':0.0,'6_2':0.0},(70,411):{'3_1':0.63,'5_1':0.12,'5_2':0.09,'8_2':0.03,'7_1':0.0,'6_2':0.0,'9_1':0.0,'-3':0.0},(70,410):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'5_2':0.0,'-3':0.0,'7_5':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(70,409):{'3_1':0.6,'5_1':0.24,'5_2':0.03,'6_2':0.03,'7_1':0.0,'8_2':0.0,'8_6':0.0,'-3':0.0},(70,408):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'8_2':0.03,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(70,407):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(70,406):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'7_1':0.0},(70,405):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(70,404):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0,'3_1#5_1':0.0,'-3':0.0},(70,403):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(70,402):{'3_1':0.84,'5_1':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(70,401):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(70,400):{'3_1':0.81,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0},(70,399):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(70,398):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0},(70,397):{'3_1':0.81,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(70,396):{'3_1':0.75,'5_1':0.03,'-3':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0},(70,395):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'7_1':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(70,394):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0},(70,393):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'7_1':0.0,'8_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(70,392):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'7_1':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(70,391):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0},(70,390):{'3_1':0.6,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(70,389):{'3_1':0.39,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0,'5_2':0.0,'8_2':0.0,'8_11':0.0,'8_14':0.0},(70,388):{'3_1':0.45,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'5_2':0.0},(70,387):{'3_1':0.42,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(70,386):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(70,385):{'3_1':0.24,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(70,384):{'3_1':0.15,'6_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(70,383):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(70,382):{'3_1':0.09,'5_1':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0},(70,381):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'6_2':0.03,'5_2':0.0},(70,380):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(70,379):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(70,378):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(70,377):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(70,376):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(70,375):{'3_1':0.06,'4_1':0.03,'7_6':0.0,'8_21|3_1#4_1':0.0},(70,374):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0},(70,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(70,372):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(70,371):{'3_1':0.06,'5_1':0.0},(70,370):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(70,369):{'3_1':0.06,'5_1':0.0},(70,368):{'3_1':0.06,'4_1':0.0},(70,367):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(70,366):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(70,365):{'3_1':0.06},(70,364):{'3_1':0.06},(70,363):{'3_1':0.03,'5_1':0.0,'8_6':0.0},(70,362):{'5_1':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0},(70,361):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0},(70,360):{'3_1':0.03,'6_2':0.0,'6_3':0.0},(70,359):{'3_1':0.03,'5_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(70,358):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(70,357):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(70,356):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_2':0.0},(70,355):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0},(70,354):{'5_1':0.0,'3_1':0.0},(70,353):{'3_1':0.0,'5_1':0.0},(70,352):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(70,351):{'3_1':0.06},(70,350):{'3_1':0.03,'6_2':0.0},(70,349):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(70,348):{'3_1':0.0,'6_3':0.0},(70,347):{'3_1':0.03,'6_2':0.0,'6_3':0.0},(70,346):{'3_1':0.0,'4_1':0.0},(70,345):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(70,344):{'3_1':0.03,'5_2':0.0},(70,343):{'3_1':0.0},(70,342):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(70,341):{'3_1':0.0,'5_2':0.0},(70,340):{'3_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(70,339):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(70,338):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(70,337):{'3_1':0.06,'4_1':0.0},(70,336):{'3_1':0.06},(70,335):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(70,334):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(70,333):{'3_1':0.03,'4_1':0.0},(70,332):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(70,331):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(70,330):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(70,329):{'3_1':0.06},(70,328):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(70,327):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(70,326):{'3_1':0.0,'4_1':0.0},(70,325):{'3_1':0.0},(70,324):{'3_1':0.03,'5_2':0.0},(70,323):{'3_1':0.0},(70,322):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(70,321):{'3_1':0.0,'6_2':0.0},(70,320):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(70,319):{'3_1':0.03,'5_2':0.0},(70,318):{'3_1':0.0,'5_2':0.0,'7_3':0.0},(70,317):{'3_1':0.06,'4_1':0.0},(70,316):{'3_1':0.03},(70,315):{'3_1':0.06},(70,314):{'3_1':0.03,'4_1':0.0},(70,313):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(70,312):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(70,311):{'3_1':0.03,'5_1':0.0},(70,310):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(70,309):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(70,308):{'3_1':0.0,'4_1':0.0},(70,307):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(70,306):{'3_1':0.03,'5_1':0.0},(70,305):{'3_1':0.06,'5_1':0.0},(70,304):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(70,303):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(70,302):{'3_1':0.03,'5_1':0.0},(70,301):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(70,300):{'3_1':0.06,'5_1':0.0},(70,299):{'3_1':0.06,'5_1':0.0},(70,298):{'3_1':0.03},(70,297):{'3_1':0.0,'5_1':0.0,'7_3':0.0},(70,296):{'3_1':0.03,'5_1':0.0},(70,295):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(70,294):{'3_1':0.0,'5_1':0.0},(70,293):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(70,292):{'3_1':0.03,'5_1':0.0},(70,291):{'3_1':0.0,'5_1':0.0},(70,290):{'3_1':0.06,'5_1':0.0},(70,289):{'3_1':0.06,'5_2':0.0},(70,288):{'3_1':0.03,'5_1':0.0},(70,287):{'3_1':0.0,'5_1':0.0},(70,286):{'3_1':0.0},(70,285):{'3_1':0.0,'5_1':0.0},(70,284):{'3_1':0.09,'5_1':0.0},(70,283):{'3_1':0.0},(70,282):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(70,281):{'3_1':0.03},(70,280):{'3_1':0.03,'5_1':0.0},(70,279):{'3_1':0.03,'5_1':0.0},(70,278):{'3_1':0.0},(70,277):{'3_1':0.03},(70,276):{'3_1':0.03},(70,275):{'3_1':0.0,'4_1':0.0},(70,274):{'3_1':0.0},(70,273):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(70,272):{'3_1':0.03,'5_2':0.0},(70,271):{'3_1':0.0,'4_1':0.0},(70,270):{'3_1':0.0},(70,269):{'3_1':0.0,'4_1':0.0},(70,268):{'3_1':0.0},(70,267):{'3_1':0.0},(70,266):{'4_1':0.0,'5_2':0.0},(70,265):{'3_1':0.03},(70,264):{'3_1':0.03,'4_1':0.0},(70,263):{'3_1':0.0},(70,262):{'3_1':0.03,'5_1':0.0},(70,261):{'3_1':0.03,'4_1':0.0},(70,260):{'3_1':0.03,'4_1':0.0},(70,259):{'3_1':0.06},(70,258):{'3_1':0.03,'4_1':0.0},(70,257):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(70,256):{'3_1':0.06},(70,255):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(70,254):{'3_1':0.03},(70,253):{'3_1':0.0},(70,252):{'3_1':0.0,'5_2':0.0},(70,251):{'3_1':0.06,'4_1':0.0},(70,250):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(70,249):{'3_1':0.03,'4_1':0.0},(70,248):{'3_1':0.0,'5_2':0.0},(70,247):{'3_1':0.0,'5_1':0.0},(70,246):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(70,245):{'3_1':0.0,'4_1':0.0},(70,244):{'3_1':0.0,'4_1':0.0},(70,243):{'3_1':0.06},(70,242):{'3_1':0.06,'6_3':0.0},(70,241):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(70,240):{'3_1':0.03},(70,239):{'3_1':0.03,'4_1':0.0},(70,238):{'3_1':0.03,'4_1':0.0},(70,237):{'3_1':0.06},(70,236):{'3_1':0.06,'5_2':0.0},(70,235):{'3_1':0.0},(70,234):{'3_1':0.03},(70,233):{'3_1':0.06},(70,232):{'3_1':0.03,'4_1':0.0},(70,231):{'3_1':0.03},(70,230):{'3_1':0.03},(70,229):{'3_1':0.0},(70,228):{'3_1':0.03,'4_1':0.0},(70,227):{'3_1':0.0,'4_1':0.0},(70,226):{'3_1':0.0,'6_2':0.0},(70,224):{'3_1':0.03},(70,223):{'3_1':0.06},(70,222):{'3_1':0.0},(70,221):{'3_1':0.03},(70,220):{'3_1':0.03},(70,219):{'3_1':0.0},(70,218):{'3_1':0.03},(70,217):{'3_1':0.0},(70,216):{'3_1':0.0,'4_1':0.0},(70,215):{'4_1':0.0,'3_1':0.0},(70,214):{'3_1':0.03,'4_1':0.0},(70,213):{'3_1':0.0},(70,212):{'3_1':0.0,'4_1':0.0},(70,211):{'3_1':0.03,'4_1':0.0},(70,210):{'3_1':0.06},(70,209):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(70,208):{'3_1':0.03,'4_1':0.0},(70,207):{'3_1':0.06,'4_1':0.0},(70,206):{'3_1':0.06,'4_1':0.0},(70,205):{'3_1':0.0,'4_1':0.0},(70,204):{'3_1':0.03},(70,203):{'3_1':0.03},(70,202):{'3_1':0.03,'4_1':0.0},(70,201):{'3_1':0.03},(70,200):{'3_1':0.03,'4_1':0.0},(70,199):{'3_1':0.06},(70,198):{'3_1':0.06},(70,197):{'3_1':0.03},(70,196):{'3_1':0.0},(70,195):{'3_1':0.03},(70,194):{'3_1':0.0},(70,193):{'3_1':0.03},(70,192):{'3_1':0.06},(70,191):{'3_1':0.03},(70,190):{'3_1':0.0},(70,189):{'3_1':0.0},(70,188):{'3_1':0.03},(70,187):{'3_1':0.0},(70,186):{'3_1':0.0},(70,185):{'3_1':0.0},(70,184):{'3_1':0.03},(70,183):{'3_1':0.0},(70,182):{'3_1':0.0},(70,181):{'3_1':0.0},(70,180):{'3_1':0.0},(70,179):{'3_1':0.0},(70,178):{'3_1':0.0},(70,175):{'3_1':0.0},(70,160):{'3_1':0.0},(70,156):{'3_1':0.0},(70,152):{'3_1':0.0},(70,149):{'3_1':0.0},(70,145):{'4_1':0.0},(70,138):{'3_1':0.0},(70,135):{'3_1':0.0},(70,132):{'3_1':0.0},(70,130):{'3_1':0.0},(71,459):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_2':0.0},(71,458):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'7_1':0.0,'7_3':0.0},(71,457):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(71,456):{'3_1':0.9,'5_1':0.03,'7_1':0.0},(71,455):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'5_2':0.0,'8_2':0.0},(71,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0},(71,453):{'3_1':0.84,'5_1':0.06,'5_2':0.03,'7_1':0.0,'-3':0.0},(71,452):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(71,451):{'3_1':0.9,'5_1':0.03,'5_2':0.0},(71,450):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0},(71,449):{'3_1':0.87,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_19':0.0},(71,448):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'-3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(71,447):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(71,446):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(71,445):{'3_1':0.9,'-3':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_10':0.0},(71,444):{'3_1':0.84,'5_1':0.03,'7_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0},(71,443):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(71,442):{'3_1':0.78,'5_1':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(71,441):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'5_2':0.0,'8_2':0.0},(71,440):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(71,439):{'3_1':0.81,'5_1':0.03,'7_1':0.03,'8_2':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(71,438):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(71,437):{'3_1':0.87,'5_1':0.06,'5_2':0.0,'8_2':0.0},(71,436):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0,'1':-0.03},(71,435):{'3_1':0.87,'5_2':0.03,'5_1':0.03,'8_2':0.0},(71,434):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_2':0.03,'7_1':0.0,'7_5':0.0},(71,433):{'3_1':0.84,'5_1':0.06,'7_1':0.0,'5_2':0.0,'7_5':0.0,'7_6':0.0},(71,432):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_2':0.0,'7_5':0.0,'-3':0.0},(71,431):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(71,430):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'8_2':0.03,'7_1':0.0,'6_2':0.0},(71,429):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0},(71,428):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'7_1':0.0,'-3':0.0},(71,427):{'3_1':0.78,'5_1':0.03,'7_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(71,426):{'3_1':0.69,'5_1':0.09,'7_1':0.03,'-3':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_5':0.0},(71,425):{'3_1':0.84,'-3':0.03,'5_1':0.0,'8_2':0.0,'5_2':0.0,'8_19':0.0},(71,424):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(71,423):{'3_1':0.75,'5_2':0.06,'5_1':0.06,'8_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(71,422):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'-3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(71,421):{'3_1':0.75,'5_1':0.06,'5_2':0.06,'7_1':0.0,'8_2':0.0,'-3':0.0},(71,420):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0},(71,419):{'3_1':0.69,'5_1':0.15,'8_2':0.03,'5_2':0.0,'7_5':0.0,'6_2':0.0,'-3':0.0},(71,418):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_2':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(71,417):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'7_1':0.0,'-3':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0},(71,416):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_2':0.03,'7_1':0.03,'-3':0.0,'6_2':0.0,'7_5':0.0},(71,415):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'-3':0.03,'8_2':0.0,'7_1':0.0,'7_5':0.0},(71,414):{'3_1':0.69,'5_1':0.06,'8_2':0.06,'5_2':0.06,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(71,413):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(71,412):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'7_1':0.0,'-3':0.0,'5_2':0.0,'8_2':0.0,'7_3':0.0},(71,411):{'3_1':0.54,'5_1':0.18,'8_2':0.06,'-3':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(71,410):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'7_1':0.03,'8_2':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0},(71,409):{'3_1':0.6,'5_1':0.18,'5_2':0.03,'8_2':0.03,'7_1':0.0,'6_2':0.0,'-3':0.0,'7_5':0.0,'9_1':0.0},(71,408):{'3_1':0.72,'5_1':0.09,'5_2':0.06,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(71,407):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'7_1':0.0,'8_2':0.0,'6_2':0.0,'-3':0.0},(71,406):{'3_1':0.78,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_2':0.0,'7_2':0.0,'7_3':0.0,'9_1':0.0,'-3':0.0},(71,405):{'3_1':0.84,'5_2':0.03,'8_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(71,404):{'3_1':0.81,'8_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0,'-3':0.0},(71,403):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(71,402):{'3_1':0.84,'4_1':0.03,'5_1':0.0,'7_1':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0},(71,401):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_15':0.0,'-3':0.0},(71,400):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0},(71,399):{'3_1':0.78,'5_1':0.03,'7_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0},(71,398):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(71,397):{'3_1':0.81,'7_1':0.0,'5_1':0.0,'8_19':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(71,396):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(71,395):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0,'8_19':0.0,'7_6':0.0},(71,394):{'3_1':0.66,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_1':0.0,'6_2':0.0},(71,393):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0},(71,392):{'3_1':0.57,'5_1':0.09,'5_2':0.06,'8_2':0.0,'6_2':0.0},(71,391):{'3_1':0.57,'5_1':0.09,'5_2':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_19':0.0},(71,390):{'3_1':0.57,'5_2':0.0,'5_1':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(71,389):{'3_1':0.51,'4_1':0.03,'6_2':0.03,'5_1':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(71,388):{'3_1':0.51,'5_1':0.03,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_18':0.0},(71,387):{'3_1':0.27,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(71,386):{'3_1':0.3,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(71,385):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_18':0.0},(71,384):{'3_1':0.18,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0},(71,383):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(71,382):{'3_1':0.06,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_3':0.0},(71,381):{'3_1':0.09,'6_2':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(71,380):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(71,379):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(71,378):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(71,377):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0},(71,376):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(71,375):{'3_1':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0},(71,374):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(71,373):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(71,372):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'6_3':0.0},(71,371):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(71,370):{'3_1':0.03,'5_1':0.0},(71,369):{'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(71,368):{'3_1':0.03,'5_1':0.03,'4_1':0.0},(71,367):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(71,366):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(71,365):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(71,364):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(71,363):{'3_1':0.03},(71,362):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(71,361):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(71,360):{'3_1':0.03,'5_1':0.0,'7_2':0.0},(71,359):{'3_1':0.03},(71,358):{'3_1':0.0,'5_2':0.0},(71,357):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(71,356):{'3_1':0.06},(71,355):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,354):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(71,353):{'3_1':0.03,'4_1':0.0},(71,352):{'3_1':0.06,'6_2':0.0},(71,351):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,350):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(71,349):{'3_1':0.0},(71,348):{'3_1':0.0},(71,347):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(71,346):{'3_1':0.0,'4_1':0.0},(71,345):{'6_2':0.0},(71,344):{'3_1':0.03,'4_1':0.0},(71,343):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(71,342):{'3_1':0.0},(71,341):{'4_1':0.0},(71,340):{'3_1':0.03,'4_1':0.0},(71,339):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(71,338):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(71,337):{'3_1':0.03,'6_2':0.0},(71,336):{'3_1':0.06},(71,335):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(71,334):{'3_1':0.06},(71,333):{'3_1':0.03,'5_2':0.0},(71,332):{'3_1':0.09},(71,331):{'3_1':0.03,'4_1':0.0},(71,330):{'3_1':0.03},(71,329):{'3_1':0.06},(71,328):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(71,327):{'3_1':0.03},(71,326):{'3_1':0.0,'5_2':0.0},(71,325):{'3_1':0.0,'4_1':0.0},(71,324):{'3_1':0.0,'4_1':0.0},(71,323):{'3_1':0.0,'4_1':0.0},(71,322):{'3_1':0.0,'8_2':0.0},(71,321):{'3_1':0.0,'4_1':0.0},(71,320):{'3_1':0.0,'5_2':0.0},(71,319):{'3_1':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(71,318):{'3_1':0.06},(71,317):{'3_1':0.0,'8_20|3_1#3_1':0.0},(71,316):{'3_1':0.06,'7_2':0.0},(71,315):{'3_1':0.06,'4_1':0.0},(71,314):{'3_1':0.0,'4_1':0.0},(71,313):{'3_1':0.03,'7_3':0.0},(71,312):{'3_1':0.0,'5_1':0.0},(71,311):{'3_1':0.03,'5_1':0.0},(71,310):{'3_1':0.03,'5_1':0.0},(71,309):{'3_1':0.06,'5_1':0.0},(71,308):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(71,307):{'3_1':0.03},(71,306):{'3_1':0.0,'5_1':0.0},(71,305):{'3_1':0.06,'6_1':0.0},(71,304):{'3_1':0.06,'5_1':0.0},(71,303):{'3_1':0.03},(71,302):{'3_1':0.09},(71,301):{'3_1':0.06,'5_1':0.0},(71,300):{'3_1':0.09},(71,299):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(71,298):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(71,297):{'3_1':0.0,'5_1':0.0},(71,296):{'3_1':0.03,'4_1':0.0},(71,295):{'3_1':0.06,'4_1':0.0},(71,294):{'3_1':0.03,'5_1':0.0},(71,293):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(71,292):{'3_1':0.06,'5_2':0.0},(71,291):{'3_1':0.06},(71,290):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(71,289):{'3_1':0.0,'5_1':0.0},(71,288):{'3_1':0.09},(71,287):{'3_1':0.03,'5_1':0.0},(71,286):{'3_1':0.03,'5_1':0.0},(71,285):{'3_1':0.06,'5_1':0.0},(71,284):{'3_1':0.03,'7_3':0.0},(71,283):{'3_1':0.0},(71,282):{'3_1':0.03},(71,281):{'3_1':0.0},(71,280):{'3_1':0.06,'7_3':0.0},(71,279):{'3_1':0.03},(71,278):{'3_1':0.06},(71,277):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(71,276):{'3_1':0.03},(71,275):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(71,274):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(71,273):{'3_1':0.03,'7_1':0.0},(71,272):{'4_1':0.0},(71,271):{'5_2':0.0},(71,270):{'3_1':0.0,'4_1':0.0},(71,269):{'3_1':0.0},(71,268):{'3_1':0.0,'5_2':0.0},(71,267):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(71,266):{'3_1':0.0,'4_1':0.0},(71,265):{'3_1':0.0,'4_1':0.0},(71,264):{'3_1':0.0},(71,263):{'3_1':0.03,'4_1':0.0},(71,262):{'3_1':0.03,'4_1':0.0},(71,261):{'3_1':0.06},(71,260):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(71,259):{'3_1':0.03},(71,258):{'3_1':0.0},(71,257):{'3_1':0.03,'4_1':0.0},(71,256):{'3_1':0.0},(71,255):{'3_1':0.0,'4_1':0.0},(71,254):{'3_1':0.06},(71,253):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(71,252):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(71,251):{'3_1':0.0},(71,250):{'3_1':0.03},(71,249):{'3_1':0.06,'5_2':0.0},(71,248):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,247):{'3_1':0.0,'4_1':0.0},(71,246):{'3_1':0.03},(71,245):{'3_1':0.03},(71,244):{'3_1':0.0},(71,243):{'3_1':0.06},(71,242):{'3_1':0.03,'4_1':0.0},(71,241):{'3_1':0.06},(71,240):{'3_1':0.0},(71,239):{'3_1':0.0},(71,238):{'3_1':0.0},(71,237):{'3_1':0.09},(71,236):{'3_1':0.03},(71,235):{'3_1':0.03},(71,234):{'3_1':0.0},(71,233):{'3_1':0.03},(71,232):{'3_1':0.03,'6_3':0.0},(71,231):{'3_1':0.03,'4_1':0.0},(71,230):{'3_1':0.06},(71,229):{'3_1':0.0},(71,228):{'3_1':0.03},(71,227):{'3_1':0.03},(71,226):{'3_1':0.03},(71,225):{'3_1':0.03},(71,224):{'3_1':0.03},(71,223):{'3_1':0.03,'4_1':0.0},(71,222):{'3_1':0.03,'4_1':0.0},(71,221):{'3_1':0.03},(71,220):{'3_1':0.03,'4_1':0.0},(71,219):{'3_1':0.03,'5_1':0.0},(71,218):{'4_1':0.0},(71,217):{'3_1':0.0},(71,216):{'3_1':0.06},(71,215):{'3_1':0.0,'4_1':0.0},(71,214):{'3_1':0.0},(71,213):{'3_1':0.03,'4_1':0.0},(71,212):{'3_1':0.0,'4_1':0.0},(71,211):{'5_2':0.0},(71,210):{'3_1':0.0},(71,209):{'3_1':0.03},(71,208):{'3_1':0.03},(71,207):{'3_1':0.0,'4_1':0.0},(71,206):{'3_1':0.09},(71,205):{'3_1':0.06},(71,204):{'3_1':0.03},(71,203):{'3_1':0.03},(71,202):{'3_1':0.06},(71,201):{'3_1':0.03,'4_1':0.0},(71,200):{'3_1':0.09},(71,199):{'3_1':0.06,'4_1':0.0},(71,198):{'3_1':0.09,'4_1':0.0},(71,197):{'3_1':0.06},(71,196):{'3_1':0.03},(71,195):{'3_1':0.03},(71,194):{'3_1':0.03},(71,193):{'3_1':0.03},(71,192):{'3_1':0.03},(71,191):{'3_1':0.03},(71,190):{'3_1':0.03},(71,189):{'3_1':0.03},(71,188):{'3_1':0.03},(71,187):{'3_1':0.03},(71,186):{'3_1':0.0},(71,185):{'3_1':0.0},(71,184):{'3_1':0.0},(71,183):{'3_1':0.0},(71,182):{'3_1':0.03},(71,181):{'3_1':0.0},(71,180):{'3_1':0.0},(71,179):{'3_1':0.0},(71,177):{'3_1':0.0},(71,176):{'3_1':0.0},(71,175):{'3_1':0.0},(71,174):{'3_1':0.0},(71,173):{'3_1':0.0},(71,172):{'3_1':0.0},(71,171):{'3_1':0.0},(71,169):{'3_1':0.03},(71,168):{'3_1':0.0},(71,166):{'3_1':0.0},(71,162):{'3_1':0.0},(71,159):{'3_1':0.0},(71,158):{'3_1':0.0},(71,157):{'3_1':0.0},(71,154):{'3_1':0.0},(71,153):{'3_1':0.0},(71,149):{'3_1':0.0},(71,139):{'3_1':0.03},(71,138):{'3_1':0.0},(72,459):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'5_2':0.0},(72,458):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'7_1':0.0,'7_3':0.0},(72,457):{'3_1':0.9,'5_1':0.03,'7_1':0.0,'8_2':0.0},(72,456):{'3_1':0.9,'5_1':0.03,'7_1':0.0,'7_3':0.0,'8_2':0.0},(72,455):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(72,454):{'3_1':0.87,'5_1':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0},(72,453):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_2':0.0},(72,452):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0},(72,451):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'7_1':0.0},(72,450):{'3_1':0.84,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(72,449):{'3_1':0.87,'5_1':0.06,'5_2':0.0},(72,448):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(72,447):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_2':0.0,'5_2':0.0,'8_10':0.0},(72,446):{'3_1':0.87,'5_1':0.03,'5_2':0.03,'6_2':0.0},(72,445):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0},(72,444):{'3_1':0.87,'5_1':0.0,'7_1':0.0,'5_2':0.0,'8_2':0.0},(72,443):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(72,442):{'3_1':0.81,'5_1':0.03,'7_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_6':0.0,'8_19':0.0,'-3':0.0},(72,441):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(72,440):{'3_1':0.84,'8_2':0.03,'7_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(72,439):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(72,438):{'3_1':0.81,'8_2':0.03,'7_1':0.0,'5_1':0.0,'5_2':0.0,'8_14':0.0,'-3':0.0,'1':-0.03},(72,437):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(72,436):{'3_1':0.84,'5_1':0.06,'7_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(72,435):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0},(72,434):{'3_1':0.9,'5_1':0.03,'7_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(72,433):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(72,432):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0,'-3':0.0},(72,431):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'7_1':0.0,'5_2':0.0,'-3':0.0},(72,430):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0,'7_3':0.0},(72,429):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(72,428):{'3_1':0.84,'5_1':0.09,'5_2':0.0,'8_2':0.0},(72,427):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_2':0.0},(72,426):{'3_1':0.81,'5_2':0.03,'7_1':0.03,'5_1':0.0,'8_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(72,425):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'-3':0.0},(72,424):{'3_1':0.78,'5_1':0.03,'7_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_3':0.0},(72,423):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0},(72,422):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(72,421):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'-3':0.03,'7_3':0.0,'5_2':0.0,'7_5':0.0},(72,420):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(72,419):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'5_2':0.0,'7_1':0.0,'8_19':0.0},(72,418):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(72,417):{'3_1':0.72,'5_1':0.09,'7_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(72,416):{'3_1':0.75,'5_1':0.12,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_5':0.0},(72,415):{'3_1':0.75,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0},(72,414):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0},(72,413):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(72,412):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'8_2':0.03,'-3':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(72,411):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'-3':0.03,'6_2':0.03,'7_1':0.0,'8_2':0.0,'7_6':0.0},(72,410):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(72,409):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'6_2':0.03,'8_2':0.03,'7_1':0.0,'-3':0.0},(72,408):{'3_1':0.75,'5_1':0.12,'5_2':0.03,'8_2':0.03,'7_1':0.0,'6_2':0.0},(72,407):{'3_1':0.72,'5_1':0.12,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(72,406):{'3_1':0.78,'5_1':0.03,'7_3':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(72,405):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0},(72,404):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(72,403):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0},(72,402):{'3_1':0.84,'5_1':0.0,'7_5':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(72,401):{'3_1':0.78,'5_1':0.09,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_19':0.0},(72,400):{'3_1':0.81,'5_1':0.03,'7_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_2':0.0},(72,399):{'3_1':0.63,'5_1':0.12,'7_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(72,398):{'3_1':0.78,'8_2':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(72,397):{'3_1':0.81,'5_1':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(72,396):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(72,395):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0,'8_10':0.0},(72,394):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0},(72,393):{'3_1':0.75,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_19':0.0},(72,392):{'3_1':0.72,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(72,391):{'3_1':0.66,'5_1':0.03,'7_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(72,390):{'3_1':0.6,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_4':0.0},(72,389):{'3_1':0.48,'6_2':0.03,'4_1':0.03,'5_1':0.03,'7_1':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0},(72,388):{'3_1':0.45,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0,'8_9':0.0,'-3':0.0},(72,387):{'3_1':0.21,'6_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(72,386):{'3_1':0.24,'6_2':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(72,385):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0},(72,384):{'3_1':0.09,'6_2':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0},(72,383):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'8_19':0.0},(72,382):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0},(72,381):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(72,380):{'3_1':0.09,'6_2':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(72,379):{'3_1':0.03,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(72,378):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0},(72,377):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(72,376):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(72,375):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(72,374):{'3_1':0.09,'6_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(72,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0},(72,372):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0},(72,371):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(72,370):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'8_2':0.0},(72,369):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(72,368):{'3_1':0.06,'6_2':0.0},(72,367):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0},(72,366):{'3_1':0.06,'6_2':0.0,'7_6':0.0},(72,365):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(72,364):{'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(72,363):{'3_1':0.0,'5_2':0.0,'7_7':0.0},(72,362):{'3_1':0.03,'6_3':0.0,'7_1':0.0},(72,361):{'4_1':0.0,'5_1':0.0,'3_1':0.0,'7_3':0.0},(72,360):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(72,359):{'3_1':0.09,'5_1':0.0},(72,358):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(72,357):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(72,356):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(72,355):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(72,354):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(72,353):{'3_1':0.06,'5_1':0.0},(72,352):{'3_1':0.06,'5_1':0.0,'8_21|3_1#4_1':0.0},(72,351):{'3_1':0.03,'6_2':0.0,'6_3':0.0},(72,350):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(72,349):{'3_1':0.03,'4_1':0.0},(72,348):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(72,347):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(72,346):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(72,345):{'3_1':0.0,'4_1':0.0},(72,344):{'5_1':0.0,'5_2':0.0},(72,343):{'3_1':0.0,'5_2':0.0},(72,342):{'3_1':0.0},(72,341):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_7':0.0},(72,340):{'3_1':0.06},(72,339):{'3_1':0.0,'4_1':0.0},(72,338):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(72,337):{'3_1':0.03,'5_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(72,336):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(72,335):{'3_1':0.03,'4_1':0.0},(72,334):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(72,333):{'3_1':0.03},(72,332):{'3_1':0.06,'5_2':0.0,'-3':0.0},(72,331):{'3_1':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(72,330):{'3_1':0.06,'6_1':0.0},(72,329):{'3_1':0.0,'4_1':0.0},(72,328):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(72,327):{'4_1':0.0,'5_2':0.0},(72,326):{'3_1':0.0,'5_2':0.0,'7_3':0.0},(72,325):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(72,324):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(72,323):{'3_1':0.03},(72,322):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(72,321):{'3_1':0.03,'6_2':0.0},(72,320):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(72,319):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(72,318):{'3_1':0.03,'5_2':0.0},(72,317):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(72,316):{'3_1':0.03,'5_1':0.0},(72,315):{'3_1':0.0,'5_2':0.0},(72,314):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(72,313):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(72,312):{'3_1':0.03},(72,311):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(72,310):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(72,309):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(72,308):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(72,307):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(72,306):{'3_1':0.03,'5_2':0.0},(72,305):{'3_1':0.03,'5_1':0.0},(72,304):{'3_1':0.03,'5_1':0.0},(72,303):{'3_1':0.03,'5_1':0.0,'-3':0.0},(72,302):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(72,301):{'3_1':0.03},(72,300):{'3_1':0.06,'5_1':0.0},(72,299):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(72,298):{'3_1':0.0},(72,297):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(72,296):{'3_1':0.09},(72,295):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(72,294):{'3_1':0.06,'4_1':0.0},(72,293):{'3_1':0.03,'7_2':0.0,'7_3':0.0},(72,292):{'3_1':0.06},(72,291):{'3_1':0.03,'5_1':0.0},(72,290):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(72,289):{'3_1':0.03},(72,288):{'3_1':0.0,'5_1':0.0},(72,287):{'3_1':0.0,'5_1':0.0},(72,286):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(72,285):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(72,284):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(72,283):{'3_1':0.0},(72,282):{'3_1':0.0,'6_3':0.0},(72,281):{'3_1':0.0,'5_1':0.0},(72,280):{'3_1':0.03,'6_3':0.0},(72,279):{'3_1':0.0,'4_1':0.0},(72,278):{'3_1':0.0},(72,277):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(72,276):{'3_1':0.0},(72,275):{'3_1':0.03},(72,274):{'3_1':0.03,'5_2':0.0},(72,273):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(72,272):{'4_1':0.0},(72,271):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(72,270):{'4_1':0.0},(72,269):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(72,268):{'4_1':0.0,'3_1':0.0},(72,267):{'4_1':0.03,'3_1':0.0},(72,266):{'3_1':0.03,'5_2':0.0},(72,265):{'3_1':0.03},(72,264):{'3_1':0.0},(72,263):{'3_1':0.03,'4_1':0.0},(72,262):{'3_1':0.03,'4_1':0.0},(72,261):{'3_1':0.03,'4_1':0.0},(72,260):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(72,259):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(72,258):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(72,257):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(72,256):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(72,255):{'3_1':0.03},(72,254):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(72,253):{'3_1':0.06,'4_1':0.0},(72,252):{'3_1':0.03,'5_1':0.0},(72,251):{'3_1':0.0},(72,250):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(72,249):{'3_1':0.03},(72,248):{'3_1':0.0,'4_1':0.0},(72,247):{'3_1':0.06},(72,246):{'3_1':0.03},(72,245):{'3_1':0.0},(72,244):{'3_1':0.03,'5_2':0.0},(72,243):{'3_1':0.09},(72,242):{'3_1':0.03,'5_1':0.0},(72,241):{'3_1':0.0,'4_1':0.0},(72,240):{'3_1':0.06},(72,239):{'3_1':0.03},(72,238):{'3_1':0.0},(72,237):{'3_1':0.0},(72,236):{'3_1':0.03},(72,235):{'3_1':0.03},(72,234):{'3_1':0.03},(72,233):{'3_1':0.03},(72,232):{'3_1':0.03},(72,231):{'3_1':0.0},(72,230):{'3_1':0.0},(72,229):{'3_1':0.0,'4_1':0.0},(72,228):{'3_1':0.0},(72,227):{'3_1':0.03},(72,226):{'3_1':0.0},(72,225):{'3_1':0.0},(72,224):{'3_1':0.0},(72,223):{'3_1':0.03},(72,222):{'3_1':0.03},(72,221):{'3_1':0.0},(72,220):{'3_1':0.0,'4_1':0.0},(72,219):{'3_1':0.03,'4_1':0.0},(72,218):{'3_1':0.0,'4_1':0.0},(72,217):{'3_1':0.0},(72,216):{'3_1':0.0},(72,215):{'3_1':0.0,'4_1':0.0},(72,214):{'3_1':0.03},(72,213):{'3_1':0.0,'4_1':0.0},(72,212):{'3_1':0.0},(72,211):{'3_1':0.03},(72,210):{'3_1':0.03},(72,209):{'3_1':0.03,'5_1':0.0},(72,208):{'3_1':0.06},(72,207):{'3_1':0.03,'6_1':0.0},(72,206):{'3_1':0.06},(72,205):{'3_1':0.03},(72,204):{'3_1':0.03},(72,203):{'3_1':0.03,'4_1':0.0},(72,202):{'3_1':0.03},(72,201):{'3_1':0.03},(72,200):{'3_1':0.06,'4_1':0.0},(72,199):{'3_1':0.03},(72,198):{'3_1':0.0},(72,197):{'3_1':0.06},(72,196):{'3_1':0.03},(72,195):{'3_1':0.0},(72,193):{'3_1':0.03,'5_2':0.0},(72,192):{'3_1':0.06},(72,191):{'3_1':0.0},(72,190):{'3_1':0.09},(72,189):{'3_1':0.0},(72,188):{'3_1':0.03},(72,187):{'3_1':0.03},(72,186):{'3_1':0.0},(72,185):{'3_1':0.03},(72,184):{'3_1':0.03},(72,183):{'3_1':0.03},(72,182):{'3_1':0.03},(72,181):{'3_1':0.03},(72,179):{'3_1':0.0},(72,178):{'3_1':0.0},(72,176):{'3_1':0.0},(72,174):{'3_1':0.0},(72,173):{'3_1':0.0},(72,169):{'3_1':0.0},(72,168):{'3_1':0.0},(72,165):{'3_1':0.0},(72,163):{'3_1':0.0},(72,146):{'3_1':0.0},(72,142):{'3_1':0.0},(73,459):{'3_1':0.9,'7_1':0.0,'7_3':0.0,'5_1':0.0,'8_2':0.0,'-3':0.0},(73,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(73,457):{'3_1':0.9,'5_1':0.0,'7_5':0.0},(73,456):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(73,455):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_1':0.0,'5_2':0.0,'8_2':0.0},(73,454):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_5':0.0,'5_2':0.0},(73,453):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(73,452):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(73,451):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(73,450):{'3_1':0.9,'7_1':0.03,'5_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(73,449):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'7_1':0.0},(73,448):{'3_1':0.84,'5_1':0.03,'7_1':0.03,'5_2':0.0,'8_2':0.0},(73,447):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(73,446):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(73,445):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(73,444):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(73,443):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(73,442):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(73,441):{'3_1':0.87,'5_1':0.06,'7_1':0.0,'5_2':0.0},(73,440):{'3_1':0.84,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(73,439):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0,'6_2':0.0},(73,438):{'3_1':0.87,'5_1':0.03,'7_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0},(73,437):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'-3':0.0},(73,436):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(73,435):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'5_2':0.0,'8_19':0.0},(73,434):{'3_1':0.84,'5_1':0.06,'5_2':0.03,'8_2':0.0},(73,433):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'5_2':0.0,'-3':0.0},(73,432):{'3_1':0.87,'5_1':0.06,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(73,431):{'3_1':0.78,'5_1':0.12,'5_2':0.0,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(73,430):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(73,429):{'3_1':0.81,'5_1':0.03,'6_2':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(73,428):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(73,427):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0},(73,426):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'8_2':0.0,'5_2':0.0},(73,425):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(73,424):{'3_1':0.84,'5_1':0.09,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(73,423):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(73,422):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0,'1':-0.03},(73,421):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'-3':0.0,'7_1':0.0,'8_2':0.0},(73,420):{'3_1':0.75,'5_1':0.06,'7_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(73,419):{'3_1':0.75,'5_1':0.09,'8_2':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(73,418):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(73,417):{'3_1':0.78,'5_1':0.09,'5_2':0.03,'8_2':0.0,'6_2':0.0,'-3':0.0},(73,416):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_3':0.0},(73,415):{'3_1':0.81,'5_2':0.03,'8_2':0.03,'7_1':0.03,'5_1':0.0,'6_2':0.0,'8_9':0.0},(73,414):{'3_1':0.66,'5_1':0.15,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(73,413):{'3_1':0.69,'5_1':0.12,'8_2':0.03,'6_2':0.0,'7_5':0.0,'5_2':0.0,'7_1':0.0},(73,412):{'3_1':0.66,'5_1':0.12,'6_2':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0},(73,411):{'3_1':0.6,'5_1':0.21,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(73,410):{'3_1':0.6,'5_1':0.18,'7_1':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0},(73,409):{'3_1':0.54,'5_1':0.18,'6_2':0.03,'5_2':0.03,'8_2':0.03,'7_1':0.03,'7_3':0.0,'-3':0.0},(73,408):{'3_1':0.72,'5_1':0.09,'5_2':0.06,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_19':0.0},(73,407):{'3_1':0.72,'5_1':0.15,'6_2':0.03,'5_2':0.0,'-3':0.0,'8_2':0.0},(73,406):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0,'7_3':0.0},(73,405):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'7_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0,'8_11':0.0,'8_19':0.0},(73,404):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'7_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(73,403):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_19':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0},(73,402):{'3_1':0.78,'5_1':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0,'5_2':0.0,'8_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(73,401):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(73,400):{'3_1':0.72,'5_1':0.06,'6_2':0.03,'7_3':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0},(73,399):{'3_1':0.72,'5_1':0.03,'6_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(73,398):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(73,397):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(73,396):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(73,395):{'3_1':0.72,'5_1':0.06,'7_1':0.0,'5_2':0.0},(73,394):{'3_1':0.66,'6_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'5_2':0.0},(73,393):{'3_1':0.72,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_2':0.0},(73,392):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(73,391):{'3_1':0.66,'5_1':0.06,'7_1':0.0,'5_2':0.0,'-3':0.0},(73,390):{'3_1':0.6,'5_1':0.06,'7_1':0.0,'6_2':0.0,'4_1':0.0},(73,389):{'3_1':0.54,'4_1':0.06,'5_1':0.03,'7_1':0.0,'-3':0.0},(73,388):{'3_1':0.39,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(73,387):{'3_1':0.33,'5_1':0.12,'6_2':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(73,386):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'6_2':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(73,385):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0},(73,384):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(73,383):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(73,382):{'3_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0},(73,381):{'3_1':0.12,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_14':0.0},(73,380):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_9':0.0,'8_19':0.0},(73,379):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0},(73,378):{'6_2':0.03,'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(73,377):{'3_1':0.09,'6_2':0.0,'5_2':0.0,'4_1':0.0},(73,376):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_7':0.0,'8_14':0.0},(73,375):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0},(73,374):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(73,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(73,372):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(73,371):{'3_1':0.06,'4_1':0.0},(73,370):{'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0},(73,369):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(73,368):{'3_1':0.06,'6_2':0.0,'5_1':0.0,'7_3':0.0},(73,367):{'3_1':0.03,'5_1':0.03},(73,366):{'3_1':0.12,'6_2':0.0},(73,365):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(73,364):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(73,363):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(73,362):{'6_2':0.0,'3_1':0.0,'5_1':0.0,'6_3':0.0},(73,361):{'3_1':0.03,'5_2':0.0},(73,360):{'3_1':0.03},(73,359):{'3_1':0.03,'6_3':0.0},(73,358):{'3_1':0.03},(73,357):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(73,356):{'3_1':0.03,'4_1':0.0},(73,355):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(73,354):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(73,353):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(73,352):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0},(73,351):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_13':0.0},(73,350):{'5_2':0.0},(73,349):{'3_1':0.0},(73,348):{'3_1':0.0},(73,347):{'3_1':0.03,'5_2':0.0},(73,346):{'3_1':0.0,'5_1':0.0},(73,345):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(73,344):{'3_1':0.0,'4_1':0.0},(73,343):{'3_1':0.03},(73,342):{'3_1':0.06,'4_1':0.0},(73,341):{'5_2':0.0},(73,340):{'3_1':0.06},(73,339):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(73,338):{'3_1':0.06,'4_1':0.0},(73,337):{'3_1':0.0,'4_1':0.0},(73,336):{'3_1':0.03},(73,335):{'3_1':0.03,'5_2':0.0},(73,334):{'3_1':0.03,'4_1':0.0},(73,333):{'3_1':0.03,'8_20|3_1#3_1':0.0},(73,332):{'3_1':0.06},(73,331):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(73,330):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(73,329):{'3_1':0.06,'8_20|3_1#3_1':0.0},(73,328):{'4_1':0.0,'3_1':0.0},(73,327):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(73,326):{'3_1':0.03,'4_1':0.0},(73,325):{'3_1':0.0,'5_2':0.0},(73,324):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(73,323):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(73,322):{'3_1':0.03},(73,321):{'3_1':0.0,'5_1':0.0},(73,320):{'3_1':0.0},(73,319):{'3_1':0.03,'4_1':0.0},(73,318):{'3_1':0.03},(73,317):{'3_1':0.0},(73,316):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(73,315):{'3_1':0.03,'7_3':0.0},(73,314):{'3_1':0.03,'5_1':0.0},(73,313):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(73,312):{'3_1':0.03},(73,311):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(73,310):{'3_1':0.03,'5_1':0.0},(73,309):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(73,308):{'3_1':0.0,'7_3':0.0},(73,307):{'3_1':0.09,'4_1':0.0},(73,306):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(73,305):{'3_1':0.0,'5_1':0.0},(73,304):{'3_1':0.03,'5_1':0.0},(73,303):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(73,302):{'3_1':0.12,'5_1':0.0},(73,301):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(73,300):{'3_1':0.06,'5_1':0.0},(73,299):{'3_1':0.03,'5_2':0.0},(73,298):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(73,297):{'3_1':0.03,'5_1':0.0},(73,296):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(73,295):{'3_1':0.0,'5_2':0.0,'7_3':0.0},(73,294):{'3_1':0.03,'5_1':0.0},(73,293):{'3_1':0.06,'4_1':0.0},(73,292):{'3_1':0.03,'5_1':0.0},(73,291):{'3_1':0.03,'4_1':0.0},(73,290):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(73,289):{'3_1':0.0,'5_1':0.0},(73,288):{'3_1':0.0,'5_1':0.0},(73,287):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(73,286):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(73,285):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(73,284):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(73,283):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(73,282):{'3_1':0.0,'5_2':0.0},(73,281):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(73,280):{'3_1':0.0,'5_2':0.0,'7_3':0.0},(73,279):{'3_1':0.03},(73,278):{'3_1':0.0,'4_1':0.0},(73,277):{'3_1':0.03},(73,276):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(73,275):{'3_1':0.0},(73,274):{'3_1':0.0,'5_2':0.0},(73,273):{'4_1':0.0},(73,272):{'3_1':0.03,'4_1':0.0},(73,271):{'3_1':0.0,'6_2':0.0},(73,270):{'3_1':0.03,'5_2':0.0},(73,269):{'4_1':0.0,'7_5':0.0},(73,268):{'3_1':0.03},(73,267):{'4_1':0.0,'3_1':0.0},(73,266):{'3_1':0.0},(73,265):{'3_1':0.06},(73,264):{'3_1':0.0,'4_1':0.0},(73,263):{'3_1':0.06,'4_1':0.0},(73,262):{'3_1':0.06,'4_1':0.0},(73,261):{'3_1':0.03,'4_1':0.0},(73,260):{'3_1':0.09,'4_1':0.0},(73,259):{'3_1':0.03},(73,258):{'3_1':0.0,'5_2':0.0},(73,257):{'3_1':0.0},(73,256):{'3_1':0.03,'4_1':0.0},(73,255):{'4_1':0.0},(73,254):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(73,253):{'3_1':0.03,'4_1':0.0},(73,252):{'3_1':0.03,'4_1':0.0},(73,251):{'3_1':0.0},(73,250):{'3_1':0.03},(73,249):{'3_1':0.03,'6_3':0.0},(73,248):{'3_1':0.03},(73,247):{'3_1':0.0},(73,246):{'3_1':0.0},(73,245):{'3_1':0.03},(73,244):{'3_1':0.09},(73,243):{'3_1':0.06},(73,242):{'3_1':0.03},(73,241):{'3_1':0.03},(73,240):{'3_1':0.03,'5_1':0.0},(73,239):{'3_1':0.06},(73,238):{'3_1':0.0},(73,237):{'3_1':0.06,'4_1':0.0},(73,236):{'3_1':0.03,'5_2':0.0},(73,235):{'3_1':0.06},(73,234):{'3_1':0.06},(73,233):{'3_1':0.03},(73,232):{'3_1':0.03},(73,231):{'3_1':0.09},(73,230):{'3_1':0.03},(73,229):{'3_1':0.0,'4_1':0.0},(73,228):{'3_1':0.03},(73,227):{'3_1':0.03},(73,226):{'3_1':0.0},(73,225):{'3_1':0.0},(73,224):{'3_1':0.03},(73,223):{'3_1':0.03,'4_1':0.0},(73,222):{'3_1':0.03},(73,221):{'3_1':0.0,'4_1':0.0},(73,219):{'3_1':0.0},(73,218):{'3_1':0.0},(73,217):{'3_1':0.0},(73,215):{'3_1':0.03},(73,214):{'3_1':0.0},(73,213):{'3_1':0.0,'4_1':0.0},(73,212):{'3_1':0.03,'4_1':0.0},(73,211):{'3_1':0.03,'4_1':0.0},(73,210):{'3_1':0.0},(73,209):{'3_1':0.0},(73,208):{'3_1':0.03,'5_2':0.0},(73,207):{'3_1':0.0},(73,206):{'3_1':0.0},(73,205):{'3_1':0.0},(73,204):{'3_1':0.03},(73,203):{'3_1':0.0,'4_1':0.0},(73,202):{'3_1':0.0,'4_1':0.0},(73,201):{'3_1':0.0},(73,200):{'3_1':0.03},(73,199):{'3_1':0.03},(73,198):{'3_1':0.03,'4_1':0.0},(73,197):{'3_1':0.06,'5_1':0.0},(73,196):{'3_1':0.06},(73,195):{'3_1':0.03},(73,194):{'3_1':0.06},(73,193):{'3_1':0.03},(73,192):{'3_1':0.0},(73,191):{'3_1':0.06},(73,190):{'3_1':0.03},(73,189):{'3_1':0.03},(73,188):{'3_1':0.06},(73,187):{'3_1':0.03},(73,186):{'3_1':0.06},(73,185):{'3_1':0.03},(73,184):{'3_1':0.0},(73,183):{'3_1':0.0},(73,182):{'3_1':0.0},(73,181):{'3_1':0.0},(73,180):{'3_1':0.0},(73,179):{'3_1':0.0},(73,176):{'3_1':0.0},(73,174):{'3_1':0.0},(73,173):{'3_1':0.0},(73,171):{'3_1':0.0},(73,170):{'3_1':0.0},(73,169):{'3_1':0.0},(73,168):{'3_1':0.0},(73,167):{'3_1':0.0},(73,165):{'3_1':0.0},(73,162):{'3_1':0.0},(73,159):{'3_1':0.0},(73,139):{'3_1':0.0},(73,135):{'3_1':0.0},(74,459):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_19':0.0},(74,458):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0},(74,457):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_19':0.0},(74,456):{'3_1':0.9,'5_1':0.03,'8_20|3_1#3_1':0.0},(74,455):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(74,454):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(74,453):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(74,452):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(74,451):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(74,450):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(74,449):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(74,448):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(74,447):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_2':0.0,'-3':0.0},(74,446):{'3_1':0.84,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0},(74,445):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'-3':0.0},(74,444):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(74,443):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0},(74,442):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(74,441):{'3_1':0.87,'5_2':0.03,'7_1':0.0,'5_1':0.0,'8_2':0.0},(74,440):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(74,439):{'3_1':0.84,'8_2':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(74,438):{'3_1':0.9,'8_2':0.03,'5_1':0.0,'6_2':0.0},(74,437):{'3_1':0.81,'5_1':0.09,'8_2':0.0,'5_2':0.0,'7_1':0.0},(74,436):{'3_1':0.84,'5_1':0.03,'7_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(74,435):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'-3':0.0},(74,434):{'3_1':0.87,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0},(74,433):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'7_5':0.0},(74,432):{'3_1':0.87,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(74,431):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0},(74,430):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0},(74,429):{'3_1':0.75,'5_1':0.12,'5_2':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(74,428):{'3_1':0.75,'5_1':0.12,'7_1':0.0,'8_19':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(74,427):{'3_1':0.87,'5_1':0.03,'-3':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(74,426):{'3_1':0.75,'5_1':0.12,'5_2':0.03,'8_2':0.0,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(74,425):{'3_1':0.75,'5_1':0.09,'8_2':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(74,424):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(74,423):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(74,422):{'3_1':0.75,'5_1':0.09,'-3':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'3_1#5_1':0.0},(74,421):{'3_1':0.78,'5_1':0.12,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(74,420):{'3_1':0.72,'5_1':0.09,'6_2':0.03,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(74,419):{'3_1':0.75,'5_1':0.12,'5_2':0.0,'8_2':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(74,418):{'3_1':0.78,'5_1':0.09,'-3':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(74,417):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0},(74,416):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'8_2':0.03,'6_2':0.0,'8_19':0.0,'-3':0.0},(74,415):{'3_1':0.69,'5_1':0.15,'6_2':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0},(74,414):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'8_2':0.03,'6_2':0.0,'7_3':0.0},(74,413):{'3_1':0.72,'5_1':0.09,'5_2':0.06,'8_2':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0},(74,412):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'7_1':0.0,'8_2':0.0,'8_6':0.0,'-3':0.0},(74,411):{'3_1':0.66,'5_1':0.06,'5_2':0.06,'8_2':0.06,'7_1':0.0,'6_2':0.0,'-3':0.0},(74,410):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'8_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'9_1':0.0},(74,409):{'3_1':0.54,'5_1':0.18,'5_2':0.09,'6_2':0.03,'8_2':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0},(74,408):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'7_1':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(74,407):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'7_3':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0},(74,406):{'3_1':0.78,'5_1':0.12,'6_2':0.0,'-3':0.0,'6_3':0.0},(74,405):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(74,404):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(74,403):{'3_1':0.84,'5_1':0.03,'7_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'8_2':0.0,'-3':0.0},(74,402):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(74,401):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'7_1':0.0},(74,400):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(74,399):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_19':0.0},(74,398):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0},(74,397):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_6':0.0,'8_19':0.0},(74,396):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(74,395):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(74,394):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(74,393):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_19':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(74,392):{'3_1':0.66,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(74,391):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0},(74,390):{'3_1':0.51,'5_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(74,389):{'3_1':0.42,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(74,388):{'3_1':0.36,'5_1':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0},(74,387):{'3_1':0.39,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(74,386):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'6_2':0.0,'4_1':0.0,'-3':0.0},(74,385):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(74,384):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0},(74,383):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0},(74,382):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(74,381):{'3_1':0.15,'6_2':0.03,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'8_2':0.0},(74,380):{'3_1':0.06,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0},(74,379):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'8_19':0.0},(74,378):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_6':0.0},(74,377):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_2':0.0},(74,376):{'3_1':0.03,'4_1':0.0},(74,375):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(74,374):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(74,373):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(74,372):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(74,371):{'3_1':0.09,'5_2':0.0},(74,370):{'3_1':0.03,'4_1':0.0},(74,369):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(74,368):{'3_1':0.06,'6_2':0.0,'5_2':0.0,'6_3':0.0},(74,367):{'3_1':0.18},(74,366):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0},(74,365):{'3_1':0.09,'4_1':0.0},(74,364):{'3_1':0.06,'6_2':0.0},(74,363):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'8_2':0.0,'8_7':0.0},(74,362):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(74,361):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(74,360):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(74,359):{'3_1':0.06,'6_2':0.0},(74,358):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_7':0.0},(74,357):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(74,356):{'3_1':0.06},(74,355):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(74,354):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(74,353):{'3_1':0.03,'5_1':0.0},(74,352):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(74,351):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(74,350):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(74,349):{'3_1':0.03},(74,348):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(74,347):{'3_1':0.03,'6_2':0.0,'6_3':0.0},(74,346):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_7':0.0},(74,345):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(74,344):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(74,343):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,342):{'3_1':0.03,'6_3':0.0},(74,341):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(74,340):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(74,339):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(74,338):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(74,337):{'3_1':0.03,'4_1':0.0},(74,336):{'3_1':0.0},(74,335):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_8':0.0},(74,334):{'3_1':0.03,'5_2':0.0},(74,333):{'3_1':0.03,'5_2':0.0},(74,332):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(74,331):{'3_1':0.06,'5_2':0.0},(74,330):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_2':0.0},(74,329):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,328):{'3_1':0.0,'4_1':0.0},(74,327):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(74,326):{'4_1':0.0,'5_2':0.0},(74,325):{'3_1':0.03},(74,324):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(74,323):{'3_1':0.0,'7_2':0.0},(74,322):{'3_1':0.03,'4_1':0.0},(74,321):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(74,320):{'3_1':0.03,'7_2':0.0},(74,319):{'3_1':0.0},(74,318):{'3_1':0.0,'6_2':0.0},(74,317):{'3_1':0.06},(74,316):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,315):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(74,314):{'3_1':0.06,'7_3':0.0},(74,313):{'3_1':0.06},(74,312):{'3_1':0.03},(74,311):{'3_1':0.06,'5_2':0.0},(74,310):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(74,309):{'3_1':0.03,'7_3':0.0,'5_1':0.0},(74,308):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(74,307):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(74,306):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(74,305):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(74,304):{'3_1':0.03,'7_3':0.0},(74,303):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0},(74,302):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(74,301):{'3_1':0.03,'5_1':0.0},(74,300):{'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(74,299):{'3_1':0.03,'5_1':0.0},(74,298):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(74,297):{'3_1':0.0,'5_1':0.0},(74,296):{'3_1':0.03,'5_1':0.0},(74,295):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(74,294):{'3_1':0.03,'7_3':0.0},(74,293):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(74,292):{'3_1':0.0,'4_1':0.0},(74,291):{'3_1':0.0,'5_1':0.0},(74,290):{'3_1':0.03,'5_1':0.0},(74,289):{'3_1':0.03},(74,288):{'3_1':0.0},(74,287):{'3_1':0.0,'5_1':0.0,'7_3':0.0},(74,286):{'3_1':0.06,'5_2':0.0},(74,285):{'3_1':0.06,'5_1':0.0},(74,284):{'3_1':0.03,'5_2':0.0},(74,283):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(74,282):{'3_1':0.09},(74,281):{'3_1':0.0,'5_2':0.0},(74,280):{'3_1':0.03,'5_2':0.0},(74,279):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(74,278):{'3_1':0.06},(74,277):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(74,276):{'3_1':0.03,'5_2':0.0},(74,275):{'3_1':0.0},(74,274):{'3_1':0.0,'5_2':0.0},(74,273):{'3_1':0.0,'4_1':0.0},(74,272):{'3_1':0.03,'5_2':0.0},(74,271):{'3_1':0.03},(74,270):{'3_1':0.0},(74,269):{'3_1':0.03,'4_1':0.0},(74,268):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(74,267):{'3_1':0.0,'4_1':0.0},(74,266):{'3_1':0.0},(74,265):{'3_1':0.0,'4_1':0.0},(74,264):{'3_1':0.03,'5_2':0.0},(74,263):{'3_1':0.03,'6_1':0.0},(74,262):{'3_1':0.06},(74,261):{'3_1':0.03,'4_1':0.0},(74,260):{'3_1':0.03},(74,259):{'3_1':0.03,'5_2':0.0},(74,258):{'3_1':0.03},(74,257):{'3_1':0.0,'5_2':0.0},(74,256):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,255):{'3_1':0.03,'4_1':0.0},(74,254):{'3_1':0.03},(74,253):{'3_1':0.03},(74,252):{'3_1':0.0,'5_1':0.0},(74,251):{'3_1':0.0,'4_1':0.0},(74,250):{'3_1':0.0},(74,249):{'3_1':0.06},(74,248):{'3_1':0.03,'5_2':0.0},(74,247):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,246):{'3_1':0.0,'5_1':0.0},(74,245):{'3_1':0.0},(74,244):{'3_1':0.06,'4_1':0.0},(74,243):{'3_1':0.03},(74,242):{'3_1':0.06},(74,241):{'3_1':0.06},(74,240):{'3_1':0.0},(74,239):{'3_1':0.0,'4_1':0.0},(74,238):{'3_1':0.03},(74,237):{'3_1':0.06},(74,236):{'3_1':0.06},(74,235):{'3_1':0.0},(74,234):{'3_1':0.0},(74,233):{'3_1':0.03},(74,232):{'3_1':0.0},(74,231):{'3_1':0.0,'4_1':0.0},(74,230):{'3_1':0.09},(74,229):{'3_1':0.03},(74,228):{'3_1':0.03},(74,227):{'3_1':0.03},(74,226):{'3_1':0.0},(74,225):{'3_1':0.03},(74,224):{'3_1':0.03},(74,223):{'3_1':0.0,'4_1':0.0},(74,222):{'3_1':0.03},(74,221):{'3_1':0.03},(74,220):{'3_1':0.0,'4_1':0.0},(74,219):{'3_1':0.0},(74,218):{'3_1':0.0,'4_1':0.0},(74,217):{'3_1':0.03},(74,216):{'3_1':0.03},(74,215):{'3_1':0.0,'4_1':0.0},(74,214):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(74,213):{'3_1':0.0,'4_1':0.0},(74,212):{'3_1':0.03},(74,211):{'3_1':0.0},(74,210):{'3_1':0.03},(74,209):{'3_1':0.06},(74,208):{'3_1':0.03,'5_2':0.0},(74,207):{'3_1':0.0},(74,206):{'3_1':0.0,'5_2':0.0},(74,205):{'3_1':0.06,'4_1':0.0},(74,204):{'3_1':0.03,'4_1':0.0},(74,203):{'3_1':0.06,'4_1':0.0},(74,202):{'3_1':0.03},(74,201):{'3_1':0.03},(74,200):{'3_1':0.0},(74,199):{'3_1':0.03},(74,198):{'3_1':0.0},(74,197):{'3_1':0.0},(74,196):{'3_1':0.03},(74,195):{'3_1':0.06},(74,194):{'3_1':0.06,'4_1':0.0},(74,193):{'3_1':0.03},(74,192):{'3_1':0.0},(74,191):{'3_1':0.06},(74,190):{'3_1':0.0},(74,189):{'3_1':0.06},(74,188):{'3_1':0.0},(74,187):{'3_1':0.0},(74,186):{'3_1':0.06},(74,185):{'3_1':0.03},(74,184):{'3_1':0.0},(74,183):{'3_1':0.0},(74,182):{'3_1':0.03},(74,181):{'3_1':0.03},(74,180):{'3_1':0.03},(74,179):{'3_1':0.0},(74,177):{'3_1':0.0},(74,172):{'3_1':0.0},(74,170):{'3_1':0.0},(74,169):{'3_1':0.0},(74,168):{'3_1':0.0},(74,167):{'3_1':0.0},(74,166):{'3_1':0.0},(74,162):{'3_1':0.0},(74,151):{'3_1':0.0},(74,150):{'3_1':0.0},(74,141):{'3_1':0.0},(75,459):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(75,458):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(75,457):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_14':0.0,'-3':0.0},(75,456):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(75,455):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0},(75,454):{'3_1':0.84,'5_1':0.06,'7_1':0.0,'8_2':0.0,'5_2':0.0},(75,453):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(75,452):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0},(75,451):{'3_1':0.84,'5_1':0.09,'5_2':0.0},(75,450):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'7_1':0.0},(75,449):{'3_1':0.87,'5_1':0.06,'5_2':0.0},(75,448):{'3_1':0.87,'5_1':0.03,'7_3':0.0,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(75,447):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0},(75,446):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0},(75,445):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'8_14':0.0},(75,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(75,443):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_2':0.0},(75,442):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(75,441):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0},(75,440):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(75,439):{'3_1':0.81,'5_1':0.09,'6_2':0.0,'5_2':0.0,'8_2':0.0},(75,438):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(75,437):{'3_1':0.87,'5_1':0.06,'5_2':0.03},(75,436):{'3_1':0.81,'5_1':0.09,'7_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(75,435):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0},(75,434):{'3_1':0.87,'8_2':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(75,433):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(75,432):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.0,'7_1':0.0,'-3':0.0},(75,431):{'3_1':0.81,'5_1':0.09,'5_2':0.03,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(75,430):{'3_1':0.81,'5_1':0.06,'6_2':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0},(75,429):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(75,428):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(75,427):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(75,426):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'7_3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(75,425):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(75,424):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(75,423):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(75,422):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0},(75,421):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(75,420):{'3_1':0.81,'5_1':0.09,'8_2':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0},(75,419):{'3_1':0.75,'5_1':0.12,'8_2':0.03,'7_3':0.0,'5_2':0.0,'7_1':0.0},(75,418):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'7_3':0.0,'5_2':0.0,'6_2':0.0},(75,417):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'7_1':0.0,'5_2':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(75,416):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'7_3':0.0,'7_5':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_1':0.0,'-3':0.0},(75,415):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0},(75,414):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0},(75,413):{'3_1':0.72,'5_1':0.12,'5_2':0.0,'7_3':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(75,412):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(75,411):{'3_1':0.63,'5_1':0.18,'8_2':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(75,410):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0},(75,409):{'3_1':0.66,'5_1':0.15,'7_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_3':0.0,'8_2':0.0},(75,408):{'3_1':0.69,'5_1':0.12,'5_2':0.06,'7_1':0.0,'6_2':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0,'1':-0.03},(75,407):{'3_1':0.72,'5_2':0.06,'8_2':0.06,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(75,406):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'7_3':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(75,405):{'3_1':0.78,'5_1':0.03,'7_3':0.0,'8_11':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_2':0.0,'8_19':0.0,'-3':0.0},(75,404):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'8_9':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(75,403):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0},(75,402):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_3':0.0,'7_3':0.0,'8_1':0.0,'8_2':0.0},(75,401):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(75,400):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(75,399):{'3_1':0.72,'5_2':0.06,'6_2':0.0,'5_1':0.0,'8_2':0.0,'6_1':0.0},(75,398):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(75,397):{'3_1':0.69,'-3':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_2':0.0},(75,396):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(75,395):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0},(75,394):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0},(75,393):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(75,392):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_19':0.0},(75,391):{'3_1':0.69,'4_1':0.0,'7_3':0.0,'5_1':0.0,'8_2':0.0},(75,390):{'3_1':0.6,'5_1':0.06,'5_2':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(75,389):{'3_1':0.48,'4_1':0.03,'5_1':0.03,'7_3':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(75,388):{'3_1':0.51,'4_1':0.03,'6_2':0.03,'5_2':0.03,'5_1':0.0,'8_2':0.0,'-3':0.0},(75,387):{'3_1':0.39,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0},(75,386):{'3_1':0.36,'5_2':0.03,'6_2':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0},(75,385):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_10':0.0},(75,384):{'3_1':0.12,'6_2':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0},(75,383):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(75,382):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(75,381):{'3_1':0.06,'6_2':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'8_11':0.0},(75,380):{'3_1':0.03,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(75,379):{'3_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0},(75,378):{'4_1':0.06,'3_1':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_19':0.0},(75,377):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(75,376):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(75,375):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(75,374):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(75,373):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0},(75,372):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0},(75,371):{'3_1':0.03,'5_2':0.0},(75,370):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(75,369):{'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(75,368):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(75,367):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(75,366):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(75,365):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(75,364):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'4_1':0.0},(75,363):{'3_1':0.03,'6_2':0.0},(75,362):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(75,361):{'3_1':0.06,'6_2':0.0,'8_13':0.0},(75,360):{'3_1':0.03,'4_1':0.0},(75,359):{'3_1':0.03,'5_2':0.0},(75,358):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'8_13':0.0},(75,357):{'3_1':0.0,'5_1':0.0},(75,356):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(75,355):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(75,354):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(75,353):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(75,352):{'3_1':0.03,'5_1':0.0,'7_7':0.0},(75,351):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(75,350):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(75,349):{'3_1':0.0},(75,348):{'3_1':0.03},(75,347):{'3_1':0.0},(75,346):{'3_1':0.03,'5_1':0.0},(75,345):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(75,344):{'3_1':0.03},(75,343):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(75,342):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(75,341):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(75,340):{'3_1':0.0,'4_1':0.0,'8_7':0.0},(75,339):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(75,338):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(75,337):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(75,336):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(75,335):{'3_1':0.03,'4_1':0.0},(75,334):{'3_1':0.06},(75,333):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(75,332):{'3_1':0.09},(75,331):{'3_1':0.03,'6_2':0.0},(75,330):{'3_1':0.03},(75,329):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(75,328):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(75,327):{'5_2':0.0},(75,326):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(75,325):{'3_1':0.0},(75,324):{'4_1':0.0,'5_2':0.0},(75,323):{'3_1':0.0,'4_1':0.0},(75,322):{'3_1':0.0},(75,321):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(75,320):{'3_1':0.0,'5_2':0.0},(75,319):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'4_1':0.0},(75,318):{'3_1':0.09},(75,317):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(75,316):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(75,315):{'3_1':0.06,'7_3':0.0},(75,314):{'3_1':0.03,'5_2':0.0},(75,313):{'3_1':0.03,'5_2':0.0},(75,312):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(75,311):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(75,310):{'3_1':0.0,'5_1':0.0},(75,309):{'3_1':0.03},(75,308):{'3_1':0.09,'5_1':0.0},(75,307):{'3_1':0.03,'5_1':0.0},(75,306):{'3_1':0.03},(75,305):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(75,304):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(75,303):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(75,302):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(75,301):{'3_1':0.03},(75,300):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(75,299):{'3_1':0.03,'5_1':0.0},(75,298):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(75,297):{'3_1':0.06,'5_1':0.0},(75,296):{'3_1':0.03,'5_2':0.0},(75,295):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(75,294):{'3_1':0.03,'5_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(75,293):{'3_1':0.06,'5_1':0.0},(75,292):{'3_1':0.03,'5_1':0.0},(75,291):{'3_1':0.06,'5_1':0.0},(75,290):{'3_1':0.03,'5_2':0.0},(75,289):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(75,288):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(75,287):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(75,286):{'5_2':0.0,'5_1':0.0},(75,285):{'3_1':0.03,'5_2':0.0},(75,284):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(75,283):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(75,282):{'3_1':0.06,'5_2':0.0},(75,281):{'3_1':0.0,'4_1':0.0},(75,280):{'3_1':0.0},(75,279):{'3_1':0.0},(75,278):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(75,277):{'4_1':0.0,'3_1':0.0,'7_3':0.0},(75,276):{'3_1':0.03},(75,275):{'3_1':0.03},(75,274):{'3_1':0.0},(75,273):{'3_1':0.0},(75,272):{'3_1':0.03,'4_1':0.0},(75,271):{'4_1':0.0,'3_1':0.0},(75,270):{'3_1':0.0,'4_1':0.0},(75,269):{'3_1':0.03,'4_1':0.0},(75,268):{'4_1':0.0,'3_1':0.0},(75,267):{'3_1':0.0,'4_1':0.0},(75,266):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(75,265):{'3_1':0.0,'4_1':0.0},(75,264):{'3_1':0.0,'4_1':0.0},(75,263):{'3_1':0.03},(75,262):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(75,261):{'3_1':0.0,'5_2':0.0},(75,260):{'3_1':0.03},(75,259):{'3_1':0.06,'4_1':0.0},(75,258):{'3_1':0.0,'4_1':0.0},(75,257):{'3_1':0.03,'4_1':0.0},(75,256):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(75,255):{'3_1':0.06,'4_1':0.0},(75,254):{'3_1':0.03,'4_1':0.0},(75,253):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(75,252):{'3_1':0.03,'5_2':0.0},(75,251):{'3_1':0.0},(75,250):{'3_1':0.0,'4_1':0.0},(75,249):{'3_1':0.0},(75,248):{'3_1':0.0,'5_2':0.0},(75,247):{'3_1':0.03,'4_1':0.0},(75,246):{'3_1':0.03},(75,245):{'5_2':0.0,'3_1':0.0},(75,244):{'3_1':0.06},(75,243):{'3_1':0.03,'5_2':0.0},(75,242):{'3_1':0.03},(75,241):{'3_1':0.0},(75,240):{'3_1':0.0,'4_1':0.0},(75,239):{'3_1':0.0,'4_1':0.0},(75,238):{'3_1':0.03},(75,237):{'3_1':0.03,'4_1':0.0},(75,236):{'3_1':0.06},(75,235):{'3_1':0.0,'4_1':0.0},(75,234):{'3_1':0.06},(75,233):{'3_1':0.03},(75,232):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(75,231):{'3_1':0.03},(75,230):{'3_1':0.03},(75,229):{'3_1':0.0,'4_1':0.0},(75,228):{'3_1':0.06},(75,227):{'3_1':0.03},(75,226):{'3_1':0.03},(75,225):{'3_1':0.03,'4_1':0.0},(75,224):{'3_1':0.0,'6_3':0.0},(75,223):{'3_1':0.0},(75,222):{'3_1':0.0},(75,221):{'3_1':0.06},(75,220):{'3_1':0.0},(75,219):{'3_1':0.03},(75,218):{'3_1':0.03},(75,216):{'3_1':0.03,'4_1':0.0},(75,215):{'3_1':0.0},(75,214):{'3_1':0.0,'4_1':0.0},(75,213):{'3_1':0.03},(75,211):{'3_1':0.0},(75,210):{'3_1':0.06,'4_1':0.0},(75,209):{'3_1':0.03},(75,208):{'3_1':0.06},(75,207):{'3_1':0.0},(75,206):{'3_1':0.03},(75,205):{'3_1':0.03},(75,204):{'3_1':0.03},(75,203):{'3_1':0.03},(75,202):{'3_1':0.06},(75,201):{'3_1':0.03},(75,200):{'3_1':0.06},(75,199):{'3_1':0.0},(75,198):{'3_1':0.03},(75,197):{'3_1':0.03,'4_1':0.0},(75,196):{'3_1':0.0},(75,195):{'3_1':0.0},(75,194):{'3_1':0.03},(75,193):{'3_1':0.06},(75,192):{'3_1':0.0},(75,191):{'3_1':0.03},(75,190):{'3_1':0.03},(75,189):{'3_1':0.0},(75,188):{'3_1':0.0},(75,187):{'3_1':0.0},(75,186):{'3_1':0.0},(75,185):{'3_1':0.0},(75,184):{'3_1':0.0},(75,183):{'3_1':0.0},(75,182):{'3_1':0.03},(75,181):{'3_1':0.0},(75,180):{'3_1':0.0},(75,179):{'3_1':0.0},(75,178):{'3_1':0.0},(75,175):{'3_1':0.0},(75,174):{'3_1':0.0},(75,173):{'3_1':0.0},(75,172):{'3_1':0.0},(75,171):{'3_1':0.0},(75,165):{'3_1':0.0},(75,161):{'3_1':0.0},(75,139):{'3_1':0.0},(76,459):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_2':0.0},(76,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(76,457):{'3_1':0.9,'5_1':0.03,'8_2':0.0},(76,456):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(76,455):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0},(76,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(76,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(76,452):{'3_1':0.9,'5_1':0.0,'7_5':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(76,451):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0,'7_3':0.0},(76,450):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_3':0.0},(76,449):{'3_1':0.9,'5_1':0.0,'7_3':0.0,'5_2':0.0},(76,448):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_2':0.0},(76,447):{'3_1':0.87,'5_1':0.06,'5_2':0.0,'7_3':0.0,'8_2':0.0},(76,446):{'3_1':0.87,'5_1':0.06,'8_2':0.0,'5_2':0.0},(76,445):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0},(76,444):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(76,443):{'3_1':0.84,'5_1':0.06,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0},(76,442):{'3_1':0.84,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(76,441):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_14':0.0},(76,440):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(76,439):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(76,438):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_14':0.0},(76,437):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(76,436):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_14':0.0},(76,435):{'3_1':0.81,'5_1':0.09,'6_2':0.0,'4_1':0.0,'8_14':0.0,'8_19':0.0},(76,434):{'3_1':0.9,'5_1':0.03,'7_1':0.0,'5_2':0.0},(76,433):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'8_6':0.0,'-3':0.0},(76,432):{'3_1':0.81,'5_1':0.09,'8_2':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(76,431):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0,'8_14':0.0,'8_10':0.0,'-3':0.0},(76,430):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_1':0.0},(76,429):{'3_1':0.84,'5_1':0.06,'7_3':0.0,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(76,428):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(76,427):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(76,426):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_18':0.0},(76,425):{'3_1':0.84,'5_1':0.06,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(76,424):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'7_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_14':0.0,'8_19':0.0},(76,423):{'3_1':0.78,'5_1':0.06,'7_3':0.03,'5_2':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0},(76,422):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_3':0.0,'-3':0.0,'6_2':0.0},(76,421):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(76,420):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(76,419):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(76,418):{'3_1':0.81,'5_1':0.09,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(76,417):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'-3':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0},(76,416):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'6_2':0.0,'-3':0.0,'7_3':0.0,'8_2':0.0,'8_14':0.0,'8_19':0.0},(76,415):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'8_14':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0},(76,414):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0,'7_5':0.0,'8_6':0.0},(76,413):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'8_2':0.03,'7_5':0.0,'8_14':0.0,'3_1#5_1':0.0,'-3':0.0},(76,412):{'3_1':0.69,'5_1':0.15,'6_2':0.03,'5_2':0.03,'8_2':0.0,'7_1':0.0,'-3':0.0},(76,411):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'8_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(76,410):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'7_1':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(76,409):{'3_1':0.6,'5_1':0.12,'8_2':0.06,'5_2':0.06,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(76,408):{'3_1':0.75,'5_1':0.12,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(76,407):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_3':0.0,'8_14':0.0},(76,406):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'5_2':0.03,'7_3':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0},(76,405):{'3_1':0.72,'5_1':0.09,'7_3':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'8_11':0.0},(76,404):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(76,403):{'3_1':0.87,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(76,402):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_2':0.0},(76,401):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(76,400):{'3_1':0.87,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(76,399):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(76,398):{'3_1':0.84,'5_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(76,397):{'3_1':0.81,'6_2':0.03,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(76,396):{'3_1':0.78,'4_1':0.0,'7_3':0.0,'8_2':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(76,395):{'3_1':0.63,'5_1':0.09,'7_3':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0},(76,394):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_19':0.0},(76,393):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(76,392):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(76,391):{'3_1':0.63,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_19':0.0,'-3':0.0},(76,390):{'3_1':0.57,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_19':0.0,'3_1#5_1':0.0},(76,389):{'3_1':0.51,'6_2':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_11':0.0},(76,388):{'3_1':0.36,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_9':0.0},(76,387):{'3_1':0.33,'6_2':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0},(76,386):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0},(76,385):{'3_1':0.24,'5_1':0.03,'6_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0},(76,384):{'3_1':0.12,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0,'8_11':0.0},(76,383):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(76,382):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(76,381):{'3_1':0.09,'6_2':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0},(76,380):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(76,379):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_7':0.0},(76,378):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(76,377):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(76,376):{'3_1':0.06,'4_1':0.0,'7_3':0.0,'7_5':0.0},(76,375):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(76,374):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(76,373):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(76,372):{'3_1':0.06,'4_1':0.0,'7_1':0.0,'6_3':0.0},(76,371):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(76,370):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(76,369):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(76,368):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(76,367):{'3_1':0.03,'6_2':0.0},(76,366):{'3_1':0.06,'4_1':0.0},(76,365):{'3_1':0.09,'6_2':0.0},(76,364):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(76,363):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(76,362):{'3_1':0.03,'5_1':0.0},(76,361):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(76,360):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(76,359):{'3_1':0.03},(76,358):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(76,357):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(76,356):{'3_1':0.06,'6_2':0.0,'6_3':0.0},(76,355):{'3_1':0.03,'5_2':0.0},(76,354):{'3_1':0.0,'6_2':0.0,'4_1':0.0},(76,353):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(76,352):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(76,351):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(76,350):{'3_1':0.0,'5_2':0.0},(76,349):{'3_1':0.03,'5_1':0.0},(76,348):{'3_1':0.0,'6_2':0.0},(76,347):{'3_1':0.0,'8_7':0.0},(76,346):{'3_1':0.0,'4_1':0.0},(76,345):{'3_1':0.03},(76,344):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(76,343):{'3_1':0.03,'5_2':0.0},(76,342):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(76,341):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(76,340):{'3_1':0.06,'4_1':0.0},(76,339):{'3_1':0.06},(76,338):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(76,337):{'3_1':0.0,'4_1':0.0},(76,336):{'3_1':0.03,'5_2':0.0},(76,335):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(76,334):{'3_1':0.03,'5_2':0.0},(76,333):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_10':0.0},(76,332):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(76,331):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(76,330):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0},(76,329):{'3_1':0.06},(76,328):{'3_1':0.03,'5_2':0.0},(76,327):{'3_1':0.03,'5_2':0.0},(76,326):{'3_1':0.03,'8_20|3_1#3_1':0.0},(76,325):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(76,324):{'5_2':0.03,'3_1':0.0,'-3':0.0},(76,323):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0},(76,322):{'3_1':0.06,'4_1':0.0},(76,321):{'3_1':0.03,'5_2':0.0},(76,320):{'3_1':0.0,'4_1':0.0},(76,319):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(76,318):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(76,317):{'3_1':0.06,'4_1':0.0},(76,316):{'3_1':0.06,'8_20|3_1#3_1':0.0},(76,315):{'3_1':0.0,'5_1':0.0},(76,314):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(76,313):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(76,312):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(76,311):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(76,310):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(76,309):{'3_1':0.03,'4_1':0.0},(76,308):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(76,307):{'3_1':0.03,'5_1':0.0},(76,306):{'3_1':0.0,'5_2':0.0},(76,305):{'3_1':0.03,'5_1':0.0},(76,304):{'3_1':0.03,'5_1':0.0},(76,303):{'3_1':0.0},(76,302):{'3_1':0.09,'5_1':0.03,'7_1':0.0},(76,301):{'3_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0},(76,300):{'3_1':0.03,'5_1':0.0,'7_3':0.0,'5_2':0.0},(76,299):{'3_1':0.06,'5_1':0.0},(76,298):{'3_1':0.03,'5_1':0.0},(76,297):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(76,296):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(76,295):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(76,294):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(76,293):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(76,292):{'3_1':0.03},(76,291):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(76,290):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(76,289):{'3_1':0.03,'5_1':0.0},(76,288):{'3_1':0.03,'5_2':0.0},(76,287):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(76,286):{'3_1':0.03},(76,285):{'3_1':0.06},(76,284):{'3_1':0.0,'5_1':0.0},(76,283):{'3_1':0.0},(76,282):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(76,281):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(76,280):{'3_1':0.03,'5_2':0.0},(76,279):{'3_1':0.0},(76,278):{'3_1':0.03},(76,277):{'3_1':0.03,'4_1':0.0},(76,276):{'3_1':0.03},(76,275):{'3_1':0.03},(76,274):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(76,273):{'4_1':0.0},(76,272):{'3_1':0.03,'5_2':0.0},(76,271):{'4_1':0.0,'3_1':0.0},(76,270):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(76,269):{'3_1':0.03,'4_1':0.0},(76,267):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(76,266):{'3_1':0.0,'5_2':0.0},(76,265):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(76,264):{'3_1':0.03,'5_2':0.0},(76,263):{'3_1':0.03,'4_1':0.0},(76,262):{'3_1':0.06},(76,261):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(76,260):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(76,259):{'3_1':0.0,'4_1':0.0},(76,258):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(76,257):{'3_1':0.0},(76,256):{'4_1':0.0,'3_1':0.0},(76,255):{'3_1':0.03,'4_1':0.0},(76,254):{'3_1':0.03,'5_2':0.0},(76,253):{'3_1':0.06,'4_1':0.0},(76,251):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(76,250):{'3_1':0.0},(76,249):{'3_1':0.0},(76,248):{'3_1':0.06},(76,247):{'3_1':0.03},(76,246):{'3_1':0.0},(76,245):{'3_1':0.03},(76,244):{'3_1':0.03,'5_1':0.0},(76,243):{'3_1':0.06},(76,242):{'3_1':0.0},(76,241):{'3_1':0.03},(76,240):{'3_1':0.0},(76,239):{'3_1':0.03,'5_2':0.0},(76,238):{'3_1':0.03},(76,237):{'3_1':0.03,'4_1':0.0},(76,236):{'3_1':0.06},(76,235):{'3_1':0.0},(76,234):{'3_1':0.03},(76,233):{'3_1':0.06,'4_1':0.0},(76,232):{'3_1':0.03},(76,231):{'3_1':0.03},(76,230):{'3_1':0.03},(76,229):{'3_1':0.06},(76,228):{'3_1':0.03},(76,227):{'3_1':0.0},(76,226):{'3_1':0.0},(76,225):{'3_1':0.0},(76,224):{'3_1':0.0},(76,223):{'3_1':0.03},(76,222):{'3_1':0.03,'5_2':0.0},(76,221):{'3_1':0.0},(76,220):{'3_1':0.03},(76,219):{'3_1':0.03,'5_1':0.0},(76,218):{'3_1':0.03},(76,217):{'3_1':0.0},(76,216):{'3_1':0.0},(76,215):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(76,214):{'3_1':0.0,'4_1':0.0},(76,213):{'3_1':0.0,'5_2':0.0},(76,212):{'3_1':0.0,'6_2':0.0},(76,211):{'3_1':0.0,'4_1':0.0},(76,210):{'3_1':0.0},(76,209):{'3_1':0.03},(76,208):{'3_1':0.06},(76,207):{'3_1':0.0},(76,206):{'3_1':0.0},(76,205):{'3_1':0.03},(76,204):{'3_1':0.06},(76,203):{'3_1':0.03,'5_2':0.0},(76,202):{'3_1':0.03,'7_3':0.0},(76,201):{'3_1':0.03},(76,200):{'3_1':0.03},(76,199):{'3_1':0.03},(76,198):{'3_1':0.03},(76,197):{'3_1':0.03},(76,196):{'3_1':0.03},(76,195):{'3_1':0.0,'5_1':0.0},(76,194):{'3_1':0.03},(76,193):{'3_1':0.0},(76,192):{'3_1':0.03},(76,191):{'3_1':0.0},(76,190):{'3_1':0.03},(76,189):{'3_1':0.06},(76,188):{'3_1':0.0},(76,187):{'3_1':0.03},(76,186):{'3_1':0.03},(76,185):{'3_1':0.0},(76,184):{'3_1':0.0},(76,183):{'3_1':0.0},(76,182):{'3_1':0.0},(76,181):{'3_1':0.0},(76,180):{'3_1':0.0},(76,179):{'3_1':0.0},(76,178):{'3_1':0.0},(76,175):{'3_1':0.0},(76,149):{'3_1':0.0},(76,146):{'3_1':0.0},(76,141):{'3_1':0.0},(76,139):{'3_1':0.0},(76,137):{'3_1':0.0},(77,459):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'5_1':0.0},(77,458):{'3_1':0.9,'6_2':0.0,'8_2':0.0,'5_1':0.0},(77,457):{'3_1':0.9,'7_3':0.0,'5_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(77,456):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(77,455):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'5_2':0.0},(77,454):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(77,453):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'5_2':0.0},(77,452):{'3_1':0.9,'6_2':0.03},(77,451):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(77,450):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(77,449):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_3':0.0},(77,448):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(77,447):{'3_1':0.84,'5_1':0.06,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0},(77,446):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(77,445):{'3_1':0.84,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0},(77,444):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0},(77,443):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0,'8_6':0.0,'8_14':0.0},(77,442):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0},(77,441):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(77,440):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_14':0.0},(77,439):{'3_1':0.84,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0},(77,438):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(77,437):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0},(77,436):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'5_2':0.0,'8_2':0.0,'8_14':0.0,'-3':0.0},(77,435):{'3_1':0.81,'5_1':0.12,'6_2':0.0,'7_1':0.0},(77,434):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0,'6_2':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0},(77,433):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0},(77,432):{'3_1':0.87,'5_1':0.0,'7_1':0.0,'7_3':0.0,'5_2':0.0,'8_14':0.0,'-3':0.0},(77,431):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0},(77,430):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0},(77,429):{'3_1':0.78,'5_1':0.06,'7_3':0.0,'8_2':0.0,'6_2':0.0,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(77,428):{'3_1':0.81,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(77,427):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(77,426):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(77,425):{'3_1':0.78,'5_1':0.06,'-3':0.0,'7_1':0.0,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(77,424):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(77,423):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(77,422):{'3_1':0.78,'5_1':0.09,'8_2':0.0,'-3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(77,421):{'3_1':0.75,'5_1':0.09,'7_1':0.03,'7_3':0.0,'-3':0.0,'5_2':0.0,'8_2':0.0},(77,420):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.03,'7_3':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(77,419):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(77,418):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(77,417):{'3_1':0.66,'5_1':0.09,'6_2':0.03,'8_2':0.03,'5_2':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(77,416):{'3_1':0.72,'5_1':0.15,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0,'8_2':0.0},(77,415):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(77,414):{'3_1':0.69,'5_1':0.09,'8_2':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(77,413):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0,'-3':0.0,'7_5':0.0,'8_2':0.0},(77,412):{'3_1':0.57,'5_1':0.18,'8_2':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0,'8_14':0.0},(77,411):{'3_1':0.66,'5_1':0.12,'8_2':0.03,'5_2':0.03,'-3':0.0,'7_1':0.0,'8_5':0.0},(77,410):{'3_1':0.69,'6_2':0.06,'5_1':0.03,'8_2':0.03,'7_3':0.0,'5_2':0.0,'-3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(77,409):{'3_1':0.57,'5_1':0.21,'5_2':0.06,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_9':0.0},(77,408):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(77,407):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.03,'-3':0.0,'7_3':0.0,'1':-0.03},(77,406):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_14':0.0},(77,405):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0,'6_2':0.0,'8_14':0.0},(77,404):{'3_1':0.75,'6_2':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0},(77,403):{'3_1':0.81,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_11':0.0},(77,402):{'3_1':0.81,'5_1':0.03,'-3':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(77,401):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(77,400):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'8_1':0.0,'8_2':0.0},(77,399):{'3_1':0.72,'6_2':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_14':0.0,'-3':0.0},(77,398):{'3_1':0.84,'5_1':0.03,'7_3':0.0,'8_2':0.0,'8_14':0.0},(77,397):{'3_1':0.69,'5_1':0.03,'6_2':0.0,'7_3':0.0,'5_2':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(77,396):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'3_1#5_1':0.0,'-3':0.0},(77,395):{'3_1':0.75,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(77,394):{'3_1':0.72,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(77,393):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(77,392):{'3_1':0.72,'5_1':0.03,'8_2':0.0,'4_1':0.0,'6_1':0.0},(77,391):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(77,390):{'3_1':0.57,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'5_2':0.0,'8_5':0.0},(77,389):{'3_1':0.54,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_6':0.0,'1':-0.03},(77,388):{'3_1':0.39,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_9':0.0},(77,387):{'3_1':0.36,'4_1':0.06,'5_1':0.03,'6_2':0.0,'8_19':0.0},(77,386):{'3_1':0.24,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_7':0.0,'8_16':0.0,'-3':0.0},(77,385):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_7':0.0},(77,384):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(77,383):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_5':0.0},(77,382):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0},(77,381):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(77,380):{'3_1':0.03,'5_1':0.03,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(77,379):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(77,378):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(77,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_11':0.0,'-3':0.0},(77,376):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(77,375):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0},(77,374):{'3_1':0.03,'4_1':0.0},(77,373):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'-3':0.0},(77,372):{'3_1':0.03,'5_1':0.0},(77,371):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_7':0.0},(77,370):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_7':0.0},(77,369):{'3_1':0.03},(77,368):{'3_1':0.09,'6_2':0.0,'4_1':0.0},(77,367):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(77,366):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(77,365):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(77,364):{'3_1':0.03,'7_6':0.0},(77,363):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(77,362):{'3_1':0.03,'4_1':0.0,'-3':0.0},(77,361):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(77,360):{'3_1':0.03,'5_2':0.0},(77,359):{'3_1':0.06,'5_1':0.0},(77,358):{'3_1':0.0,'5_2':0.0},(77,357):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(77,356):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(77,355):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(77,354):{'3_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0},(77,353):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(77,352):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,351):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(77,350):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,349):{'3_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_5':0.0},(77,348):{'3_1':0.03,'5_2':0.0},(77,347):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(77,346):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(77,345):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(77,344):{'3_1':0.0,'5_2':0.0},(77,343):{'3_1':0.03,'6_2':0.0},(77,342):{'3_1':0.03,'5_2':0.0},(77,341):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(77,340):{'3_1':0.09,'8_10':0.0},(77,339):{'3_1':0.06,'5_2':0.0},(77,338):{'5_2':0.03,'3_1':0.03,'4_1':0.0},(77,337):{'3_1':0.0,'5_2':0.0},(77,336):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(77,335):{'4_1':0.0},(77,334):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(77,333):{'3_1':0.0},(77,332):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(77,331):{'3_1':0.06,'4_1':0.0},(77,330):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(77,329):{'3_1':0.03},(77,328):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(77,327):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(77,326):{'3_1':0.03,'5_2':0.0},(77,325):{'3_1':0.0,'5_2':0.0,'8_7':0.0},(77,324):{'3_1':0.0,'8_11':0.0},(77,323):{'3_1':0.0,'5_2':0.0},(77,322):{'3_1':0.0},(77,321):{'3_1':0.0,'4_1':0.0},(77,320):{'3_1':0.0,'8_2':0.0},(77,319):{'3_1':0.06,'5_2':0.0},(77,318):{'3_1':0.03,'6_2':0.0,'7_1':0.0},(77,317):{'3_1':0.03},(77,316):{'3_1':0.0,'7_3':0.0},(77,315):{'3_1':0.03,'5_1':0.0,'7_5':0.0},(77,314):{'3_1':0.06},(77,313):{'3_1':0.0,'4_1':0.0},(77,312):{'3_1':0.06},(77,311):{'3_1':0.03,'5_2':0.0},(77,310):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(77,309):{'3_1':0.0,'5_1':0.0},(77,308):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(77,307):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(77,306):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(77,305):{'3_1':0.03},(77,304):{'3_1':0.06,'5_1':0.03},(77,303):{'3_1':0.03,'5_1':0.0},(77,302):{'3_1':0.03},(77,301):{'3_1':0.0,'7_3':0.0,'5_1':0.0,'5_2':0.0},(77,300):{'3_1':0.06,'5_1':0.0},(77,299):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(77,298):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(77,297):{'3_1':0.06,'5_1':0.0},(77,296):{'3_1':0.03,'5_1':0.0},(77,295):{'3_1':0.03},(77,294):{'3_1':0.0,'5_1':0.0,'7_3':0.0},(77,293):{'3_1':0.0},(77,292):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(77,291):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'7_5':0.0},(77,290):{'3_1':0.03,'5_1':0.0},(77,289):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(77,288):{'3_1':0.03},(77,287):{'3_1':0.0,'5_1':0.0},(77,286):{'3_1':0.06},(77,285):{'3_1':0.03},(77,284):{'3_1':0.06,'5_2':0.0},(77,283):{'3_1':0.03},(77,282):{'3_1':0.06,'5_1':0.0},(77,281):{'5_2':0.0,'3_1':0.0},(77,280):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(77,279):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(77,278):{'3_1':0.0},(77,277):{'3_1':0.03},(77,276):{'3_1':0.0},(77,275):{'3_1':0.03},(77,274):{'5_1':0.0,'3_1':0.0,'4_1':0.0,'5_2':0.0},(77,273):{'3_1':0.03},(77,272):{'3_1':0.03,'5_2':0.0},(77,271):{'3_1':0.0,'4_1':0.0},(77,270):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(77,269):{'3_1':0.03,'4_1':0.0},(77,268):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,267):{'3_1':0.0},(77,266):{'3_1':0.0,'4_1':0.0},(77,265):{'3_1':0.03,'4_1':0.0},(77,264):{'3_1':0.03,'4_1':0.0},(77,263):{'3_1':0.03,'4_1':0.0},(77,262):{'3_1':0.0,'4_1':0.0},(77,261):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(77,260):{'3_1':0.03},(77,259):{'3_1':0.03,'4_1':0.0},(77,258):{'3_1':0.0},(77,257):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(77,256):{'3_1':0.03},(77,255):{'3_1':0.03,'4_1':0.0},(77,254):{'3_1':0.03},(77,253):{'3_1':0.03,'4_1':0.0},(77,252):{'3_1':0.03,'5_2':0.0},(77,251):{'3_1':0.03,'4_1':0.0},(77,250):{'3_1':0.0,'5_2':0.0},(77,249):{'3_1':0.03},(77,248):{'3_1':0.06},(77,247):{'3_1':0.06},(77,246):{'3_1':0.06,'4_1':0.0},(77,245):{'3_1':0.0},(77,244):{'3_1':0.12},(77,243):{'3_1':0.09},(77,242):{'3_1':0.06},(77,241):{'3_1':0.03},(77,240):{'3_1':0.03},(77,239):{'3_1':0.03},(77,238):{'3_1':0.0,'4_1':0.0},(77,237):{'3_1':0.03,'4_1':0.0},(77,236):{'3_1':0.03},(77,235):{'3_1':0.0},(77,234):{'3_1':0.0},(77,233):{'3_1':0.0,'4_1':0.0},(77,232):{'3_1':0.06},(77,231):{'3_1':0.03},(77,230):{'3_1':0.06,'5_2':0.0},(77,229):{'3_1':0.0},(77,228):{'3_1':0.03},(77,227):{'3_1':0.09,'4_1':0.0},(77,226):{'3_1':0.03,'4_1':0.0},(77,225):{'3_1':0.0},(77,224):{'3_1':0.0},(77,223):{'3_1':0.03},(77,222):{'3_1':0.0},(77,221):{'3_1':0.03,'4_1':0.0},(77,220):{'3_1':0.03},(77,219):{'3_1':0.03},(77,218):{'3_1':0.0},(77,217):{'3_1':0.0},(77,216):{'3_1':0.03},(77,215):{'3_1':0.0},(77,214):{'3_1':0.0,'4_1':0.0},(77,213):{'3_1':0.0,'5_2':0.0},(77,212):{'3_1':0.0},(77,211):{'3_1':0.03},(77,210):{'3_1':0.03},(77,209):{'3_1':0.03},(77,208):{'3_1':0.0},(77,207):{'3_1':0.06},(77,206):{'3_1':0.03},(77,205):{'3_1':0.03},(77,204):{'3_1':0.03},(77,203):{'3_1':0.06},(77,202):{'3_1':0.06,'5_1':0.0},(77,201):{'3_1':0.03,'5_1':0.0},(77,200):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(77,199):{'3_1':0.06,'5_1':0.0},(77,198):{'3_1':0.03},(77,197):{'3_1':0.06,'4_1':0.0},(77,196):{'3_1':0.03,'4_1':0.0},(77,195):{'3_1':0.03,'5_1':0.0},(77,194):{'3_1':0.03},(77,193):{'3_1':0.0,'4_1':0.0},(77,192):{'3_1':0.06},(77,191):{'3_1':0.0},(77,190):{'3_1':0.0},(77,189):{'3_1':0.0},(77,188):{'3_1':0.03},(77,187):{'3_1':0.03},(77,186):{'3_1':0.03},(77,185):{'3_1':0.03},(77,184):{'3_1':0.0,'5_2':0.0},(77,183):{'3_1':0.0},(77,182):{'3_1':0.0},(77,181):{'3_1':0.0},(77,178):{'3_1':0.0},(77,177):{'3_1':0.0},(77,176):{'3_1':0.0},(77,153):{'3_1':0.0},(77,148):{'3_1':0.0},(77,140):{'3_1':0.0},(77,137):{'3_1':0.0},(77,135):{'3_1':0.0},(78,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(78,458):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_2':0.0},(78,457):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_2':0.0},(78,456):{'3_1':0.9,'5_1':0.0,'7_1':0.0},(78,455):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(78,454):{'3_1':0.9,'8_2':0.0,'5_2':0.0},(78,453):{'3_1':0.9,'5_1':0.0,'7_3':0.0,'8_2':0.0},(78,452):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0,'-3':0.0},(78,451):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(78,450):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0},(78,449):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0},(78,448):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(78,447):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'7_3':0.0,'5_2':0.0,'8_2':0.0,'8_5':0.0},(78,446):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(78,445):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(78,444):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0},(78,443):{'3_1':0.84,'5_1':0.09,'6_2':0.0,'8_20|3_1#3_1':0.0},(78,442):{'3_1':0.9,'5_1':0.0,'7_3':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0},(78,441):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_14':0.0},(78,440):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'7_3':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(78,439):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'7_3':0.0},(78,438):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(78,437):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0},(78,436):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'7_5':0.0,'5_2':0.0,'-3':0.0},(78,435):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'7_3':0.03,'5_2':0.0,'8_2':0.0},(78,434):{'3_1':0.84,'6_2':0.03,'5_2':0.0,'8_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(78,433):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(78,432):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(78,431):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(78,430):{'3_1':0.87,'8_2':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(78,429):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(78,428):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(78,427):{'3_1':0.81,'8_2':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_5':0.0},(78,426):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0,'-3':0.0},(78,425):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(78,424):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(78,423):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(78,422):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(78,421):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(78,420):{'3_1':0.78,'5_1':0.09,'7_3':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0},(78,419):{'3_1':0.78,'5_1':0.12,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(78,418):{'3_1':0.75,'5_1':0.06,'-3':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'7_6':0.0},(78,417):{'3_1':0.75,'5_1':0.12,'6_2':0.0,'7_3':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0},(78,416):{'3_1':0.72,'5_1':0.09,'6_2':0.06,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_14':0.0},(78,415):{'3_1':0.75,'5_1':0.06,'8_2':0.06,'5_2':0.0,'7_3':0.0,'6_2':0.0,'-3':0.0},(78,414):{'3_1':0.72,'5_1':0.15,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(78,413):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(78,412):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0},(78,411):{'3_1':0.66,'5_1':0.15,'8_2':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(78,410):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0},(78,409):{'3_1':0.57,'5_1':0.18,'5_2':0.03,'6_2':0.03,'8_2':0.03,'7_3':0.0,'7_1':0.0,'7_5':0.0},(78,408):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'6_2':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(78,407):{'3_1':0.75,'5_1':0.09,'7_3':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(78,406):{'3_1':0.78,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'7_1':0.0},(78,405):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(78,404):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(78,403):{'3_1':0.75,'5_1':0.06,'8_2':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(78,402):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'5_2':0.03,'8_2':0.0,'6_1':0.0,'7_5':0.0},(78,401):{'3_1':0.81,'5_1':0.03,'7_3':0.0,'6_2':0.0},(78,400):{'3_1':0.87,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0},(78,399):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0},(78,398):{'3_1':0.75,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_19':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(78,397):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_3':0.0},(78,396):{'3_1':0.75,'5_1':0.03,'-3':0.0,'5_2':0.0,'8_19':0.0},(78,395):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(78,394):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(78,393):{'3_1':0.63,'5_1':0.09,'4_1':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0},(78,392):{'3_1':0.54,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_3':0.0,'4_1':0.0},(78,391):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0},(78,390):{'3_1':0.57,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(78,389):{'3_1':0.54,'5_1':0.03,'4_1':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0},(78,388):{'3_1':0.42,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_11':0.0,'8_19':0.0,'-3':0.0},(78,387):{'3_1':0.27,'6_2':0.03,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_2':0.0},(78,386):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(78,385):{'3_1':0.21,'4_1':0.0,'6_2':0.0},(78,384):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0},(78,383):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(78,382):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(78,381):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0,'8_2':0.0},(78,380):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(78,379):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(78,378):{'3_1':0.03,'5_2':0.03,'4_1':0.0,'8_11':0.0},(78,377):{'3_1':0.09,'4_1':0.0},(78,376):{'3_1':0.06,'4_1':0.0,'7_6':0.0,'8_7':0.0,'-3':0.0},(78,375):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_5':0.0},(78,374):{'3_1':0.0,'4_1':0.0},(78,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(78,372):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_7':0.0},(78,371):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_11':0.0,'8_13':0.0},(78,370):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_11':0.0},(78,369):{'3_1':0.03,'4_1':0.0},(78,368):{'3_1':0.09,'5_1':0.0},(78,367):{'3_1':0.03,'4_1':0.0},(78,366):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_11':0.0},(78,365):{'3_1':0.03,'6_2':0.0,'4_1':0.0},(78,364):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(78,363):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'6_2':0.0},(78,362):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0},(78,361):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(78,360):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(78,359):{'3_1':0.06,'6_2':0.0},(78,358):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(78,357):{'3_1':0.03,'5_1':0.0,'6_3':0.0,'6_2':0.0},(78,356):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(78,355):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0},(78,354):{'3_1':0.06,'4_1':0.0},(78,353):{'3_1':0.0,'4_1':0.0},(78,352):{'3_1':0.03,'8_11':0.0,'6_2':0.0,'6_3':0.0},(78,350):{'3_1':0.03,'6_2':0.0},(78,349):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(78,348):{'3_1':0.0},(78,347):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(78,346):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(78,345):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(78,344):{'3_1':0.0,'6_2':0.0,'8_11':0.0},(78,343):{'5_2':0.0,'3_1':0.0,'6_2':0.0},(78,342):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(78,341):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'-3':0.0},(78,340):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(78,339):{'3_1':0.03,'6_2':0.0},(78,338):{'3_1':0.06,'5_2':0.0,'7_2':0.0,'3_1#5_1':0.0},(78,337):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_9':0.0},(78,336):{'3_1':0.06},(78,335):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(78,334):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(78,333):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(78,332):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(78,331):{'3_1':0.0,'5_2':0.0,'-3':0.0},(78,330):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(78,329):{'3_1':0.0,'4_1':0.0},(78,328):{'4_1':0.03,'5_2':0.0},(78,327):{'3_1':0.0,'4_1':0.0},(78,326):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(78,325):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(78,324):{'5_2':0.0,'3_1':0.0},(78,323):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(78,322):{'3_1':0.0,'5_1':0.0},(78,321):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(78,320):{'3_1':0.0,'8_4':0.0},(78,319):{'3_1':0.06,'5_2':0.0},(78,318):{'3_1':0.06,'5_2':0.0},(78,317):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(78,316):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(78,315):{'3_1':0.06},(78,314):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(78,313):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(78,312):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(78,311):{'3_1':0.0,'5_2':0.0},(78,310):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(78,309):{'3_1':0.03,'5_2':0.0},(78,308):{'3_1':0.03},(78,307):{'3_1':0.03},(78,306):{'3_1':0.0,'5_1':0.0,'7_3':0.0},(78,305):{'3_1':0.0,'7_3':0.0},(78,304):{'3_1':0.06},(78,303):{'3_1':0.03,'5_1':0.0},(78,302):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(78,301):{'3_1':0.06},(78,300):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(78,299):{'3_1':0.0,'5_1':0.0},(78,298):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(78,297):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(78,296):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(78,295):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(78,294):{'3_1':0.03,'5_1':0.0},(78,293):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(78,292):{'3_1':0.03,'5_2':0.0},(78,291):{'3_1':0.0,'4_1':0.0},(78,290):{'3_1':0.09,'5_2':0.0},(78,289):{'3_1':0.03,'5_2':0.0},(78,288):{'3_1':0.06,'5_1':0.0},(78,287):{'3_1':0.03,'5_2':0.0},(78,286):{'3_1':0.06,'5_1':0.0},(78,285):{'3_1':0.0,'5_2':0.0},(78,284):{'3_1':0.06,'5_1':0.0},(78,283):{'3_1':0.03,'5_2':0.0},(78,282):{'3_1':0.03,'5_2':0.0},(78,281):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(78,280):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(78,279):{'3_1':0.03,'5_2':0.0},(78,278):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(78,277):{'3_1':0.03,'4_1':0.0},(78,276):{'3_1':0.06,'4_1':0.0},(78,275):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(78,273):{'3_1':0.03},(78,272):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(78,271):{'3_1':0.0,'5_2':0.0},(78,270):{'3_1':0.0,'5_2':0.0},(78,269):{'5_2':0.0,'3_1':0.0},(78,268):{'3_1':0.0},(78,267):{'3_1':0.03},(78,266):{'3_1':0.0,'5_2':0.0},(78,265):{'3_1':0.0},(78,264):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(78,263):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(78,262):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(78,261):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(78,260):{'3_1':0.0},(78,259):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(78,257):{'3_1':0.0,'4_1':0.0},(78,256):{'3_1':0.03},(78,255):{'3_1':0.0},(78,254):{'3_1':0.03,'4_1':0.0},(78,253):{'3_1':0.03,'5_2':0.0},(78,252):{'3_1':0.03},(78,251):{'3_1':0.0,'4_1':0.0},(78,250):{'3_1':0.0,'5_1':0.0},(78,249):{'3_1':0.03},(78,248):{'3_1':0.0,'5_2':0.0},(78,247):{'3_1':0.03},(78,246):{'3_1':0.03,'4_1':0.0},(78,245):{'3_1':0.06},(78,244):{'3_1':0.0},(78,243):{'3_1':0.06},(78,242):{'3_1':0.09},(78,241):{'3_1':0.03,'5_1':0.0},(78,240):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(78,239):{'3_1':0.03},(78,238):{'3_1':0.03},(78,237):{'3_1':0.03},(78,236):{'3_1':0.03},(78,235):{'3_1':0.0},(78,234):{'3_1':0.0},(78,233):{'3_1':0.03},(78,232):{'3_1':0.03},(78,231):{'3_1':0.03},(78,230):{'3_1':0.03},(78,229):{'3_1':0.0,'4_1':0.0},(78,228):{'3_1':0.03},(78,227):{'3_1':0.03},(78,226):{'3_1':0.0},(78,225):{'3_1':0.0},(78,224):{'3_1':0.0},(78,223):{'3_1':0.03},(78,222):{'3_1':0.03,'4_1':0.0},(78,221):{'3_1':0.03},(78,220):{'3_1':0.0},(78,219):{'3_1':0.0},(78,217):{'3_1':0.0},(78,216):{'3_1':0.03},(78,215):{'3_1':0.0},(78,214):{'3_1':0.0,'4_1':0.0},(78,213):{'3_1':0.03},(78,212):{'3_1':0.03},(78,211):{'3_1':0.03},(78,210):{'3_1':0.0},(78,209):{'3_1':0.03},(78,208):{'3_1':0.03},(78,207):{'3_1':0.0},(78,206):{'3_1':0.03,'4_1':0.0},(78,205):{'3_1':0.0,'4_1':0.0},(78,204):{'3_1':0.03},(78,203):{'3_1':0.03,'4_1':0.0},(78,202):{'3_1':0.03},(78,201):{'3_1':0.06,'4_1':0.0},(78,200):{'3_1':0.09,'4_1':0.0},(78,199):{'3_1':0.03},(78,198):{'3_1':0.06},(78,197):{'3_1':0.03},(78,196):{'3_1':0.06},(78,195):{'3_1':0.0},(78,194):{'3_1':0.06},(78,193):{'3_1':0.03},(78,192):{'3_1':0.0},(78,191):{'3_1':0.06},(78,190):{'3_1':0.03},(78,189):{'3_1':0.03,'4_1':0.0},(78,188):{'3_1':0.0},(78,187):{'3_1':0.03},(78,186):{'3_1':0.03,'4_1':0.0},(78,185):{'3_1':0.0},(78,184):{'3_1':0.03},(78,183):{'3_1':0.0},(78,182):{'3_1':0.0},(78,181):{'3_1':0.0},(78,180):{'3_1':0.0},(78,179):{'3_1':0.0},(78,178):{'3_1':0.0},(78,177):{'3_1':0.0},(78,176):{'3_1':0.0},(78,175):{'3_1':0.0},(78,154):{'3_1':0.0},(79,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(79,458):{'3_1':0.87,'5_1':0.0,'-3':0.0,'5_2':0.0,'8_2':0.0},(79,457):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'8_6':0.0},(79,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(79,455):{'3_1':0.9,'5_1':0.0},(79,454):{'3_1':0.87,'7_1':0.0,'8_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(79,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0},(79,452):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(79,451):{'3_1':0.9,'-3':0.0},(79,450):{'3_1':0.9,'7_3':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(79,449):{'3_1':0.9,'-3':0.0,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(79,448):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(79,447):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'8_14':0.0},(79,446):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0},(79,445):{'3_1':0.9,'-3':0.03,'8_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(79,444):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(79,443):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(79,442):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'5_2':0.0,'-3':0.0},(79,441):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(79,440):{'3_1':0.87,'5_2':0.03,'8_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0},(79,439):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0},(79,438):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'8_5':0.0,'8_14':0.0},(79,437):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'8_14':0.0},(79,436):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(79,435):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(79,434):{'3_1':0.84,'5_2':0.03,'5_1':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0},(79,433):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0},(79,432):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(79,431):{'3_1':0.81,'8_2':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_5':0.0},(79,430):{'3_1':0.84,'5_1':0.06,'7_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(79,429):{'3_1':0.87,'6_2':0.03,'5_1':0.0,'8_2':0.0,'7_1':0.0,'-3':0.0},(79,428):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(79,427):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0},(79,426):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(79,425):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(79,424):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'8_2':0.03,'7_3':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(79,423):{'3_1':0.84,'8_2':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(79,422):{'3_1':0.81,'5_1':0.09,'8_2':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(79,421):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(79,420):{'3_1':0.78,'5_1':0.09,'6_2':0.0,'8_2':0.0,'5_2':0.0,'-3':0.0},(79,419):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0},(79,418):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0},(79,417):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(79,416):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(79,415):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(79,414):{'3_1':0.78,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0},(79,413):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0},(79,412):{'3_1':0.75,'5_1':0.12,'8_2':0.03,'5_2':0.0,'6_2':0.0},(79,411):{'3_1':0.63,'5_1':0.15,'5_2':0.09,'6_2':0.0,'8_2':0.0},(79,410):{'3_1':0.66,'5_1':0.12,'8_2':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'7_4':0.0,'3_1#5_1':0.0,'-3':0.0},(79,409):{'3_1':0.69,'5_1':0.12,'8_2':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_9':0.0,'3_1#5_1':0.0},(79,408):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0},(79,407):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.03,'7_3':0.0,'8_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(79,406):{'3_1':0.78,'5_1':0.09,'8_2':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(79,405):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'6_2':0.0,'8_14':0.0,'-3':0.0},(79,404):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(79,403):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(79,402):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'8_2':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(79,401):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0,'5_2':0.0,'8_1':0.0},(79,400):{'3_1':0.81,'5_2':0.03,'6_2':0.0,'5_1':0.0,'8_6':0.0},(79,399):{'3_1':0.81,'7_3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_11':0.0},(79,398):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0},(79,397):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(79,396):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(79,395):{'3_1':0.75,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0},(79,394):{'3_1':0.75,'5_1':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(79,393):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_2':0.0},(79,392):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0},(79,391):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(79,390):{'3_1':0.57,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0,'5_2':0.0,'7_1':0.0,'8_11':0.0},(79,389):{'3_1':0.48,'4_1':0.06,'7_1':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(79,388):{'3_1':0.39,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(79,387):{'3_1':0.24,'6_2':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'5_2':0.0,'8_6':0.0,'8_11':0.0},(79,386):{'3_1':0.36,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'5_2':0.0,'8_9':0.0},(79,385):{'3_1':0.24,'4_1':0.03,'6_2':0.03,'5_1':0.0},(79,384):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_2':0.0,'8_2':0.0,'8_11':0.0},(79,383):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_1':0.0,'-3':0.0},(79,382):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(79,381):{'3_1':0.18,'4_1':0.09,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(79,380):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(79,379):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(79,378):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(79,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_8':0.0},(79,376):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'8_11':0.0},(79,375):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0,'8_13':0.0},(79,374):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(79,373):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'-3':0.0},(79,372):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(79,371):{'3_1':0.03,'5_2':0.0},(79,370):{'3_1':0.0,'8_11':0.0},(79,369):{'3_1':0.03,'6_1':0.0,'6_2':0.0},(79,368):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0,'8_11':0.0},(79,367):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_11':0.0},(79,366):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(79,365):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_11':0.0},(79,364):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(79,363):{'3_1':0.03},(79,362):{'3_1':0.03,'6_2':0.0,'6_3':0.0},(79,361):{'3_1':0.03,'6_2':0.0},(79,360):{'3_1':0.03,'6_2':0.0},(79,359):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(79,358):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(79,357):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(79,356):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(79,355):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(79,354):{'3_1':0.03,'6_3':0.0,'8_21|3_1#4_1':0.0},(79,353):{'3_1':0.03,'6_2':0.0,'5_2':0.0},(79,352):{'3_1':0.0,'4_1':0.0},(79,351):{'3_1':0.06,'5_2':0.0},(79,350):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(79,349):{'3_1':0.0},(79,348):{'3_1':0.0,'5_2':0.0,'6_3':0.0,'8_11':0.0,'-3':0.0},(79,347):{'3_1':0.03},(79,346):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(79,345):{'3_1':0.03,'6_2':0.0,'8_7':0.0},(79,344):{'3_1':0.0,'6_2':0.0},(79,343):{'3_1':0.0,'4_1':0.0},(79,342):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(79,341):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(79,340):{'3_1':0.06,'8_20|3_1#3_1':0.0},(79,339):{'3_1':0.06,'5_2':0.0},(79,338):{'3_1':0.03,'4_1':0.0},(79,337):{'3_1':0.0,'5_2':0.0},(79,336):{'3_1':0.03},(79,335):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(79,334):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(79,333):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(79,332):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(79,331):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(79,330):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(79,329):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(79,328):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(79,327):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(79,326):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(79,325):{'3_1':0.0,'4_1':0.0},(79,324):{'3_1':0.0,'5_2':0.0},(79,323):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(79,322):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(79,321):{'3_1':0.0},(79,320):{'3_1':0.03},(79,319):{'3_1':0.0,'4_1':0.0},(79,318):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(79,317):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(79,316):{'3_1':0.03,'8_13':0.0},(79,315):{'3_1':0.06,'5_2':0.0},(79,314):{'3_1':0.03},(79,313):{'3_1':0.03,'4_1':0.0},(79,312):{'3_1':0.03,'5_2':0.0},(79,311):{'3_1':0.03,'4_1':0.0},(79,310):{'3_1':0.0,'4_1':0.0},(79,309):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(79,308):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(79,307):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(79,306):{'3_1':0.0,'5_1':0.0},(79,305):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(79,304):{'3_1':0.03,'7_3':0.0},(79,303):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(79,302):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(79,301):{'3_1':0.06,'5_1':0.0,'7_3':0.0,'5_2':0.0},(79,300):{'3_1':0.03,'5_1':0.0},(79,299):{'3_1':0.03},(79,298):{'3_1':0.03,'5_2':0.0},(79,297):{'3_1':0.0,'5_1':0.0},(79,296):{'3_1':0.03,'5_2':0.0},(79,295):{'3_1':0.03,'4_1':0.0},(79,294):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(79,293):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0},(79,292):{'3_1':0.03,'4_1':0.0},(79,291):{'3_1':0.0},(79,290):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(79,289):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(79,288):{'3_1':0.03,'5_2':0.0},(79,287):{'3_1':0.03},(79,286):{'3_1':0.0,'6_2':0.0},(79,285):{'3_1':0.03},(79,284):{'3_1':0.0,'5_2':0.0},(79,283):{'3_1':0.0,'5_2':0.0},(79,282):{'3_1':0.03,'5_2':0.0},(79,281):{'3_1':0.06,'5_2':0.0},(79,280):{'3_1':0.06},(79,279):{'3_1':0.06},(79,278):{'3_1':0.03,'4_1':0.0},(79,277):{'3_1':0.0,'5_2':0.0},(79,276):{'3_1':0.03,'4_1':0.0},(79,275):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(79,274):{'3_1':0.03},(79,273):{'3_1':0.0},(79,272):{'3_1':0.0,'4_1':0.0},(79,271):{'3_1':0.0},(79,270):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(79,269):{'3_1':0.0,'4_1':0.0},(79,268):{'4_1':0.0},(79,267):{'3_1':0.03},(79,266):{'3_1':0.0,'4_1':0.0},(79,265):{'3_1':0.0},(79,264):{'3_1':0.03,'4_1':0.0},(79,263):{'3_1':0.0},(79,262):{'3_1':0.03,'5_2':0.0},(79,261):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(79,260):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(79,259):{'3_1':0.0,'4_1':0.0},(79,258):{'3_1':0.0},(79,257):{'3_1':0.0},(79,256):{'3_1':0.0},(79,255):{'3_1':0.03},(79,254):{'3_1':0.0},(79,253):{'3_1':0.03,'4_1':0.0},(79,252):{'3_1':0.0,'4_1':0.0},(79,251):{'3_1':0.0,'5_2':0.0},(79,250):{'3_1':0.03},(79,249):{'3_1':0.0,'4_1':0.0},(79,248):{'3_1':0.06},(79,247):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(79,246):{'3_1':0.03},(79,245):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(79,244):{'3_1':0.03,'4_1':0.0},(79,243):{'3_1':0.0},(79,242):{'3_1':0.03},(79,241):{'3_1':0.03},(79,240):{'3_1':0.03},(79,239):{'3_1':0.0,'4_1':0.0},(79,238):{'3_1':0.03,'5_2':0.0},(79,237):{'3_1':0.03},(79,236):{'3_1':0.03,'5_1':0.0},(79,235):{'3_1':0.0},(79,234):{'3_1':0.03},(79,233):{'3_1':0.03},(79,232):{'3_1':0.0,'4_1':0.0},(79,231):{'3_1':0.09},(79,230):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(79,229):{'3_1':0.06,'5_2':0.0},(79,228):{'3_1':0.06,'4_1':0.0},(79,227):{'4_1':0.0},(79,226):{'3_1':0.0,'5_2':0.0},(79,225):{'3_1':0.0,'4_1':0.0},(79,224):{'3_1':0.0},(79,223):{'3_1':0.03,'4_1':0.0},(79,222):{'3_1':0.03},(79,221):{'3_1':0.0},(79,220):{'3_1':0.0},(79,219):{'3_1':0.0},(79,218):{'3_1':0.06},(79,217):{'3_1':0.0},(79,216):{'3_1':0.0},(79,215):{'3_1':0.0},(79,213):{'3_1':0.0,'6_2':0.0},(79,212):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(79,211):{'3_1':0.03},(79,210):{'3_1':0.0},(79,209):{'3_1':0.0,'4_1':0.0},(79,208):{'3_1':0.03},(79,207):{'3_1':0.03},(79,206):{'3_1':0.03,'4_1':0.0},(79,205):{'3_1':0.06,'4_1':0.0},(79,204):{'3_1':0.0,'5_2':0.0},(79,203):{'3_1':0.03},(79,202):{'3_1':0.06},(79,201):{'3_1':0.0},(79,200):{'3_1':0.03},(79,199):{'3_1':0.03},(79,198):{'3_1':0.03,'4_1':0.0},(79,197):{'3_1':0.03},(79,196):{'3_1':0.03},(79,195):{'3_1':0.03},(79,194):{'3_1':0.03},(79,193):{'3_1':0.03},(79,192):{'3_1':0.03},(79,191):{'3_1':0.03},(79,190):{'3_1':0.0},(79,189):{'3_1':0.0},(79,188):{'3_1':0.03},(79,187):{'3_1':0.0},(79,186):{'3_1':0.03},(79,185):{'3_1':0.0},(79,184):{'3_1':0.0,'4_1':0.0},(79,183):{'3_1':0.0,'4_1':0.0},(79,182):{'3_1':0.06},(79,181):{'3_1':0.0},(79,180):{'3_1':0.0},(79,179):{'3_1':0.0},(79,178):{'3_1':0.0},(79,176):{'3_1':0.0},(79,175):{'3_1':0.0},(79,154):{'3_1':0.0},(79,153):{'3_1':0.0},(79,150):{'3_1':0.0},(79,141):{'3_1':0.0},(79,140):{'3_1':0.0},(79,138):{'3_1':0.0},(79,136):{'3_1':0.0},(80,459):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_2':0.0},(80,458):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(80,457):{'3_1':0.9,'5_1':0.0},(80,456):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'6_2':0.0},(80,455):{'3_1':0.87,'5_1':0.03,'-3':0.0,'6_2':0.0,'8_2':0.0},(80,454):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'8_2':0.0},(80,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(80,452):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'7_3':0.0,'8_14':0.0},(80,451):{'3_1':0.87,'5_1':0.06,'8_2':0.0,'5_2':0.0,'6_2':0.0,'8_14':0.0},(80,450):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'8_14':0.0},(80,449):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0,'8_14':0.0},(80,448):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0},(80,447):{'3_1':0.9,'6_2':0.0,'5_2':0.0,'8_2':0.0},(80,446):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(80,445):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'6_2':0.0,'-3':0.0},(80,444):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(80,443):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0},(80,442):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(80,441):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(80,440):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(80,439):{'3_1':0.87,'8_2':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(80,438):{'3_1':0.87,'8_2':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(80,437):{'3_1':0.84,'5_1':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0,'8_14':0.0},(80,436):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(80,435):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'5_2':0.0,'8_14':0.0,'-3':0.0,'6_2':0.0},(80,434):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(80,433):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'1':-0.03},(80,432):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(80,431):{'3_1':0.87,'5_1':0.03,'7_3':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(80,430):{'3_1':0.87,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'8_6':0.0},(80,429):{'3_1':0.78,'8_2':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(80,428):{'3_1':0.84,'5_1':0.03,'7_1':0.0,'8_2':0.0,'6_2':0.0,'8_11':0.0,'8_14':0.0,'-3':0.0},(80,427):{'3_1':0.84,'5_1':0.06,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_14':0.0,'-3':0.0},(80,426):{'3_1':0.81,'5_1':0.06,'6_2':0.03,'8_2':0.0,'5_2':0.0,'-3':0.0},(80,425):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0},(80,424):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.03,'7_1':0.03,'8_2':0.0,'-3':0.0},(80,423):{'3_1':0.84,'8_2':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(80,422):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_14':0.0},(80,421):{'3_1':0.66,'5_1':0.09,'8_2':0.06,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(80,420):{'3_1':0.81,'5_1':0.09,'8_2':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(80,419):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'8_2':0.03,'7_1':0.0,'7_3':0.0,'8_14':0.0},(80,418):{'3_1':0.75,'5_1':0.12,'5_2':0.03,'-3':0.0,'7_3':0.0,'8_2':0.0},(80,417):{'3_1':0.78,'5_1':0.09,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(80,416):{'3_1':0.72,'5_1':0.09,'8_2':0.06,'6_2':0.0,'5_2':0.0},(80,415):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0},(80,414):{'3_1':0.66,'5_1':0.06,'8_2':0.06,'5_2':0.03,'6_2':0.0,'8_14':0.0,'-3':0.0,'1':-0.03},(80,413):{'3_1':0.66,'5_1':0.09,'6_2':0.03,'8_2':0.03,'5_2':0.03,'7_3':0.0,'-3':0.0},(80,412):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(80,411):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(80,410):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'-3':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'1':-0.03},(80,409):{'3_1':0.63,'5_1':0.15,'8_2':0.03,'6_2':0.03,'7_1':0.03,'5_2':0.0,'-3':0.0},(80,408):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.03,'7_3':0.0,'8_2':0.0,'-3':0.0},(80,407):{'3_1':0.63,'5_1':0.12,'8_2':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(80,406):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(80,405):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(80,404):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'5_2':0.0,'8_2':0.0,'8_11':0.0},(80,403):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.03,'8_11':0.0,'6_3':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(80,402):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'6_1':0.0},(80,401):{'3_1':0.81,'5_1':0.0,'8_2':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(80,400):{'3_1':0.87,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0,'8_11':0.0},(80,399):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_14':0.0},(80,398):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'8_14':0.0},(80,397):{'3_1':0.84,'6_2':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0,'8_14':0.0},(80,396):{'3_1':0.72,'5_1':0.03,'6_2':0.0,'7_6':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0},(80,395):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0},(80,394):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0},(80,393):{'3_1':0.72,'5_2':0.03,'6_2':0.03,'4_1':0.0,'5_1':0.0,'8_2':0.0},(80,392):{'3_1':0.63,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(80,391):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0},(80,390):{'3_1':0.66,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(80,389):{'3_1':0.36,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0},(80,388):{'3_1':0.39,'4_1':0.03,'5_1':0.03,'8_2':0.03,'5_2':0.0,'8_15':0.0},(80,387):{'3_1':0.3,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(80,386):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(80,385):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0},(80,384):{'3_1':0.15,'4_1':0.06,'6_2':0.03,'8_11':0.0,'5_1':0.0,'5_2':0.0},(80,383):{'3_1':0.06,'6_2':0.03,'4_1':0.0,'5_1':0.0},(80,382):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(80,381):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.03,'6_2':0.0,'7_7':0.0},(80,380):{'3_1':0.06,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0},(80,379):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_5':0.0,'8_2':0.0},(80,378):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(80,377):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(80,376):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0},(80,375):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0},(80,374):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0},(80,373):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(80,372):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(80,371):{'3_1':0.03,'6_2':0.0,'4_1':0.0},(80,370):{'3_1':0.03,'6_2':0.03,'4_1':0.0},(80,369):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(80,368):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(80,367):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(80,366):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(80,365):{'3_1':0.03,'6_2':0.0,'5_1':0.0},(80,364):{'3_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(80,363):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'7_3':0.0},(80,362):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(80,361):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(80,360):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(80,359):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(80,358):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(80,357):{'3_1':0.03,'6_2':0.0,'5_2':0.0},(80,356):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(80,355):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(80,354):{'3_1':0.0},(80,353):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'5_1':0.0},(80,352):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,351):{'3_1':0.03,'4_1':0.0},(80,350):{'3_1':0.03,'6_2':0.0},(80,349):{'3_1':0.06},(80,348):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(80,347):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0},(80,346):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,345):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(80,344):{'3_1':0.0},(80,343):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(80,342):{'3_1':0.0,'4_1':0.0,'7_7':0.0},(80,341):{'3_1':0.0},(80,340):{'3_1':0.09,'4_1':0.0},(80,339):{'3_1':0.0,'5_2':0.0,'-3':0.0},(80,338):{'3_1':0.09},(80,337):{'3_1':0.03,'5_2':0.0},(80,336):{'3_1':0.03},(80,335):{'3_1':0.0,'5_2':0.0},(80,334):{'3_1':0.06,'5_2':0.0},(80,333):{'3_1':0.12,'5_2':0.0},(80,332):{'3_1':0.0,'8_20|3_1#3_1':0.0},(80,331):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(80,330):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(80,329):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(80,328):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(80,327):{'3_1':0.06,'8_20|3_1#3_1':0.0},(80,326):{'3_1':0.03,'5_2':0.0},(80,325):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(80,324):{'3_1':0.03,'5_2':0.0},(80,323):{'3_1':0.06,'4_1':0.0},(80,322):{'3_1':0.0,'5_2':0.0},(80,321):{'3_1':0.06,'4_1':0.0},(80,320):{'3_1':0.0},(80,319):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(80,318):{'3_1':0.03,'6_2':0.0},(80,317):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(80,316):{'3_1':0.0,'4_1':0.0},(80,315):{'3_1':0.0},(80,314):{'3_1':0.09,'4_1':0.0},(80,313):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(80,312):{'3_1':0.03,'6_2':0.0},(80,311):{'3_1':0.0},(80,310):{'3_1':0.03,'4_1':0.0},(80,309):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(80,308):{'3_1':0.0,'5_1':0.0},(80,307):{'3_1':0.06,'4_1':0.0},(80,306):{'3_1':0.06,'4_1':0.0},(80,305):{'3_1':0.06,'5_1':0.0},(80,304):{'3_1':0.06,'5_2':0.0},(80,303):{'3_1':0.03,'4_1':0.0},(80,302):{'3_1':0.06,'5_2':0.0},(80,301):{'3_1':0.06},(80,300):{'3_1':0.06},(80,299):{'3_1':0.0,'5_1':0.0},(80,298):{'3_1':0.06},(80,297):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(80,296):{'3_1':0.0},(80,295):{'3_1':0.06,'5_2':0.0},(80,294):{'3_1':0.06,'5_1':0.0},(80,293):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,292):{'3_1':0.03},(80,291):{'3_1':0.0},(80,290):{'3_1':0.03,'5_2':0.0},(80,289):{'3_1':0.03,'6_3':0.0},(80,288):{'3_1':0.03,'5_1':0.0},(80,287):{'3_1':0.03,'4_1':0.0},(80,286):{'3_1':0.0,'4_1':0.0},(80,285):{'3_1':0.0},(80,284):{'3_1':0.06},(80,283):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(80,282):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,281):{'3_1':0.03},(80,280):{'3_1':0.0,'4_1':0.0},(80,279):{'3_1':0.03,'5_1':0.0},(80,278):{'3_1':0.03},(80,277):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(80,276):{'3_1':0.03,'5_2':0.0},(80,275):{'3_1':0.03},(80,274):{'3_1':0.0,'4_1':0.0},(80,273):{'3_1':0.0,'5_2':0.0},(80,272):{'3_1':0.0,'5_2':0.0},(80,271):{'4_1':0.0},(80,270):{'3_1':0.0,'5_2':0.0},(80,269):{'4_1':0.0},(80,268):{'3_1':0.0,'4_1':0.0},(80,267):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(80,266):{'3_1':0.0,'5_2':0.0},(80,265):{'3_1':0.03,'5_2':0.0},(80,264):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(80,263):{'3_1':0.0,'4_1':0.0},(80,262):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(80,261):{'3_1':0.03,'4_1':0.0},(80,260):{'3_1':0.03},(80,259):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(80,258):{'3_1':0.03,'4_1':0.0},(80,257):{'3_1':0.06,'5_2':0.0},(80,256):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(80,255):{'3_1':0.0},(80,254):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(80,253):{'3_1':0.03},(80,252):{'3_1':0.0},(80,251):{'3_1':0.03,'4_1':0.0},(80,250):{'3_1':0.0},(80,249):{'3_1':0.0,'5_2':0.0},(80,248):{'3_1':0.0,'5_2':0.0},(80,247):{'3_1':0.0},(80,246):{'3_1':0.0,'5_2':0.0},(80,245):{'3_1':0.0},(80,244):{'3_1':0.03},(80,243):{'3_1':0.03},(80,242):{'3_1':0.03},(80,241):{'3_1':0.03},(80,240):{'3_1':0.0},(80,239):{'3_1':0.0},(80,238):{'3_1':0.0},(80,237):{'3_1':0.03},(80,236):{'3_1':0.0},(80,235):{'3_1':0.03},(80,234):{'3_1':0.06},(80,233):{'3_1':0.0},(80,232):{'3_1':0.0,'5_2':0.0},(80,231):{'3_1':0.06},(80,230):{'3_1':0.03,'6_3':0.0},(80,229):{'3_1':0.06},(80,228):{'3_1':0.0,'4_1':0.0},(80,227):{'3_1':0.0,'5_1':0.0},(80,226):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(80,225):{'3_1':0.0},(80,224):{'3_1':0.03,'5_2':0.0,'7_4':0.0},(80,223):{'3_1':0.03},(80,222):{'3_1':0.03,'5_1':0.0},(80,221):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(80,220):{'3_1':0.06},(80,219):{'3_1':0.0},(80,218):{'3_1':0.0},(80,217):{'3_1':0.0},(80,216):{'3_1':0.03,'5_1':0.0},(80,215):{'3_1':0.0},(80,214):{'3_1':0.0},(80,213):{'3_1':0.0,'4_1':0.0},(80,212):{'3_1':0.0,'4_1':0.0},(80,211):{'3_1':0.0},(80,210):{'3_1':0.0,'4_1':0.0},(80,209):{'3_1':0.03},(80,208):{'3_1':0.03},(80,207):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(80,206):{'3_1':0.03,'5_1':0.0},(80,205):{'3_1':0.0},(80,204):{'3_1':0.06},(80,203):{'3_1':0.0},(80,202):{'3_1':0.03,'5_1':0.0},(80,201):{'3_1':0.06},(80,200):{'3_1':0.0},(80,199):{'3_1':0.03},(80,198):{'3_1':0.03},(80,197):{'3_1':0.03},(80,196):{'3_1':0.06},(80,195):{'3_1':0.03},(80,194):{'3_1':0.0},(80,193):{'3_1':0.03,'5_1':0.0},(80,192):{'3_1':0.03},(80,191):{'3_1':0.03},(80,190):{'3_1':0.0},(80,189):{'3_1':0.0},(80,188):{'3_1':0.0},(80,187):{'3_1':0.0},(80,186):{'3_1':0.0},(80,185):{'3_1':0.0},(80,184):{'3_1':0.03},(80,183):{'3_1':0.0},(80,182):{'3_1':0.0},(80,180):{'3_1':0.0},(80,179):{'3_1':0.0},(80,177):{'3_1':0.0},(80,175):{'3_1':0.0},(80,158):{'3_1':0.0},(80,154):{'3_1':0.0},(80,152):{'3_1':0.0},(80,149):{'3_1':0.0},(80,142):{'3_1':0.0},(80,138):{'3_1':0.0},(80,136):{'3_1':0.0},(81,459):{'3_1':0.9,'5_1':0.0,'-3':0.0,'7_3':0.0,'8_14':0.0},(81,458):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'5_2':0.0},(81,457):{'3_1':0.9,'5_1':0.03},(81,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(81,455):{'3_1':0.9,'5_1':0.03,'6_2':0.0},(81,454):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_14':0.0,'-3':0.0},(81,453):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_14':0.0,'-3':0.0},(81,452):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'8_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(81,451):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(81,450):{'3_1':0.9,'8_2':0.03,'5_1':0.0,'5_2':0.0},(81,449):{'3_1':0.84,'5_1':0.03,'6_2':0.03,'8_2':0.0,'5_2':0.0},(81,448):{'3_1':0.9,'5_1':0.0,'8_2':0.0},(81,447):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'8_2':0.0,'8_14':0.0},(81,446):{'3_1':0.9,'5_2':0.0,'-3':0.0,'7_5':0.0,'8_2':0.0},(81,445):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_11':0.0},(81,444):{'3_1':0.9,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(81,443):{'3_1':0.9,'6_2':0.0,'8_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(81,442):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'6_2':0.0},(81,441):{'3_1':0.87,'5_1':0.03,'8_2':0.03,'5_2':0.0},(81,440):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_14':0.0,'7_1':0.0,'-3':0.0},(81,439):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0},(81,438):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(81,437):{'3_1':0.87,'8_2':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(81,436):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'8_14':0.0},(81,435):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'5_2':0.0,'7_1':0.0},(81,434):{'3_1':0.87,'6_2':0.03,'8_2':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0},(81,433):{'3_1':0.78,'6_2':0.03,'-3':0.03,'8_2':0.0,'5_1':0.0,'7_1':0.0,'5_2':0.0,'7_5':0.0,'8_14':0.0,'8_19':0.0},(81,432):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_6':0.0,'-3':0.0},(81,431):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0},(81,430):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_2':0.0},(81,429):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0},(81,428):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'8_2':0.0,'7_3':0.0},(81,427):{'3_1':0.87,'5_1':0.03,'8_14':0.0,'5_2':0.0,'6_2':0.0},(81,426):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0},(81,425):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(81,424):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'1':-0.03},(81,423):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_5':0.0},(81,422):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(81,421):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0,'6_3':0.0,'8_2':0.0},(81,420):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_2':0.03,'-3':0.0,'7_3':0.0},(81,419):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'7_3':0.0,'7_5':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(81,418):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(81,417):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'7_3':0.0},(81,416):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(81,415):{'3_1':0.69,'5_1':0.15,'-3':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0},(81,414):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'8_2':0.03,'6_2':0.03,'-3':0.03,'7_3':0.0},(81,413):{'3_1':0.75,'5_1':0.15,'8_2':0.03,'6_2':0.0,'7_1':0.0},(81,412):{'3_1':0.66,'5_1':0.21,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(81,411):{'3_1':0.63,'5_1':0.15,'6_2':0.03,'5_2':0.03,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0},(81,410):{'3_1':0.72,'5_1':0.09,'5_2':0.06,'6_2':0.0,'8_2':0.0,'-3':0.0},(81,409):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'8_9':0.0},(81,408):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'7_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0,'1':-0.03},(81,407):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'5_2':0.03,'8_2':0.0,'7_3':0.0,'7_5':0.0,'8_10':0.0,'-3':0.0},(81,406):{'3_1':0.78,'5_1':0.03,'6_2':0.03,'8_2':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0},(81,405):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'8_2':0.03,'5_2':0.03,'6_1':0.0,'7_7':0.0},(81,404):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'8_14':0.0,'-3':0.0},(81,403):{'3_1':0.81,'5_1':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'5_2':0.0,'8_11':0.0,'-3':0.0},(81,402):{'3_1':0.84,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(81,401):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(81,400):{'3_1':0.72,'5_1':0.06,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0,'8_19':0.0},(81,399):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'7_3':0.0,'6_2':0.0,'8_19':0.0},(81,398):{'3_1':0.75,'8_2':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(81,397):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0},(81,396):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(81,395):{'3_1':0.72,'5_1':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'8_11':0.0},(81,394):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_7':0.0,'8_2':0.0},(81,393):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_2':0.0},(81,392):{'3_1':0.63,'5_1':0.03,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0},(81,391):{'3_1':0.63,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(81,390):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'8_6':0.0},(81,389):{'3_1':0.57,'6_2':0.06,'4_1':0.0,'8_2':0.0,'5_1':0.0,'7_3':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(81,388):{'3_1':0.42,'5_1':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0,'8_2':0.0},(81,387):{'3_1':0.39,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(81,386):{'3_1':0.3,'6_2':0.03,'4_1':0.0,'8_2':0.0,'5_2':0.0,'8_4':0.0,'-3':0.0},(81,385):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(81,384):{'3_1':0.18,'6_2':0.03,'4_1':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(81,383):{'3_1':0.09,'6_2':0.03,'4_1':0.0,'5_1':0.0,'8_11':0.0,'-3':0.0},(81,382):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_11':0.0},(81,381):{'3_1':0.06,'4_1':0.06,'6_2':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_2':0.0,'8_9':0.0,'-3':0.0},(81,380):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_9':0.0,'-3':0.0},(81,379):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0},(81,378):{'3_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(81,377):{'4_1':0.03,'3_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_11':0.0},(81,376):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_9':0.0},(81,375):{'4_1':0.03,'6_1':0.0,'3_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(81,374):{'3_1':0.03,'4_1':0.0,'8_7':0.0,'8_9':0.0},(81,373):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_9':0.0},(81,372):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_11':0.0},(81,371):{'3_1':0.03,'4_1':0.0},(81,370):{'4_1':0.0,'6_2':0.0,'-3':0.0},(81,369):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(81,368):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(81,367):{'3_1':0.03,'4_1':0.0,'8_2':0.0,'8_11':0.0},(81,366):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(81,365):{'3_1':0.09,'6_2':0.0,'5_2':0.0},(81,364):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(81,363):{'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0},(81,362):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(81,361):{'3_1':0.0,'-3':0.0},(81,360):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(81,359):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'8_11':0.0},(81,358):{'4_1':0.0,'8_11':0.0},(81,357):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(81,356):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(81,355):{'3_1':0.06,'8_11':0.0},(81,354):{'3_1':0.03,'8_11':0.0,'4_1':0.0,'6_2':0.0},(81,353):{'3_1':0.0,'6_2':0.0},(81,352):{'3_1':0.0,'6_2':0.0},(81,351):{'3_1':0.0,'6_2':0.0,'4_1':0.0,'8_11':0.0},(81,350):{'3_1':0.03,'4_1':0.0},(81,349):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(81,348):{'3_1':0.0},(81,347):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(81,346):{'3_1':0.0,'6_2':0.0},(81,345):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(81,344):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'7_6':0.0},(81,343):{'3_1':0.03},(81,342):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(81,341):{'5_2':0.0,'4_1':0.0},(81,340):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(81,339):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(81,338):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(81,337):{'3_1':0.0},(81,336):{'3_1':0.06,'4_1':0.0},(81,335):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(81,334):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(81,333):{'3_1':0.0,'4_1':0.0,'8_7':0.0},(81,332):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(81,331):{'3_1':0.03,'4_1':0.0},(81,330):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(81,329):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(81,328):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(81,327):{'3_1':0.0,'4_1':0.0},(81,326):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(81,325):{'3_1':0.03,'4_1':0.0},(81,324):{'3_1':0.0,'4_1':0.0},(81,323):{'3_1':0.0},(81,322):{'3_1':0.0,'5_1':0.0},(81,321):{'3_1':0.03,'6_3':0.0},(81,320):{'3_1':0.0},(81,319):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(81,318):{'3_1':0.0,'5_2':0.0},(81,317):{'3_1':0.03},(81,316):{'3_1':0.03,'5_2':0.0},(81,315):{'3_1':0.03,'3_1#5_1':0.0},(81,314):{'3_1':0.0,'7_7':0.0},(81,313):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(81,312):{'3_1':0.0,'5_2':0.0},(81,311):{'3_1':0.0},(81,310):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(81,309):{'3_1':0.06,'6_2':0.0},(81,308):{'3_1':0.03},(81,307):{'3_1':0.03},(81,306):{'3_1':0.03,'5_2':0.0},(81,305):{'3_1':0.0,'4_1':0.0},(81,304):{'3_1':0.0,'5_1':0.0},(81,303):{'3_1':0.06,'5_1':0.0},(81,302):{'3_1':0.06,'5_1':0.0},(81,301):{'3_1':0.06,'7_6':0.0},(81,300):{'3_1':0.03},(81,299):{'3_1':0.03,'5_2':0.0},(81,298):{'3_1':0.06,'5_2':0.0},(81,297):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(81,296):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(81,295):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(81,294):{'3_1':0.03,'5_1':0.0},(81,293):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(81,292):{'3_1':0.0,'5_2':0.0},(81,291):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(81,290):{'3_1':0.0,'5_2':0.0},(81,289):{'3_1':0.0},(81,288):{'3_1':0.0},(81,287):{'3_1':0.06,'5_2':0.0},(81,286):{'3_1':0.03,'5_2':0.0},(81,285):{'3_1':0.0},(81,284):{'3_1':0.0},(81,283):{'3_1':0.03},(81,282):{'3_1':0.06,'4_1':0.0},(81,281):{'3_1':0.0},(81,280):{'3_1':0.03},(81,279):{'3_1':0.03},(81,278):{'3_1':0.0},(81,277):{'3_1':0.03,'4_1':0.0},(81,276):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(81,275):{'3_1':0.0},(81,274):{'3_1':0.03,'4_1':0.0},(81,273):{'4_1':0.0,'5_2':0.0},(81,272):{'3_1':0.0,'4_1':0.0},(81,271):{'3_1':0.0},(81,270):{'3_1':0.0,'4_1':0.0},(81,269):{'4_1':0.0,'5_1':0.0},(81,267):{'3_1':0.03},(81,266):{'3_1':0.0,'4_1':0.0},(81,265):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(81,264):{'3_1':0.03,'4_1':0.0},(81,263):{'3_1':0.0,'4_1':0.0},(81,262):{'3_1':0.0},(81,261):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(81,260):{'3_1':0.03},(81,259):{'3_1':0.0,'4_1':0.0},(81,258):{'3_1':0.03},(81,257):{'5_2':0.0,'3_1':0.0},(81,256):{'3_1':0.0,'4_1':0.0},(81,255):{'3_1':0.03,'4_1':0.0},(81,254):{'3_1':0.0,'5_2':0.0},(81,253):{'3_1':0.03},(81,252):{'3_1':0.0},(81,251):{'3_1':0.03,'5_2':0.0},(81,250):{'3_1':0.0},(81,249):{'3_1':0.0,'4_1':0.0},(81,248):{'3_1':0.0},(81,247):{'3_1':0.03},(81,246):{'3_1':0.03},(81,245):{'3_1':0.03,'5_2':0.0},(81,244):{'3_1':0.0},(81,243):{'3_1':0.03},(81,242):{'3_1':0.03},(81,241):{'3_1':0.03,'5_2':0.0},(81,240):{'3_1':0.0,'5_2':0.0},(81,239):{'3_1':0.0},(81,238):{'3_1':0.03,'5_2':0.0},(81,237):{'3_1':0.03,'4_1':0.0},(81,236):{'3_1':0.09},(81,235):{'3_1':0.0,'5_2':0.0},(81,234):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(81,233):{'3_1':0.03},(81,232):{'3_1':0.03},(81,231):{'3_1':0.03},(81,230):{'3_1':0.0,'5_1':0.0},(81,229):{'3_1':0.03},(81,228):{'3_1':0.0},(81,227):{'3_1':0.0},(81,226):{'3_1':0.0},(81,225):{'3_1':0.0,'5_2':0.0},(81,224):{'3_1':0.0},(81,223):{'3_1':0.0},(81,222):{'3_1':0.03},(81,221):{'3_1':0.03},(81,220):{'3_1':0.0},(81,219):{'3_1':0.0},(81,218):{'3_1':0.0,'5_1':0.0},(81,217):{'3_1':0.03},(81,216):{'3_1':0.0,'5_2':0.0},(81,215):{'3_1':0.0},(81,214):{'3_1':0.0,'4_1':0.0},(81,213):{'3_1':0.0},(81,212):{'3_1':0.03},(81,211):{'3_1':0.0,'5_2':0.0},(81,210):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(81,209):{'3_1':0.06},(81,208):{'3_1':0.03},(81,207):{'3_1':0.0,'5_2':0.0},(81,206):{'3_1':0.0},(81,205):{'3_1':0.06},(81,204):{'3_1':0.0},(81,203):{'3_1':0.06},(81,202):{'3_1':0.03,'5_1':0.0},(81,201):{'3_1':0.03},(81,200):{'3_1':0.06},(81,199):{'3_1':0.03},(81,198):{'3_1':0.03},(81,197):{'3_1':0.03},(81,196):{'3_1':0.03},(81,195):{'3_1':0.0},(81,194):{'3_1':0.0},(81,193):{'3_1':0.0},(81,192):{'3_1':0.03},(81,191):{'3_1':0.03},(81,190):{'3_1':0.0},(81,189):{'3_1':0.0},(81,188):{'3_1':0.0},(81,187):{'3_1':0.0},(81,186):{'3_1':0.0},(81,185):{'3_1':0.0},(81,184):{'3_1':0.0},(81,183):{'3_1':0.0},(81,182):{'3_1':0.03},(81,180):{'3_1':0.0},(81,179):{'3_1':0.0},(81,177):{'3_1':0.0},(81,176):{'3_1':0.0},(81,175):{'3_1':0.0},(81,155):{'3_1':0.0},(81,154):{'3_1':0.0},(82,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(82,458):{'3_1':0.9,'5_2':0.0,'8_14':0.0},(82,457):{'3_1':0.9,'8_2':0.0},(82,456):{'3_1':0.9,'5_1':0.0,'-3':0.0,'8_2':0.0},(82,455):{'3_1':0.87,'8_2':0.03,'5_1':0.03,'-3':0.0,'6_2':0.0},(82,454):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(82,453):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(82,452):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(82,451):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0},(82,450):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'6_2':0.0},(82,449):{'3_1':0.84,'8_2':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(82,448):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_2':0.0,'6_2':0.0},(82,447):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(82,446):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'7_1':0.0},(82,445):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0},(82,444):{'3_1':0.87,'5_1':0.03,'7_3':0.0,'5_2':0.0,'8_2':0.0,'8_10':0.0,'-3':0.0},(82,443):{'3_1':0.9,'7_1':0.0,'5_1':0.0,'6_2':0.0,'8_9':0.0},(82,442):{'3_1':0.84,'5_1':0.06,'8_2':0.03,'6_2':0.0,'-3':0.0},(82,441):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0,'8_2':0.0,'8_9':0.0},(82,440):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'7_3':0.0},(82,439):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0,'7_3':0.0},(82,438):{'3_1':0.84,'5_1':0.06,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(82,437):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0},(82,436):{'3_1':0.84,'5_1':0.06,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(82,435):{'3_1':0.84,'5_1':0.03,'8_11':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_19':0.0},(82,434):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0},(82,433):{'3_1':0.87,'6_2':0.03,'8_2':0.0,'5_2':0.0,'-3':0.0,'5_1':0.0},(82,432):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(82,431):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(82,430):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(82,429):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'6_2':0.0,'7_1':0.0},(82,428):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(82,427):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(82,426):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'5_2':0.0,'-3':0.0},(82,425):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'8_2':0.0},(82,424):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(82,423):{'3_1':0.78,'5_1':0.06,'8_2':0.06,'5_2':0.03,'-3':0.0},(82,422):{'3_1':0.81,'5_1':0.06,'7_1':0.0,'8_2':0.0,'5_2':0.0,'-3':0.0},(82,421):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'7_3':0.0,'8_5':0.0,'8_19':0.0,'-3':0.0},(82,420):{'3_1':0.72,'5_1':0.09,'-3':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'7_1':0.0},(82,419):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'8_2':0.03,'-3':0.0,'5_2':0.0,'7_1':0.0},(82,418):{'3_1':0.78,'5_1':0.09,'6_2':0.0,'-3':0.0,'5_2':0.0,'8_2':0.0},(82,417):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'7_3':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0,'8_5':0.0},(82,416):{'3_1':0.81,'5_1':0.06,'-3':0.03,'5_2':0.0,'8_2':0.0},(82,415):{'3_1':0.78,'8_2':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.03,'-3':0.0},(82,414):{'3_1':0.75,'8_2':0.06,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(82,413):{'3_1':0.66,'5_1':0.21,'8_2':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'1':-0.03},(82,412):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'8_14':0.0,'-3':0.0},(82,411):{'3_1':0.63,'5_1':0.18,'5_2':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0},(82,410):{'3_1':0.75,'8_2':0.03,'5_1':0.03,'5_2':0.03,'-3':0.0,'8_11':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_1':0.0},(82,409):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'6_2':0.03,'7_5':0.0,'8_2':0.0,'-3':0.0,'8_9':0.0},(82,408):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(82,407):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0,'-3':0.0},(82,406):{'3_1':0.78,'8_2':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0},(82,405):{'3_1':0.78,'5_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_14':0.0},(82,404):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0},(82,403):{'3_1':0.81,'-3':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_11':0.0},(82,402):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'6_2':0.0,'8_11':0.0,'-3':0.0},(82,401):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(82,400):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_5':0.0,'-3':0.0},(82,399):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'8_2':0.0},(82,398):{'3_1':0.78,'8_2':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'8_14':0.0},(82,397):{'3_1':0.81,'6_2':0.03,'5_1':0.0,'8_2':0.0,'4_1':0.0,'-3':0.0},(82,396):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'4_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'8_6':0.0},(82,395):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'8_2':0.0},(82,394):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_11':0.0,'8_14':0.0},(82,393):{'3_1':0.69,'5_1':0.03,'6_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_10':0.0},(82,392):{'3_1':0.63,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_6':0.0},(82,391):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0,'8_21|3_1#4_1':0.0},(82,390):{'3_1':0.66,'5_1':0.06,'6_2':0.0,'8_2':0.0},(82,389):{'3_1':0.45,'6_2':0.03,'4_1':0.0,'5_1':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'8_6':0.0,'8_11':0.0,'-3':0.0},(82,388):{'3_1':0.36,'6_2':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_11':0.0},(82,387):{'3_1':0.33,'4_1':0.03,'6_2':0.0,'5_1':0.0,'-3':0.0,'8_2':0.0},(82,386):{'3_1':0.21,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_14':0.0,'-3':0.0},(82,385):{'3_1':0.18,'4_1':0.03,'6_2':0.03,'5_1':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(82,384):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0,'8_2':0.0,'8_11':0.0,'8_14':0.0},(82,383):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'8_11':0.0,'-3':0.0},(82,382):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(82,381):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(82,380):{'3_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(82,379):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(82,378):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'5_1':0.0},(82,377):{'3_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_3':0.0},(82,376):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(82,375):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(82,374):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(82,373):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'7_7':0.0},(82,372):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(82,371):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_7':0.0},(82,370):{'3_1':0.06,'6_2':0.0},(82,369):{'3_1':0.03,'4_1':0.0},(82,368):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(82,367):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0},(82,366):{'3_1':0.03,'6_2':0.0,'8_9':0.0,'6_1':0.0},(82,365):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'8_9':0.0},(82,364):{'3_1':0.09,'6_2':0.0,'4_1':0.0},(82,363):{'3_1':0.0,'4_1':0.0},(82,362):{'3_1':0.09,'6_2':0.0,'4_1':0.0},(82,361):{'3_1':0.03,'6_2':0.0,'4_1':0.0},(82,360):{'3_1':0.0,'6_2':0.0,'6_3':0.0},(82,359):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(82,358):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'8_9':0.0},(82,357):{'6_2':0.03,'3_1':0.0,'8_2':0.0},(82,356):{'3_1':0.0,'6_2':0.0},(82,355):{'3_1':0.03,'6_2':0.0,'4_1':0.0},(82,354):{'3_1':0.03},(82,353):{'3_1':0.03,'6_2':0.0,'8_9':0.0},(82,352):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(82,351):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(82,350):{'3_1':0.0,'6_2':0.0},(82,349):{'3_1':0.06,'5_2':0.0},(82,348):{'3_1':0.0,'8_2':0.0},(82,347):{'3_1':0.03},(82,346):{'3_1':0.0},(82,345):{'3_1':0.0},(82,344):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(82,343):{'3_1':0.03},(82,342):{'3_1':0.06},(82,341):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(82,340):{'3_1':0.06,'5_2':0.0,'-3':0.0},(82,339):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(82,338):{'3_1':0.03,'8_20|3_1#3_1':0.0},(82,337):{'3_1':0.0},(82,336):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(82,335):{'3_1':0.0},(82,334):{'3_1':0.03,'8_20|3_1#3_1':0.0},(82,333):{'3_1':0.0,'8_20|3_1#3_1':0.0},(82,332):{'3_1':0.09,'4_1':0.0},(82,331):{'3_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(82,330):{'3_1':0.03,'5_2':0.0},(82,329):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(82,328):{'3_1':0.03,'5_1':0.0},(82,327):{'3_1':0.03},(82,326):{'3_1':0.03,'8_20|3_1#3_1':0.0},(82,325):{'3_1':0.06},(82,324):{'3_1':0.0,'4_1':0.0},(82,323):{'3_1':0.0},(82,322):{'3_1':0.03,'5_2':0.0},(82,321):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(82,320):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(82,319):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_11':0.0},(82,318):{'3_1':0.03,'-3':0.0},(82,317):{'3_1':0.03},(82,316):{'3_1':0.03,'6_2':0.0,'6_3':0.0},(82,315):{'3_1':0.06},(82,314):{'3_1':0.03,'5_2':0.0,'8_6':0.0},(82,313):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(82,312):{'3_1':0.06},(82,311):{'3_1':0.03,'4_1':0.0},(82,310):{'3_1':0.03,'5_2':0.0},(82,309):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(82,308):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(82,307):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(82,306):{'3_1':0.03,'5_1':0.0},(82,305):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(82,304):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(82,303):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'7_6':0.0},(82,302):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(82,301):{'3_1':0.03,'5_1':0.0},(82,300):{'3_1':0.06,'5_1':0.0},(82,299):{'3_1':0.06,'5_1':0.0},(82,298):{'3_1':0.03},(82,297):{'3_1':0.03,'5_1':0.0},(82,296):{'3_1':0.03},(82,295):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(82,294):{'3_1':0.03,'5_1':0.0},(82,293):{'3_1':0.03},(82,292):{'3_1':0.0,'5_2':0.0},(82,291):{'3_1':0.03,'5_1':0.0},(82,290):{'3_1':0.06,'4_1':0.0},(82,289):{'3_1':0.0,'-3':0.0},(82,288):{'3_1':0.03},(82,287):{'3_1':0.03,'5_1':0.0},(82,286):{'3_1':0.03},(82,285):{'3_1':0.03,'5_1':0.0},(82,284):{'3_1':0.03},(82,283):{'3_1':0.0},(82,282):{'3_1':0.03},(82,281):{'3_1':0.03},(82,280):{'3_1':0.06,'5_2':0.0},(82,279):{'3_1':0.0},(82,278):{'3_1':0.03},(82,277):{'3_1':0.03,'5_2':0.0},(82,276):{'3_1':0.03},(82,275):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(82,274):{'3_1':0.0},(82,273):{'3_1':0.0,'5_2':0.0},(82,272):{'5_1':0.0},(82,271):{'3_1':0.03,'5_2':0.0},(82,269):{'3_1':0.0},(82,268):{'3_1':0.0},(82,267):{'3_1':0.0,'4_1':0.0},(82,266):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(82,265):{'3_1':0.0},(82,264):{'3_1':0.0},(82,263):{'3_1':0.06},(82,262):{'3_1':0.03},(82,261):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(82,260):{'3_1':0.06,'4_1':0.0},(82,259):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(82,258):{'3_1':0.0,'4_1':0.0},(82,257):{'3_1':0.0,'4_1':0.0},(82,256):{'3_1':0.0,'4_1':0.0},(82,255):{'3_1':0.0,'4_1':0.0},(82,254):{'3_1':0.0,'5_2':0.0,'7_5':0.0},(82,253):{'3_1':0.03,'4_1':0.0},(82,252):{'3_1':0.0,'4_1':0.0},(82,251):{'3_1':0.03},(82,250):{'3_1':0.0},(82,249):{'3_1':0.0},(82,248):{'3_1':0.0,'5_1':0.0},(82,247):{'3_1':0.03,'4_1':0.0},(82,246):{'3_1':0.06},(82,245):{'3_1':0.03},(82,244):{'3_1':0.0,'4_1':0.0},(82,243):{'3_1':0.03},(82,242):{'3_1':0.03},(82,240):{'3_1':0.03},(82,239):{'3_1':0.0,'4_1':0.0},(82,238):{'3_1':0.0},(82,237):{'3_1':0.0,'4_1':0.0},(82,236):{'3_1':0.0,'5_1':0.0},(82,235):{'3_1':0.03},(82,234):{'3_1':0.09},(82,233):{'3_1':0.03},(82,232):{'3_1':0.0},(82,231):{'3_1':0.03},(82,230):{'3_1':0.0},(82,229):{'3_1':0.03},(82,228):{'3_1':0.0},(82,227):{'3_1':0.0,'4_1':0.0},(82,226):{'3_1':0.03},(82,225):{'3_1':0.0},(82,224):{'3_1':0.06},(82,223):{'4_1':0.0,'3_1':0.0},(82,222):{'3_1':0.0},(82,221):{'3_1':0.0},(82,219):{'3_1':0.0},(82,218):{'3_1':0.0},(82,217):{'3_1':0.0},(82,216):{'3_1':0.0},(82,215):{'3_1':0.0},(82,214):{'3_1':0.0},(82,213):{'3_1':0.0},(82,212):{'3_1':0.0},(82,211):{'3_1':0.03},(82,210):{'3_1':0.0},(82,209):{'3_1':0.03},(82,208):{'3_1':0.03},(82,207):{'3_1':0.03},(82,206):{'3_1':0.03},(82,205):{'3_1':0.0,'4_1':0.0},(82,204):{'3_1':0.0},(82,203):{'3_1':0.03},(82,202):{'3_1':0.0,'4_1':0.0},(82,201):{'3_1':0.0},(82,200):{'3_1':0.06},(82,199):{'3_1':0.03},(82,198):{'3_1':0.03},(82,197):{'3_1':0.0},(82,196):{'3_1':0.0},(82,195):{'3_1':0.03},(82,194):{'3_1':0.03},(82,193):{'3_1':0.03},(82,192):{'3_1':0.03},(82,191):{'3_1':0.03},(82,190):{'3_1':0.03},(82,189):{'3_1':0.0},(82,188):{'3_1':0.03},(82,187):{'3_1':0.0},(82,186):{'3_1':0.0},(82,185):{'3_1':0.0},(82,184):{'3_1':0.09},(82,183):{'3_1':0.03},(82,182):{'3_1':0.0},(82,181):{'3_1':0.0},(82,180):{'3_1':0.0},(82,176):{'3_1':0.0},(82,175):{'3_1':0.0},(82,171):{'3_1':0.0},(82,170):{'3_1':0.0},(82,163):{'3_1':0.0},(82,156):{'3_1':0.0},(82,151):{'4_1':0.0},(82,150):{'3_1':0.0},(83,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0},(83,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'-3':0.0},(83,457):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(83,456):{'3_1':0.9,'6_2':0.0,'-3':0.0,'7_1':0.0,'3_1#5_2':0.0},(83,455):{'3_1':0.9,'8_2':0.03,'5_1':0.0,'5_2':0.0},(83,454):{'3_1':0.9,'7_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(83,453):{'3_1':0.87,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(83,452):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0},(83,451):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(83,450):{'3_1':0.9,'8_2':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(83,449):{'3_1':0.87,'6_2':0.0,'8_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(83,448):{'3_1':0.9,'8_2':0.0,'5_2':0.0,'-3':0.0,'5_1':0.0},(83,447):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_2':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(83,446):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(83,445):{'3_1':0.87,'8_2':0.0,'8_4':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(83,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_4':0.0,'-3':0.0},(83,443):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(83,442):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(83,441):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(83,440):{'3_1':0.84,'5_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_1':0.0,'8_11':0.0},(83,439):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'8_11':0.0},(83,438):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(83,437):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'8_5':0.0},(83,436):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'8_2':0.0},(83,435):{'3_1':0.87,'5_1':0.03,'8_2':0.03},(83,434):{'3_1':0.84,'8_2':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(83,433):{'3_1':0.81,'6_2':0.03,'8_2':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0},(83,432):{'3_1':0.84,'5_1':0.06,'8_2':0.03,'6_2':0.0},(83,431):{'3_1':0.84,'5_1':0.06,'8_2':0.03,'6_2':0.0,'8_5':0.0,'8_21|3_1#4_1':0.0},(83,430):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0},(83,429):{'3_1':0.84,'5_1':0.03,'-3':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(83,428):{'3_1':0.84,'5_1':0.06,'8_2':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(83,427):{'3_1':0.84,'5_1':0.06,'6_2':0.0,'5_2':0.0,'8_2':0.0,'8_6':0.0},(83,426):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0,'-3':0.0},(83,425):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0},(83,424):{'3_1':0.75,'5_1':0.03,'7_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(83,423):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(83,422):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0,'8_9':0.0,'-3':0.0},(83,421):{'3_1':0.75,'8_2':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0,'-3':0.0},(83,420):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(83,419):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0},(83,418):{'3_1':0.78,'5_1':0.09,'8_2':0.0,'5_2':0.0,'-3':0.0,'8_6':0.0},(83,417):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0},(83,416):{'3_1':0.75,'5_2':0.06,'5_1':0.03,'8_2':0.03,'8_6':0.0,'-3':0.0},(83,415):{'3_1':0.69,'5_2':0.03,'6_2':0.03,'5_1':0.03,'7_1':0.0,'8_2':0.0,'-3':0.0,'8_11':0.0,'8_19':0.0},(83,414):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'6_2':0.03,'7_3':0.0},(83,413):{'3_1':0.63,'5_1':0.15,'8_2':0.03,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_5':0.0},(83,412):{'3_1':0.66,'5_1':0.09,'8_2':0.03,'6_2':0.03,'-3':0.03,'5_2':0.0},(83,411):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'8_2':0.03,'-3':0.0,'5_2':0.0,'7_1':0.0},(83,410):{'3_1':0.66,'5_1':0.12,'6_2':0.06,'5_2':0.03,'8_2':0.0,'8_19':0.0,'-3':0.0},(83,409):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'7_1':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0},(83,408):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'1':-0.03},(83,407):{'3_1':0.75,'5_1':0.12,'6_2':0.03,'5_2':0.0,'8_2':0.0},(83,406):{'3_1':0.78,'5_1':0.09,'6_2':0.03,'5_2':0.0},(83,405):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'6_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(83,404):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'4_1':0.0},(83,403):{'3_1':0.78,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(83,402):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(83,401):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0},(83,400):{'3_1':0.84,'-3':0.03,'8_2':0.0,'5_2':0.0,'4_1':0.0},(83,399):{'3_1':0.9,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0},(83,398):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_1':0.0,'8_2':0.0},(83,397):{'3_1':0.75,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(83,396):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(83,395):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'-3':0.0},(83,394):{'3_1':0.69,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0,'7_6':0.0,'8_2':0.0},(83,393):{'3_1':0.66,'5_1':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(83,392):{'3_1':0.63,'5_1':0.03,'4_1':0.0,'8_6':0.0,'-3':0.0},(83,391):{'3_1':0.6,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_6':0.0,'8_2':0.0,'8_14':0.0,'-3':0.0},(83,390):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(83,389):{'3_1':0.42,'6_2':0.03,'5_1':0.0,'-3':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(83,388):{'3_1':0.42,'5_1':0.09,'4_1':0.0,'8_2':0.0,'6_2':0.0,'8_9':0.0,'8_11':0.0,'-3':0.0,'1':-0.03},(83,387):{'3_1':0.3,'6_2':0.03,'4_1':0.03,'8_2':0.0,'-3':0.0,'5_1':0.0,'8_9':0.0},(83,386):{'3_1':0.27,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(83,385):{'3_1':0.3,'6_2':0.0,'8_2':0.0,'4_1':0.0},(83,384):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(83,383):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(83,382):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_1':0.0},(83,381):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'6_1':0.0,'8_2':0.0,'5_1':0.0,'5_2':0.0,'8_11':0.0,'8_16':0.0},(83,380):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_9':0.0},(83,379):{'3_1':0.09,'4_1':0.06,'7_7':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0},(83,378):{'5_2':0.06,'3_1':0.03,'7_6':0.0,'8_9':0.0,'8_11':0.0},(83,377):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(83,376):{'4_1':0.03,'3_1':0.0,'6_2':0.0,'8_9':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(83,375):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(83,374):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_9':0.0,'8_11':0.0},(83,373):{'3_1':0.06,'4_1':0.0,'8_4':0.0},(83,372):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(83,371):{'3_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(83,370):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_2':0.0},(83,369):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_1':0.0},(83,368):{'3_1':0.12,'6_2':0.0,'5_1':0.0},(83,367):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(83,366):{'3_1':0.06,'6_2':0.03},(83,365):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(83,364):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(83,363):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_4':0.0},(83,362):{'3_1':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(83,361):{'3_1':0.03,'6_2':0.0,'8_9':0.0},(83,360):{'6_2':0.0,'3_1':0.0,'4_1':0.0},(83,359):{'3_1':0.03,'6_2':0.0,'6_3':0.0},(83,358):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(83,357):{'3_1':0.0,'8_9':0.0},(83,356):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(83,355):{'3_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(83,354):{'3_1':0.0,'4_1':0.0,'8_9':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(83,353):{'3_1':0.0,'4_1':0.0},(83,352):{'3_1':0.0,'4_1':0.0},(83,351):{'3_1':0.03,'6_2':0.0},(83,350):{'3_1':0.0},(83,349):{'4_1':0.0,'7_7':0.0},(83,348):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(83,347):{'3_1':0.0},(83,346):{'3_1':0.03,'4_1':0.0},(83,345):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(83,344):{'3_1':0.0},(83,343):{'3_1':0.03},(83,342):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(83,341):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(83,340):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(83,339):{'3_1':0.0,'6_2':0.0},(83,338):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(83,337):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(83,336):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_18':0.0},(83,335):{'3_1':0.03},(83,334):{'3_1':0.0,'4_1':0.0},(83,333):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(83,332):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(83,331):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(83,330):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(83,329):{'3_1':0.06,'4_1':0.0},(83,328):{'3_1':0.0,'8_20|3_1#3_1':0.0},(83,327):{'3_1':0.03},(83,326):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(83,325):{'3_1':0.0,'4_1':0.0},(83,324):{'3_1':0.0,'4_1':0.0,'8_11':0.0},(83,323):{'3_1':0.0},(83,322):{'3_1':0.03,'6_2':0.0},(83,321):{'3_1':0.03},(83,320):{'3_1':0.0},(83,319):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(83,318):{'3_1':0.0,'4_1':0.0},(83,317):{'3_1':0.03,'8_6':0.0},(83,316):{'3_1':0.03,'4_1':0.0},(83,315):{'3_1':0.0,'8_6':0.0},(83,314):{'3_1':0.0,'6_2':0.0,'4_1':0.0},(83,313):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(83,312):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(83,311):{'3_1':0.03},(83,310):{'3_1':0.0},(83,309):{'3_1':0.03,'5_2':0.0},(83,308):{'3_1':0.0},(83,307):{'3_1':0.03,'5_1':0.0,'-3':0.0},(83,306):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(83,305):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(83,304):{'3_1':0.09},(83,303):{'5_1':0.0,'3_1':0.0,'5_2':0.0},(83,302):{'3_1':0.0,'5_1':0.0},(83,301):{'3_1':0.03},(83,300):{'3_1':0.03,'4_1':0.0},(83,299):{'3_1':0.03},(83,298):{'3_1':0.06,'5_2':0.0},(83,297):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(83,296):{'3_1':0.06},(83,295):{'3_1':0.03,'4_1':0.0},(83,294):{'3_1':0.09},(83,293):{'3_1':0.0,'5_2':0.0},(83,292):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(83,291):{'3_1':0.0,'5_1':0.0},(83,290):{'3_1':0.03},(83,289):{'3_1':0.06,'5_2':0.0},(83,288):{'3_1':0.03,'5_2':0.0},(83,287):{'3_1':0.03},(83,286):{'3_1':0.09},(83,285):{'3_1':0.0},(83,284):{'3_1':0.03},(83,283):{'3_1':0.03},(83,282):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(83,281):{'3_1':0.03},(83,280):{'3_1':0.06},(83,279):{'3_1':0.0,'5_1':0.0},(83,278):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(83,277):{'3_1':0.03},(83,276):{'3_1':0.0},(83,275):{'3_1':0.0,'5_1':0.0},(83,274):{'3_1':0.0},(83,273):{'3_1':0.0,'4_1':0.0},(83,272):{'3_1':0.0},(83,270):{'3_1':0.03},(83,269):{'3_1':0.0},(83,267):{'3_1':0.0},(83,266):{'3_1':0.0,'3_1#5_1':0.0},(83,265):{'3_1':0.03,'4_1':0.0},(83,264):{'3_1':0.03},(83,263):{'3_1':0.0},(83,262):{'3_1':0.03},(83,261):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(83,260):{'3_1':0.0,'4_1':0.0},(83,259):{'3_1':0.0,'4_1':0.0},(83,258):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(83,257):{'3_1':0.03},(83,256):{'3_1':0.0},(83,254):{'3_1':0.0,'4_1':0.0},(83,253):{'3_1':0.0},(83,252):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(83,251):{'3_1':0.03,'4_1':0.0},(83,250):{'3_1':0.03},(83,249):{'3_1':0.03},(83,248):{'3_1':0.03},(83,247):{'3_1':0.0},(83,246):{'3_1':0.06},(83,245):{'3_1':0.03,'5_1':0.0},(83,244):{'3_1':0.06},(83,243):{'3_1':0.03,'5_1':0.0},(83,242):{'3_1':0.03},(83,241):{'3_1':0.03,'4_1':0.0},(83,240):{'3_1':0.03},(83,239):{'3_1':0.0,'5_2':0.0},(83,238):{'3_1':0.0},(83,237):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(83,236):{'3_1':0.03},(83,235):{'3_1':0.03,'5_2':0.0},(83,234):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(83,233):{'3_1':0.0},(83,232):{'3_1':0.03},(83,231):{'3_1':0.06,'5_2':0.0},(83,230):{'3_1':0.0},(83,229):{'3_1':0.03},(83,228):{'3_1':0.0},(83,227):{'3_1':0.0},(83,226):{'3_1':0.0},(83,225):{'3_1':0.0,'4_1':0.0},(83,224):{'3_1':0.03},(83,223):{'3_1':0.0},(83,222):{'3_1':0.06,'5_2':0.0},(83,221):{'3_1':0.0},(83,220):{'3_1':0.03,'4_1':0.0},(83,219):{'3_1':0.0},(83,218):{'3_1':0.0,'5_2':0.0},(83,217):{'3_1':0.0},(83,216):{'3_1':0.0},(83,215):{'3_1':0.0,'4_1':0.0},(83,214):{'3_1':0.0},(83,213):{'3_1':0.0},(83,212):{'3_1':0.0},(83,211):{'3_1':0.0,'6_3':0.0},(83,210):{'3_1':0.03},(83,209):{'3_1':0.03},(83,208):{'3_1':0.03,'4_1':0.0},(83,207):{'3_1':0.0},(83,206):{'3_1':0.06},(83,205):{'3_1':0.0,'5_2':0.0},(83,204):{'3_1':0.06,'4_1':0.0},(83,203):{'3_1':0.0},(83,202):{'3_1':0.03},(83,201):{'3_1':0.03},(83,200):{'3_1':0.0},(83,199):{'3_1':0.06},(83,198):{'3_1':0.03,'4_1':0.0},(83,197):{'3_1':0.03},(83,196):{'3_1':0.03,'4_1':0.0},(83,195):{'3_1':0.03},(83,194):{'3_1':0.0},(83,193):{'3_1':0.0},(83,192):{'3_1':0.0},(83,191):{'3_1':0.03},(83,190):{'3_1':0.03},(83,189):{'3_1':0.0},(83,188):{'3_1':0.03},(83,187):{'3_1':0.0},(83,186):{'3_1':0.0},(83,185):{'3_1':0.0},(83,184):{'3_1':0.0},(83,183):{'3_1':0.03},(83,182):{'3_1':0.0},(83,179):{'3_1':0.0},(83,178):{'4_1':0.0},(83,176):{'3_1':0.0},(83,171):{'3_1':0.0},(83,169):{'3_1':0.0},(83,167):{'3_1':0.0},(83,166):{'3_1':0.0},(83,160):{'3_1':0.0},(83,158):{'3_1':0.0},(83,155):{'3_1':0.0},(83,153):{'3_1':0.0},(84,459):{'3_1':0.9,'6_2':0.0,'-3':0.0},(84,458):{'3_1':0.9,'6_2':0.0,'5_2':0.0,'8_2':0.0},(84,457):{'3_1':0.87,'6_2':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0},(84,456):{'3_1':0.9,'5_1':0.03,'7_3':0.0,'8_2':0.0},(84,455):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(84,454):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(84,453):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'8_2':0.0},(84,452):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(84,451):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0},(84,450):{'3_1':0.9,'5_1':0.03,'-3':0.0,'8_2':0.0},(84,449):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'5_2':0.0,'-3':0.0},(84,448):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(84,447):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'5_2':0.0},(84,446):{'3_1':0.87,'8_2':0.0,'5_2':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0},(84,445):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0},(84,444):{'3_1':0.84,'5_1':0.06,'6_2':0.0,'5_2':0.0,'8_2':0.0},(84,443):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(84,442):{'3_1':0.9,'5_1':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(84,441):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'5_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(84,440):{'3_1':0.9,'5_1':0.0,'7_3':0.0,'8_2':0.0},(84,439):{'3_1':0.87,'8_2':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(84,438):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'5_2':0.0,'-3':0.0},(84,437):{'3_1':0.84,'8_2':0.06,'5_2':0.0,'6_2':0.0,'-3':0.0},(84,436):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0},(84,435):{'3_1':0.84,'8_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(84,434):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'7_1':0.0},(84,433):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'8_2':0.0},(84,432):{'3_1':0.87,'5_1':0.09,'8_2':0.0},(84,431):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(84,430):{'3_1':0.81,'8_2':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(84,429):{'3_1':0.84,'6_2':0.03,'5_1':0.0,'8_2':0.0,'-3':0.0},(84,428):{'3_1':0.81,'8_2':0.03,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_14':0.0},(84,427):{'3_1':0.87,'8_2':0.03,'5_1':0.0,'6_2':0.0,'8_14':0.0},(84,426):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0},(84,425):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(84,424):{'3_1':0.72,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(84,423):{'3_1':0.81,'5_1':0.06,'6_2':0.03,'8_2':0.0,'7_1':0.0,'-3':0.0},(84,422):{'3_1':0.75,'5_1':0.03,'8_2':0.03,'-3':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(84,421):{'3_1':0.66,'5_1':0.06,'7_1':0.03,'8_2':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(84,420):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'6_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(84,419):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(84,418):{'3_1':0.78,'5_1':0.03,'-3':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0},(84,417):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_14':0.0},(84,416):{'3_1':0.66,'5_1':0.18,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_3':0.0},(84,415):{'3_1':0.69,'5_1':0.09,'-3':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_5':0.0},(84,414):{'3_1':0.66,'5_1':0.15,'-3':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_3':0.0,'7_4':0.0},(84,413):{'3_1':0.72,'5_1':0.06,'8_2':0.03,'5_2':0.03,'6_2':0.03,'-3':0.0},(84,412):{'3_1':0.66,'5_1':0.12,'6_2':0.06,'8_2':0.03,'5_2':0.0,'-3':0.0,'7_1':0.0},(84,411):{'3_1':0.63,'5_1':0.12,'6_2':0.03,'8_2':0.03,'5_2':0.03,'-3':0.0,'7_1':0.0,'8_14':0.0},(84,410):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_2':0.03,'-3':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(84,409):{'3_1':0.66,'5_1':0.15,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_9':0.0},(84,408):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0,'8_14':0.0,'-3':0.0},(84,407):{'3_1':0.72,'5_2':0.03,'5_1':0.03,'6_2':0.03,'8_2':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'8_14':0.0},(84,406):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_14':0.0},(84,405):{'3_1':0.78,'5_1':0.09,'6_2':0.0,'-3':0.0,'5_2':0.0,'8_2':0.0},(84,404):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0,'8_14':0.0},(84,403):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'8_2':0.0},(84,402):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(84,401):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_2':0.0},(84,400):{'3_1':0.78,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(84,399):{'3_1':0.78,'5_1':0.0,'-3':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0},(84,398):{'3_1':0.81,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_9':0.0,'-3':0.0},(84,397):{'3_1':0.72,'5_1':0.06,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_14':0.0,'8_2':0.0,'-3':0.0},(84,396):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'8_2':0.0,'5_2':0.0,'8_16':0.0,'8_19':0.0,'-3':0.0},(84,395):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_2':0.0},(84,394):{'3_1':0.75,'5_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0},(84,393):{'3_1':0.63,'5_1':0.06,'8_2':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_9':0.0,'-3':0.0},(84,392):{'3_1':0.57,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(84,391):{'3_1':0.57,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0,'7_1':0.0,'8_6':0.0},(84,390):{'3_1':0.57,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_2':0.0},(84,389):{'3_1':0.57,'4_1':0.03,'5_1':0.03,'6_2':0.0,'8_2':0.0,'8_19':0.0},(84,388):{'3_1':0.42,'5_1':0.06,'-3':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_16':0.0,'1':-0.03},(84,387):{'3_1':0.33,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_9':0.0,'8_14':0.0},(84,386):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(84,385):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_6':0.0,'8_2':0.0,'8_4':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0},(84,384):{'3_1':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_9':0.0},(84,383):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0,'8_8':0.0,'8_9':0.0},(84,382):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'8_9':0.0,'8_11':0.0,'-3':0.0},(84,381):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'8_19':0.0},(84,380):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_9':0.0},(84,379):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_9':0.0,'8_11':0.0},(84,378):{'4_1':0.03,'5_2':0.0,'3_1':0.0,'6_2':0.0,'8_11':0.0,'8_15':0.0},(84,377):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0},(84,376):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'8_9':0.0},(84,375):{'3_1':0.06,'4_1':0.06,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(84,374):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0},(84,373):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(84,372):{'3_1':0.03,'4_1':0.0},(84,371):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_9':0.0,'-3':0.0},(84,370):{'3_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(84,369):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_15':0.0},(84,368):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_9':0.0},(84,367):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'8_11':0.0},(84,366):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0,'8_11':0.0,'-3':0.0},(84,365):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_9':0.0},(84,364):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0},(84,363):{'3_1':0.03,'6_2':0.0,'8_11':0.0,'-3':0.0},(84,362):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(84,361):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_6':0.0},(84,360):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(84,359):{'3_1':0.03,'4_1':0.0,'7_2':0.0,'8_11':0.0},(84,358):{'3_1':0.03,'8_9':0.0,'4_1':0.0},(84,357):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_9':0.0},(84,356):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_11':0.0},(84,355):{'3_1':0.03,'6_2':0.0,'4_1':0.0},(84,354):{'3_1':0.0,'4_1':0.0,'7_3':0.0,'8_9':0.0},(84,353):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'8_11':0.0},(84,352):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_9':0.0},(84,351):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(84,350):{'3_1':0.0,'6_2':0.0,'8_11':0.0},(84,349):{'3_1':0.0,'4_1':0.0},(84,348):{'3_1':0.0,'4_1':0.0},(84,347):{'3_1':0.03,'4_1':0.0},(84,346):{'3_1':0.0,'4_1':0.0,'8_9':0.0},(84,345):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(84,344):{'3_1':0.03,'5_2':0.0},(84,343):{'3_1':0.03},(84,342):{'4_1':0.0,'3_1':0.0,'8_8':0.0},(84,341):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(84,340):{'3_1':0.0},(84,339):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(84,338):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(84,337):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(84,336):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_10':0.0},(84,335):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(84,334):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(84,333):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(84,332):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(84,331):{'3_1':0.03,'5_2':0.0},(84,330):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(84,329):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(84,328):{'3_1':0.03,'4_1':0.0},(84,327):{'3_1':0.0},(84,326):{'3_1':0.0,'4_1':0.0},(84,325):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(84,324):{'3_1':0.0,'4_1':0.0,'8_14':0.0},(84,323):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(84,322):{'3_1':0.0,'5_2':0.0,'7_5':0.0},(84,321):{'3_1':0.03},(84,320):{'3_1':0.03,'6_2':0.0},(84,319):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(84,318):{'3_1':0.0,'4_1':0.0},(84,317):{'3_1':0.0,'4_1':0.0},(84,316):{'3_1':0.0,'4_1':0.0},(84,314):{'3_1':0.06},(84,313):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_6':0.0},(84,312):{'3_1':0.0,'4_1':0.0},(84,311):{'3_1':0.0},(84,310):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(84,309):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(84,308):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(84,307):{'3_1':0.03,'4_1':0.0},(84,306):{'3_1':0.03},(84,305):{'3_1':0.0,'5_2':0.0},(84,304):{'3_1':0.0,'5_2':0.0},(84,303):{'3_1':0.03},(84,302):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(84,301):{'3_1':0.0},(84,300):{'3_1':0.0},(84,299):{'3_1':0.03,'5_2':0.0},(84,298):{'3_1':0.03,'5_2':0.0},(84,297):{'3_1':0.0,'5_2':0.0},(84,296):{'3_1':0.03,'5_1':0.0},(84,295):{'3_1':0.03,'5_2':0.0},(84,294):{'3_1':0.0},(84,293):{'3_1':0.03},(84,292):{'3_1':0.0},(84,291):{'3_1':0.0},(84,290):{'3_1':0.03,'5_2':0.0},(84,289):{'3_1':0.03,'4_1':0.0},(84,288):{'3_1':0.03},(84,287):{'3_1':0.0,'7_6':0.0},(84,286):{'3_1':0.0,'4_1':0.0},(84,285):{'3_1':0.0},(84,284):{'3_1':0.03,'4_1':0.0},(84,283):{'3_1':0.03,'5_2':0.0},(84,282):{'3_1':0.03},(84,281):{'3_1':0.0},(84,280):{'3_1':0.0,'5_2':0.0},(84,279):{'3_1':0.0,'5_2':0.0},(84,278):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'7_6':0.0},(84,277):{'3_1':0.03},(84,276):{'3_1':0.0},(84,275):{'3_1':0.0},(84,274):{'3_1':0.0},(84,273):{'3_1':0.0,'5_2':0.0},(84,272):{'3_1':0.0,'4_1':0.0},(84,271):{'4_1':0.0},(84,270):{'3_1':0.0,'4_1':0.0},(84,269):{'3_1':0.0},(84,268):{'3_1':0.06,'4_1':0.0},(84,267):{'3_1':0.0},(84,266):{'3_1':0.0,'4_1':0.0},(84,265):{'3_1':0.03},(84,264):{'3_1':0.0,'5_1':0.0},(84,263):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(84,262):{'3_1':0.0,'4_1':0.0},(84,261):{'3_1':0.03,'5_2':0.0},(84,260):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(84,259):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(84,258):{'3_1':0.06,'4_1':0.0},(84,257):{'3_1':0.0},(84,256):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(84,255):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(84,254):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(84,253):{'3_1':0.0},(84,252):{'3_1':0.0,'5_2':0.0},(84,251):{'3_1':0.03,'4_1':0.0},(84,250):{'3_1':0.0,'5_2':0.0},(84,249):{'3_1':0.0},(84,248):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(84,247):{'3_1':0.03},(84,246):{'3_1':0.03,'4_1':0.0},(84,245):{'3_1':0.03,'5_2':0.0},(84,244):{'3_1':0.0,'5_2':0.0},(84,243):{'3_1':0.03},(84,242):{'3_1':0.03},(84,241):{'3_1':0.06,'4_1':0.0},(84,240):{'3_1':0.0},(84,239):{'3_1':0.03},(84,238):{'3_1':0.0,'5_2':0.0},(84,237):{'3_1':0.0,'5_2':0.0},(84,236):{'3_1':0.0,'5_2':0.0},(84,235):{'3_1':0.03},(84,234):{'3_1':0.03},(84,233):{'3_1':0.0},(84,232):{'3_1':0.03},(84,230):{'3_1':0.03},(84,229):{'3_1':0.0},(84,228):{'3_1':0.0,'5_2':0.0},(84,227):{'3_1':0.0,'5_2':0.0},(84,226):{'3_1':0.06},(84,225):{'3_1':0.03},(84,224):{'3_1':0.03,'4_1':0.0},(84,223):{'3_1':0.06},(84,222):{'3_1':0.0},(84,221):{'3_1':0.03},(84,220):{'3_1':0.0},(84,219):{'3_1':0.03,'5_2':0.0},(84,217):{'3_1':0.0,'4_1':0.0},(84,216):{'3_1':0.0},(84,215):{'3_1':0.0},(84,214):{'3_1':0.0},(84,213):{'3_1':0.0},(84,211):{'3_1':0.0,'5_2':0.0},(84,210):{'3_1':0.03},(84,209):{'3_1':0.03},(84,208):{'3_1':0.03},(84,207):{'3_1':0.03},(84,206):{'3_1':0.0},(84,205):{'3_1':0.03,'5_1':0.0},(84,204):{'3_1':0.0},(84,203):{'3_1':0.0},(84,202):{'3_1':0.0},(84,201):{'3_1':0.03},(84,200):{'3_1':0.06},(84,199):{'3_1':0.03},(84,198):{'3_1':0.03},(84,197):{'3_1':0.03},(84,196):{'3_1':0.0},(84,195):{'3_1':0.03},(84,194):{'3_1':0.0},(84,193):{'3_1':0.0,'4_1':0.0},(84,192):{'3_1':0.0},(84,191):{'3_1':0.0},(84,190):{'3_1':0.0},(84,189):{'3_1':0.06},(84,188):{'3_1':0.0},(84,187):{'3_1':0.0},(84,186):{'3_1':0.0},(84,185):{'3_1':0.03},(84,184):{'3_1':0.03,'5_2':0.0},(84,183):{'3_1':0.0},(84,182):{'3_1':0.0},(84,181):{'3_1':0.0},(84,180):{'3_1':0.0},(84,178):{'3_1':0.0},(84,177):{'3_1':0.0},(84,176):{'3_1':0.0},(84,175):{'3_1':0.0},(84,171):{'3_1':0.0},(84,170):{'3_1':0.0},(84,166):{'3_1':0.0},(84,155):{'3_1':0.0},(84,151):{'3_1':0.0},(85,459):{'3_1':0.9,'5_1':0.03,'-3':0.0,'6_2':0.0,'8_2':0.0},(85,458):{'3_1':0.9,'6_2':0.0,'8_2':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(85,457):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'7_1':0.0},(85,456):{'3_1':0.9,'5_1':0.03,'6_2':0.0},(85,455):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(85,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(85,453):{'3_1':0.87,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(85,452):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_2':0.0},(85,451):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(85,450):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'-3':0.0},(85,449):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'5_2':0.0},(85,448):{'3_1':0.9,'5_1':0.0,'8_2':0.0},(85,447):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(85,446):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'6_3':0.0,'8_2':0.0,'-3':0.0},(85,445):{'3_1':0.87,'6_2':0.0,'8_2':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(85,444):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(85,443):{'3_1':0.84,'5_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0},(85,442):{'3_1':0.87,'-3':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(85,441):{'3_1':0.87,'8_2':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0,'-3':0.0},(85,440):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(85,439):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(85,438):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0,'8_11':0.0},(85,437):{'3_1':0.84,'5_1':0.03,'-3':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_6':0.0},(85,436):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0,'8_2':0.0},(85,435):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(85,434):{'3_1':0.9,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(85,433):{'3_1':0.84,'5_1':0.0,'-3':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0},(85,432):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'-3':0.0,'7_3':0.0,'8_11':0.0},(85,431):{'3_1':0.81,'6_2':0.03,'5_1':0.0,'8_2':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(85,430):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'8_14':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'-3':0.0},(85,429):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'6_2':0.0,'-3':0.0},(85,428):{'3_1':0.75,'5_2':0.03,'6_2':0.03,'8_2':0.03,'5_1':0.0,'-3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(85,427):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(85,426):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'6_3':0.0,'8_2':0.0},(85,425):{'3_1':0.75,'8_2':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'6_3':0.0,'8_11':0.0},(85,424):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(85,423):{'3_1':0.84,'5_2':0.03,'8_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(85,422):{'3_1':0.84,'8_2':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(85,421):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'-3':0.0,'8_2':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(85,420):{'3_1':0.81,'5_1':0.06,'6_2':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_14':0.0},(85,419):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_18':0.0},(85,418):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_3':0.0},(85,417):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(85,416):{'3_1':0.75,'5_1':0.12,'8_2':0.03,'6_2':0.03},(85,415):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'8_2':0.0,'-3':0.0},(85,414):{'3_1':0.72,'5_1':0.09,'8_2':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0,'1':-0.03},(85,413):{'3_1':0.69,'5_1':0.12,'6_2':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0},(85,412):{'3_1':0.69,'5_1':0.12,'6_2':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'7_2':0.0},(85,411):{'3_1':0.54,'5_1':0.21,'5_2':0.06,'8_2':0.06,'6_2':0.03,'7_1':0.0,'7_3':0.0},(85,410):{'3_1':0.69,'5_1':0.09,'8_2':0.06,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(85,409):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'6_3':0.0,'7_5':0.0},(85,408):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0},(85,407):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(85,406):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(85,405):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'-3':0.0,'5_2':0.0,'8_2':0.0},(85,404):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0},(85,403):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'8_11':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(85,402):{'3_1':0.81,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0},(85,401):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_5':0.0,'-3':0.0},(85,400):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0},(85,399):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(85,398):{'3_1':0.72,'5_2':0.03,'6_2':0.0,'8_2':0.0,'5_1':0.0},(85,397):{'3_1':0.75,'4_1':0.0,'7_6':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(85,396):{'3_1':0.75,'6_2':0.0,'8_2':0.0,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_3':0.0},(85,395):{'3_1':0.81,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(85,394):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(85,393):{'3_1':0.69,'5_1':0.06,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_9':0.0},(85,392):{'3_1':0.54,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0},(85,391):{'3_1':0.6,'5_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0},(85,390):{'3_1':0.54,'5_1':0.06,'6_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(85,389):{'3_1':0.39,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0,'8_2':0.0,'8_11':0.0},(85,388):{'3_1':0.33,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_9':0.0,'8_11':0.0},(85,387):{'3_1':0.33,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(85,386):{'3_1':0.24,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0},(85,385):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0},(85,384):{'3_1':0.12,'4_1':0.06,'6_2':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(85,383):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_1':0.0,'8_2':0.0},(85,382):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_9':0.0,'8_11':0.0,'-3':0.0},(85,381):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_9':0.0,'-3':0.0},(85,380):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(85,379):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(85,378):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(85,377):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(85,376):{'3_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(85,375):{'4_1':0.03,'3_1':0.03,'6_2':0.0},(85,374):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(85,373):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'7_2':0.0,'-3':0.0},(85,372):{'3_1':0.06,'6_2':0.0,'4_1':0.0},(85,371):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_9':0.0},(85,370):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(85,369):{'3_1':0.06,'4_1':0.0,'7_2':0.0,'7_4':0.0,'8_9':0.0},(85,368):{'3_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(85,367):{'3_1':0.09,'4_1':0.0},(85,366):{'6_2':0.03,'3_1':0.03,'6_3':0.0},(85,365):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(85,364):{'3_1':0.03,'4_1':0.03,'-3':0.0},(85,363):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_9':0.0},(85,362):{'3_1':0.0,'6_2':0.0,'5_2':0.0},(85,361):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(85,360):{'3_1':0.0,'6_2':0.0,'7_3':0.0},(85,359):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(85,358):{'3_1':0.03,'6_2':0.0,'8_9':0.0},(85,357):{'6_2':0.0,'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_9':0.0},(85,356):{'3_1':0.03,'4_1':0.0},(85,355):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(85,354):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(85,353):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(85,352):{'6_2':0.03,'3_1':0.0,'4_1':0.0,'8_9':0.0},(85,351):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0},(85,350):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(85,349):{'3_1':0.03,'5_2':0.0},(85,348):{'4_1':0.0,'3_1':0.0,'8_9':0.0},(85,347):{'3_1':0.0,'5_2':0.0},(85,346):{'3_1':0.0,'5_2':0.0},(85,345):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(85,344):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(85,343):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(85,342):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(85,341):{'3_1':0.0,'4_1':0.0},(85,340):{'3_1':0.06,'5_2':0.0},(85,339):{'3_1':0.0},(85,338):{'3_1':0.03,'5_2':0.0},(85,337):{'3_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(85,336):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_7':0.0},(85,335):{'3_1':0.03},(85,334):{'3_1':0.03,'5_2':0.0},(85,333):{'3_1':0.06},(85,332):{'3_1':0.06,'6_3':0.0},(85,331):{'4_1':0.0,'6_3':0.0,'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(85,330):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(85,329):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(85,328):{'3_1':0.0,'5_2':0.0},(85,327):{'3_1':0.0},(85,326):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(85,325):{'3_1':0.03,'5_2':0.0},(85,324):{'3_1':0.0,'5_2':0.0},(85,323):{'3_1':0.0},(85,322):{'3_1':0.03,'4_1':0.0},(85,321):{'3_1':0.03,'5_2':0.0},(85,320):{'3_1':0.0,'5_2':0.0},(85,319):{'3_1':0.03,'4_1':0.0},(85,318):{'3_1':0.03,'5_1':0.0},(85,317):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(85,316):{'3_1':0.0,'4_1':0.0},(85,315):{'3_1':0.03},(85,314):{'3_1':0.03,'6_2':0.0},(85,313):{'3_1':0.03},(85,312):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_4':0.0},(85,311):{'3_1':0.0,'4_1':0.0},(85,310):{'3_1':0.0,'4_1':0.0},(85,309):{'3_1':0.03,'5_2':0.0},(85,308):{'3_1':0.06},(85,307):{'3_1':0.0},(85,306):{'3_1':0.06},(85,305):{'3_1':0.0},(85,304):{'3_1':0.06,'5_2':0.0},(85,303):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(85,302):{'3_1':0.06,'5_1':0.0},(85,301):{'3_1':0.06,'4_1':0.0},(85,300):{'3_1':0.03,'5_2':0.0},(85,299):{'3_1':0.03,'5_1':0.0},(85,298):{'3_1':0.03},(85,297):{'3_1':0.03},(85,296):{'3_1':0.06},(85,295):{'3_1':0.03,'4_1':0.0},(85,294):{'3_1':0.0},(85,293):{'3_1':0.03,'4_1':0.0},(85,292):{'3_1':0.03,'5_2':0.0},(85,291):{'3_1':0.0,'5_2':0.0},(85,290):{'3_1':0.03,'5_1':0.0},(85,289):{'3_1':0.03,'4_1':0.0},(85,288):{'3_1':0.06},(85,287):{'3_1':0.03},(85,286):{'3_1':0.03,'5_2':0.0},(85,284):{'3_1':0.03,'5_1':0.0},(85,283):{'3_1':0.0},(85,282):{'3_1':0.03},(85,281):{'3_1':0.03},(85,279):{'3_1':0.0},(85,278):{'3_1':0.03,'4_1':0.0},(85,277):{'3_1':0.03},(85,276):{'3_1':0.0,'5_2':0.0},(85,275):{'3_1':0.03},(85,274):{'3_1':0.03},(85,273):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(85,272):{'3_1':0.03},(85,271):{'4_1':0.0,'3_1':0.0},(85,270):{'3_1':0.0},(85,269):{'3_1':0.0,'4_1':0.0},(85,268):{'4_1':0.0,'3_1':0.0},(85,267):{'3_1':0.0,'5_2':0.0},(85,266):{'3_1':0.0},(85,265):{'3_1':0.0,'4_1':0.0},(85,264):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(85,263):{'3_1':0.0,'4_1':0.0},(85,262):{'3_1':0.03,'5_2':0.0},(85,261):{'3_1':0.06,'4_1':0.0},(85,260):{'3_1':0.06,'4_1':0.0},(85,259):{'3_1':0.03,'5_2':0.0},(85,258):{'3_1':0.0,'5_2':0.0},(85,257):{'3_1':0.03},(85,256):{'3_1':0.0},(85,255):{'3_1':0.0,'7_2':0.0},(85,254):{'3_1':0.0},(85,253):{'3_1':0.0,'5_2':0.0},(85,252):{'3_1':0.03},(85,251):{'3_1':0.0},(85,250):{'3_1':0.0},(85,249):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(85,248):{'3_1':0.06},(85,247):{'3_1':0.0,'5_2':0.0},(85,246):{'3_1':0.03,'5_2':0.0},(85,245):{'3_1':0.03,'5_2':0.0},(85,244):{'3_1':0.03},(85,243):{'3_1':0.03},(85,242):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(85,241):{'3_1':0.0,'4_1':0.0},(85,240):{'3_1':0.0,'4_1':0.0},(85,239):{'3_1':0.0},(85,238):{'3_1':0.0},(85,237):{'3_1':0.0},(85,236):{'3_1':0.0},(85,235):{'3_1':0.0},(85,234):{'3_1':0.0},(85,233):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(85,232):{'3_1':0.0},(85,231):{'3_1':0.0},(85,230):{'3_1':0.0,'4_1':0.0},(85,229):{'3_1':0.03},(85,228):{'3_1':0.03},(85,227):{'3_1':0.0},(85,226):{'3_1':0.0},(85,225):{'3_1':0.0},(85,224):{'3_1':0.03,'4_1':0.0},(85,223):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(85,221):{'3_1':0.0,'5_2':0.0},(85,219):{'3_1':0.0},(85,218):{'3_1':0.0},(85,217):{'3_1':0.03},(85,216):{'3_1':0.0},(85,215):{'3_1':0.0,'4_1':0.0},(85,214):{'3_1':0.0},(85,213):{'3_1':0.0},(85,212):{'3_1':0.06,'4_1':0.0},(85,211):{'3_1':0.0,'5_2':0.0},(85,210):{'3_1':0.0,'4_1':0.0},(85,209):{'3_1':0.06},(85,207):{'3_1':0.03},(85,206):{'3_1':0.03},(85,205):{'3_1':0.03},(85,204):{'3_1':0.03},(85,203):{'3_1':0.0},(85,202):{'3_1':0.03},(85,201):{'3_1':0.03,'4_1':0.0},(85,200):{'3_1':0.0},(85,199):{'3_1':0.03},(85,198):{'3_1':0.0,'4_1':0.0},(85,197):{'3_1':0.0},(85,196):{'3_1':0.03},(85,195):{'3_1':0.03,'4_1':0.0},(85,194):{'3_1':0.0},(85,193):{'3_1':0.0},(85,192):{'3_1':0.0},(85,191):{'3_1':0.0},(85,190):{'3_1':0.0},(85,189):{'3_1':0.03},(85,188):{'3_1':0.03},(85,187):{'3_1':0.0},(85,186):{'3_1':0.0,'4_1':0.0},(85,185):{'3_1':0.0},(85,184):{'3_1':0.0},(85,183):{'3_1':0.0},(85,182):{'3_1':0.0},(85,181):{'3_1':0.0},(85,179):{'3_1':0.0},(85,178):{'3_1':0.0},(85,177):{'3_1':0.0},(85,176):{'3_1':0.0},(85,175):{'3_1':0.0},(85,172):{'3_1':0.0},(85,171):{'3_1':0.0},(85,169):{'4_1':0.0},(85,166):{'3_1':0.0},(85,157):{'3_1':0.0},(85,153):{'4_1':0.0},(85,152):{'3_1':0.0},(85,151):{'4_1':0.0},(86,459):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_14':0.0},(86,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(86,457):{'3_1':0.9,'5_1':0.0,'7_3':0.0,'8_2':0.0},(86,456):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0},(86,455):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'-3':0.0},(86,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'-3':0.0},(86,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_14':0.0},(86,452):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(86,451):{'3_1':0.9,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(86,450):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(86,449):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'-3':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'8_14':0.0},(86,448):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(86,447):{'3_1':0.9,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_14':0.0,'-3':0.0},(86,446):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'-3':0.0},(86,445):{'3_1':0.81,'5_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_9':0.0,'8_14':0.0},(86,444):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(86,443):{'3_1':0.87,'5_2':0.0,'8_2':0.0,'5_1':0.0,'8_5':0.0},(86,442):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'5_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(86,441):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'8_14':0.0,'-3':0.0},(86,440):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(86,439):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(86,438):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_2':0.0},(86,437):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0,'8_14':0.0},(86,436):{'3_1':0.87,'8_2':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(86,435):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(86,434):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_2':0.0},(86,433):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0},(86,432):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'-3':0.0,'8_2':0.0},(86,431):{'3_1':0.78,'5_1':0.09,'-3':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'8_14':0.0},(86,430):{'3_1':0.87,'8_2':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(86,429):{'3_1':0.84,'5_1':0.06,'6_2':0.03,'8_2':0.0},(86,428):{'3_1':0.87,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_2':0.0,'8_14':0.0},(86,427):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_14':0.0,'-3':0.0},(86,426):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'6_1':0.0,'8_11':0.0,'3_1#5_1':0.0,'-3':0.0},(86,425):{'3_1':0.84,'5_1':0.0,'8_2':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(86,424):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(86,423):{'3_1':0.75,'-3':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0},(86,422):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_9':0.0,'8_19':0.0},(86,421):{'3_1':0.81,'5_1':0.03,'7_1':0.03,'6_2':0.0,'-3':0.0,'8_2':0.0},(86,420):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(86,419):{'3_1':0.72,'5_1':0.09,'8_2':0.06,'5_2':0.0,'-3':0.0,'6_2':0.0},(86,418):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_9':0.0},(86,417):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'-3':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(86,416):{'3_1':0.72,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(86,415):{'3_1':0.81,'8_2':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(86,414):{'3_1':0.75,'5_1':0.12,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(86,413):{'3_1':0.66,'5_1':0.18,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_14':0.0},(86,412):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'8_2':0.0,'-3':0.0},(86,411):{'3_1':0.63,'5_1':0.09,'6_2':0.06,'8_2':0.06,'5_2':0.0,'-3':0.0,'8_5':0.0},(86,410):{'3_1':0.66,'5_1':0.12,'5_2':0.06,'8_2':0.03,'6_2':0.0,'-3':0.0},(86,409):{'3_1':0.69,'5_1':0.12,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(86,408):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'8_14':0.0},(86,407):{'3_1':0.66,'5_1':0.12,'6_2':0.03,'5_2':0.03,'7_3':0.0,'8_2':0.0,'-3':0.0},(86,406):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_14':0.0},(86,405):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'6_2':0.03,'-3':0.0,'8_2':0.0,'8_11':0.0,'3_1#5_1':0.0},(86,404):{'3_1':0.78,'5_1':0.03,'8_11':0.0,'4_1':0.0,'7_2':0.0,'8_2':0.0,'-3':0.0},(86,403):{'3_1':0.78,'5_1':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(86,402):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_2':0.0,'8_14':0.0},(86,401):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_1':0.0,'8_2':0.0,'8_5':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(86,400):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_11':0.0,'-3':0.0},(86,399):{'3_1':0.81,'4_1':0.0,'5_2':0.0,'8_11':0.0,'5_1':0.0,'8_2':0.0,'-3':0.0},(86,398):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0},(86,397):{'3_1':0.75,'5_1':0.0,'8_2':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(86,396):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(86,395):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_14':0.0},(86,394):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_14':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0},(86,393):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_9':0.0},(86,392):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0},(86,391):{'3_1':0.63,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'8_14':0.0,'-3':0.0},(86,390):{'3_1':0.6,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_11':0.0,'8_14':0.0,'-3':0.0},(86,389):{'3_1':0.48,'6_2':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0},(86,388):{'3_1':0.45,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_5':0.0},(86,387):{'3_1':0.27,'4_1':0.03,'6_2':0.03,'5_1':0.0,'8_2':0.0,'8_9':0.0},(86,386):{'3_1':0.24,'6_2':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_14':0.0},(86,385):{'3_1':0.18,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(86,384):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_6':0.0},(86,383):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_3':0.0,'8_11':0.0},(86,382):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_9':0.0},(86,381):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0,'8_9':0.0},(86,380):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_9':0.0,'8_14':0.0},(86,379):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0,'8_9':0.0,'-3':0.0},(86,378):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_6':0.0,'8_9':0.0},(86,377):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_9':0.0},(86,376):{'3_1':0.03,'4_1':0.03},(86,375):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(86,374):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(86,373):{'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(86,372):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(86,371):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(86,370):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(86,369):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(86,368):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(86,367):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(86,366):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0},(86,365):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'-3':0.0},(86,364):{'3_1':0.03,'4_1':0.0,'8_5':0.0},(86,363):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(86,362):{'3_1':0.0,'6_2':0.0,'5_2':0.0},(86,361):{'3_1':0.0,'4_1':0.0,'8_9':0.0},(86,360):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_7':0.0},(86,359):{'4_1':0.0,'3_1':0.0},(86,358):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'8_9':0.0},(86,357):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(86,356):{'3_1':0.03,'4_1':0.0,'7_5':0.0,'8_5':0.0},(86,355):{'3_1':0.03},(86,354):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(86,353):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(86,352):{'3_1':0.03,'4_1':0.0,'8_9':0.0},(86,351):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(86,350):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0},(86,349):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(86,348):{'3_1':0.03,'4_1':0.0},(86,347):{'3_1':0.03},(86,346):{'3_1':0.0,'6_2':0.0},(86,345):{'3_1':0.03,'5_2':0.0,'8_14':0.0},(86,344):{'3_1':0.0,'5_2':0.0},(86,343):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(86,342):{'5_2':0.0,'3_1':0.0,'5_1':0.0,'8_9':0.0},(86,341):{'3_1':0.03},(86,340):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(86,339):{'3_1':0.03,'5_2':0.0},(86,338):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(86,337):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(86,336):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(86,335):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(86,334):{'3_1':0.03,'3_1#5_2':0.0},(86,333):{'3_1':0.03,'5_2':0.0},(86,332):{'3_1':0.03,'5_2':0.0},(86,331):{'3_1':0.06,'5_2':0.0},(86,330):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(86,329):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(86,328):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0},(86,327):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'7_7':0.0},(86,326):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(86,325):{'5_2':0.0,'3_1':0.0},(86,324):{'3_1':0.0,'5_2':0.0},(86,323):{'3_1':0.0,'4_1':0.0},(86,322):{'3_1':0.03,'4_1':0.0},(86,321):{'3_1':0.03,'5_2':0.0},(86,320):{'3_1':0.03,'5_2':0.0},(86,319):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(86,318):{'3_1':0.0,'5_2':0.0,'6_2':0.0,'8_13':0.0},(86,317):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(86,316):{'3_1':0.03},(86,315):{'3_1':0.0,'5_2':0.0},(86,314):{'3_1':0.0,'5_2':0.0},(86,313):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(86,312):{'3_1':0.06,'5_2':0.0},(86,311):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(86,310):{'3_1':0.0,'6_3':0.0,'7_6':0.0},(86,309):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(86,308):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(86,307):{'3_1':0.03},(86,306):{'3_1':0.03,'5_2':0.0},(86,305):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(86,304):{'3_1':0.03},(86,303):{'3_1':0.03,'6_1':0.0},(86,302):{'3_1':0.03,'5_2':0.0},(86,301):{'3_1':0.03,'5_2':0.0},(86,300):{'3_1':0.0},(86,299):{'3_1':0.03},(86,298):{'3_1':0.03},(86,297):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(86,296):{'3_1':0.09},(86,295):{'3_1':0.03},(86,294):{'3_1':0.0},(86,293):{'3_1':0.03,'4_1':0.0},(86,292):{'3_1':0.0,'5_1':0.0},(86,291):{'3_1':0.0},(86,290):{'3_1':0.03,'4_1':0.0},(86,289):{'3_1':0.06,'5_2':0.0},(86,288):{'3_1':0.06,'4_1':0.0},(86,287):{'3_1':0.03},(86,286):{'3_1':0.03,'4_1':0.0},(86,285):{'3_1':0.06},(86,284):{'3_1':0.06,'4_1':0.0},(86,283):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(86,282):{'3_1':0.0},(86,281):{'3_1':0.03},(86,280):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(86,279):{'3_1':0.03},(86,278):{'3_1':0.0,'5_2':0.0},(86,277):{'3_1':0.0},(86,276):{'3_1':0.03,'5_2':0.0},(86,275):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(86,274):{'3_1':0.0},(86,273):{'5_2':0.0},(86,272):{'4_1':0.0,'3_1':0.0},(86,271):{'3_1':0.0,'4_1':0.0},(86,270):{'4_1':0.0},(86,269):{'3_1':0.0,'4_1':0.0},(86,268):{'3_1':0.0,'5_2':0.0},(86,267):{'4_1':0.0,'3_1':0.0},(86,266):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(86,265):{'3_1':0.03},(86,264):{'3_1':0.0},(86,263):{'3_1':0.0,'5_2':0.0},(86,262):{'3_1':0.0,'4_1':0.0},(86,261):{'3_1':0.06,'5_2':0.0},(86,260):{'4_1':0.03,'5_2':0.0,'3_1':0.0},(86,259):{'3_1':0.0,'5_1':0.0},(86,258):{'3_1':0.0,'4_1':0.0},(86,257):{'3_1':0.03,'5_2':0.0},(86,256):{'3_1':0.0,'4_1':0.0},(86,255):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(86,254):{'3_1':0.03,'4_1':0.0},(86,253):{'3_1':0.0,'4_1':0.0},(86,252):{'3_1':0.0,'4_1':0.0},(86,251):{'4_1':0.0},(86,250):{'3_1':0.0},(86,249):{'3_1':0.0,'4_1':0.0},(86,248):{'3_1':0.03},(86,247):{'3_1':0.0},(86,246):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(86,245):{'3_1':0.0,'4_1':0.0},(86,244):{'3_1':0.0},(86,243):{'3_1':0.0,'4_1':0.0},(86,242):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(86,241):{'3_1':0.03,'5_2':0.0},(86,240):{'3_1':0.0,'5_2':0.0},(86,239):{'3_1':0.0,'4_1':0.0},(86,238):{'3_1':0.03},(86,237):{'3_1':0.0},(86,236):{'3_1':0.0},(86,235):{'3_1':0.0},(86,234):{'3_1':0.0,'4_1':0.0},(86,233):{'3_1':0.0,'4_1':0.0},(86,232):{'3_1':0.0},(86,231):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(86,230):{'3_1':0.03},(86,229):{'3_1':0.03},(86,228):{'3_1':0.03},(86,227):{'3_1':0.0},(86,226):{'3_1':0.0},(86,225):{'3_1':0.0,'4_1':0.0},(86,224):{'3_1':0.0,'6_2':0.0},(86,223):{'3_1':0.0,'4_1':0.0},(86,222):{'3_1':0.0,'4_1':0.0},(86,221):{'3_1':0.0,'5_2':0.0},(86,219):{'3_1':0.0,'4_1':0.0},(86,218):{'3_1':0.0},(86,217):{'3_1':0.0},(86,216):{'3_1':0.0},(86,215):{'3_1':0.0},(86,214):{'3_1':0.0},(86,213):{'3_1':0.0},(86,212):{'3_1':0.0},(86,210):{'3_1':0.0,'4_1':0.0},(86,209):{'3_1':0.03},(86,208):{'3_1':0.06},(86,207):{'3_1':0.0},(86,206):{'3_1':0.03},(86,205):{'3_1':0.03},(86,204):{'3_1':0.0},(86,203):{'3_1':0.03},(86,202):{'3_1':0.03},(86,201):{'3_1':0.0},(86,200):{'3_1':0.03},(86,199):{'3_1':0.0},(86,197):{'3_1':0.03},(86,196):{'3_1':0.0},(86,195):{'3_1':0.0},(86,194):{'3_1':0.0},(86,193):{'3_1':0.0},(86,192):{'3_1':0.03},(86,190):{'3_1':0.0},(86,189):{'3_1':0.0},(86,188):{'3_1':0.03},(86,186):{'3_1':0.0},(86,185):{'3_1':0.0},(86,184):{'3_1':0.03,'4_1':0.0},(86,183):{'3_1':0.0},(86,182):{'3_1':0.03},(86,179):{'3_1':0.0},(86,178):{'3_1':0.0},(86,176):{'3_1':0.0},(86,169):{'3_1':0.0},(86,161):{'3_1':0.0},(86,159):{'4_1':0.0},(87,459):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'8_14':0.0},(87,458):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'8_2':0.0},(87,457):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0,'8_14':0.0},(87,456):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(87,455):{'3_1':0.9,'5_1':0.0,'7_1':0.0},(87,454):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'7_3':0.0},(87,453):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(87,452):{'3_1':0.9,'5_1':0.0,'-3':0.0},(87,451):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(87,450):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(87,449):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_14':0.0},(87,448):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(87,447):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0},(87,446):{'3_1':0.9,'8_11':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(87,445):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(87,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_14':0.0},(87,443):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0},(87,442):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'8_2':0.0,'8_11':0.0},(87,441):{'3_1':0.81,'5_1':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'5_2':0.0,'8_11':0.0,'8_14':0.0},(87,440):{'3_1':0.9,'8_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(87,439):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(87,438):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'7_1':0.0},(87,437):{'3_1':0.9,'8_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_14':0.0},(87,436):{'3_1':0.81,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(87,435):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(87,434):{'3_1':0.84,'5_1':0.0,'6_1':0.0,'7_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(87,433):{'3_1':0.9,'5_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(87,432):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(87,431):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(87,430):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(87,429):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(87,428):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(87,427):{'3_1':0.87,'8_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(87,426):{'3_1':0.81,'5_1':0.03,'7_3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(87,425):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0,'8_11':0.0},(87,424):{'3_1':0.81,'5_1':0.03,'7_1':0.0,'-3':0.0,'6_1':0.0,'8_11':0.0},(87,423):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0,'8_11':0.0},(87,422):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'-3':0.0},(87,421):{'3_1':0.75,'5_1':0.06,'7_1':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'6_1':0.0,'8_14':0.0,'-3':0.0},(87,420):{'3_1':0.87,'5_1':0.06,'6_2':0.0,'8_2':0.0,'8_11':0.0,'8_14':0.0},(87,419):{'3_1':0.75,'5_1':0.09,'4_1':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_14':0.0},(87,418):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_2':0.0,'7_1':0.0},(87,417):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(87,416):{'3_1':0.69,'5_1':0.15,'8_2':0.03,'5_2':0.03,'8_14':0.0},(87,415):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(87,414):{'3_1':0.72,'5_1':0.09,'8_2':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_14':0.0},(87,413):{'3_1':0.66,'5_1':0.12,'8_2':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_1':0.0},(87,412):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.03,'-3':0.03,'8_2':0.0},(87,411):{'3_1':0.69,'5_1':0.15,'8_2':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_14':0.0},(87,410):{'3_1':0.78,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_14':0.0},(87,409):{'3_1':0.66,'5_1':0.18,'5_2':0.03,'6_2':0.03,'-3':0.0},(87,408):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0},(87,407):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(87,406):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'7_3':0.0},(87,405):{'3_1':0.75,'6_2':0.06,'5_1':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'7_3':0.0,'8_11':0.0,'8_14':0.0},(87,404):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_1':0.0},(87,403):{'3_1':0.84,'5_1':0.0,'8_14':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(87,402):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(87,401):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_4':0.0,'8_6':0.0},(87,400):{'3_1':0.78,'5_2':0.03,'6_2':0.03,'5_1':0.0,'8_14':0.0},(87,399):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(87,398):{'3_1':0.84,'5_1':0.0,'5_2':0.0},(87,397):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0},(87,396):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(87,395):{'3_1':0.66,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_14':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0},(87,394):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0},(87,393):{'3_1':0.66,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'8_14':0.0,'8_16':0.0},(87,392):{'3_1':0.63,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_2':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0},(87,391):{'3_1':0.66,'5_1':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0},(87,390):{'3_1':0.51,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(87,389):{'3_1':0.51,'6_2':0.0,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0},(87,388):{'3_1':0.45,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_5':0.0,'8_9':0.0},(87,387):{'3_1':0.33,'6_2':0.03,'4_1':0.03,'5_1':0.0,'8_9':0.0},(87,386):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_9':0.0},(87,385):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(87,384):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(87,383):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0},(87,382):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_17':0.0,'-3':0.0},(87,381):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(87,380):{'3_1':0.09,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(87,379):{'3_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(87,378):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_2':0.0},(87,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(87,376):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(87,375):{'3_1':0.06,'4_1':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0},(87,374):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(87,373):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'6_1':0.0},(87,372):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(87,371):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'8_9':0.0},(87,370):{'3_1':0.03,'4_1':0.0,'8_9':0.0},(87,369):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(87,368):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'8_9':0.0},(87,367):{'3_1':0.06,'6_2':0.03,'4_1':0.0},(87,366):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_4':0.0,'8_9':0.0},(87,365):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(87,364):{'3_1':0.09,'4_1':0.0},(87,363):{'3_1':0.03,'-3':0.0,'6_2':0.0,'7_4':0.0},(87,362):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(87,361):{'3_1':0.0,'6_2':0.0},(87,360):{'3_1':0.03,'5_2':0.0},(87,359):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(87,358):{'3_1':0.03,'6_2':0.0},(87,357):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(87,356):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_8':0.0},(87,355):{'3_1':0.03},(87,354):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(87,353):{'3_1':0.03},(87,352):{'6_2':0.03,'4_1':0.0,'3_1':0.0,'5_2':0.0},(87,351):{'3_1':0.06,'8_9':0.0},(87,350):{'4_1':0.0,'5_1':0.0,'5_2':0.0},(87,349):{'3_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(87,348):{'3_1':0.0,'5_1':0.0},(87,347):{'3_1':0.0,'7_2':0.0},(87,346):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_9':0.0},(87,345):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(87,344):{'5_2':0.03,'3_1':0.0},(87,343):{'3_1':0.0,'5_2':0.0},(87,342):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_3':0.0,'7_7':0.0},(87,341):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(87,340):{'3_1':0.03,'5_2':0.0},(87,339):{'3_1':0.03,'4_1':0.0},(87,338):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(87,337):{'5_2':0.0,'3_1':0.0,'7_2':0.0,'7_3':0.0},(87,336):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(87,335):{'3_1':0.06,'5_2':0.0,'3_1#5_2':0.0},(87,334):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(87,333):{'3_1':0.03,'5_2':0.0},(87,332):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(87,331):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(87,330):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(87,329):{'3_1':0.03,'4_1':0.03},(87,328):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(87,327):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(87,326):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(87,325):{'4_1':0.0},(87,324):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(87,323):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_7':0.0},(87,322):{'3_1':0.0},(87,321):{'3_1':0.03,'4_1':0.0},(87,320):{'3_1':0.03,'4_1':0.0},(87,319):{'3_1':0.03,'4_1':0.0},(87,318):{'3_1':0.03,'6_2':0.0},(87,317):{'3_1':0.0,'6_2':0.0},(87,316):{'3_1':0.0,'4_1':0.0},(87,315):{'3_1':0.06,'4_1':0.0},(87,314):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(87,313):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(87,312):{'3_1':0.0,'5_2':0.0},(87,311):{'3_1':0.0,'5_2':0.0},(87,310):{'5_2':0.0,'3_1':0.0},(87,309):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(87,308):{'3_1':0.03,'4_1':0.0},(87,307):{'3_1':0.03},(87,306):{'3_1':0.03,'5_2':0.0},(87,305):{'3_1':0.0},(87,304):{'3_1':0.03},(87,303):{'3_1':0.0,'5_2':0.0},(87,302):{'3_1':0.03,'4_1':0.0},(87,301):{'3_1':0.06},(87,300):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(87,299):{'3_1':0.0},(87,298):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(87,297):{'3_1':0.03},(87,296):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(87,295):{'3_1':0.06,'4_1':0.0},(87,294):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(87,293):{'3_1':0.06},(87,292):{'3_1':0.0,'4_1':0.0},(87,291):{'3_1':0.03,'5_2':0.0},(87,290):{'3_1':0.03},(87,289):{'3_1':0.06,'4_1':0.0},(87,288):{'3_1':0.03},(87,287):{'3_1':0.0,'5_2':0.0},(87,286):{'3_1':0.03},(87,285):{'3_1':0.03},(87,284):{'3_1':0.0,'5_2':0.0},(87,283):{'3_1':0.03},(87,282):{'3_1':0.03},(87,281):{'3_1':0.03},(87,280):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(87,279):{'3_1':0.0},(87,278):{'3_1':0.0},(87,277):{'3_1':0.03,'4_1':0.0},(87,276):{'3_1':0.0},(87,275):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(87,274):{'3_1':0.03},(87,273):{'3_1':0.0,'5_2':0.0},(87,272):{'3_1':0.0},(87,271):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(87,270):{'4_1':0.0,'5_2':0.0},(87,269):{'3_1':0.0,'5_2':0.0},(87,268):{'3_1':0.0,'4_1':0.0},(87,267):{'3_1':0.0,'4_1':0.0},(87,266):{'3_1':0.0,'5_2':0.0},(87,265):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(87,264):{'3_1':0.06},(87,263):{'3_1':0.0,'4_1':0.0},(87,262):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(87,261):{'3_1':0.03,'5_2':0.0},(87,260):{'5_2':0.0},(87,259):{'3_1':0.03,'4_1':0.0},(87,258):{'3_1':0.03},(87,257):{'3_1':0.0,'4_1':0.0},(87,256):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(87,255):{'4_1':0.0,'3_1':0.0},(87,254):{'3_1':0.03},(87,253):{'3_1':0.0},(87,252):{'3_1':0.0},(87,251):{'3_1':0.0,'4_1':0.0},(87,250):{'3_1':0.03},(87,249):{'3_1':0.03},(87,248):{'3_1':0.0},(87,247):{'3_1':0.0},(87,246):{'3_1':0.0},(87,245):{'3_1':0.0},(87,244):{'3_1':0.0,'4_1':0.0},(87,243):{'3_1':0.0},(87,242):{'3_1':0.06},(87,241):{'3_1':0.0,'4_1':0.0},(87,240):{'3_1':0.0},(87,239):{'3_1':0.0},(87,238):{'3_1':0.0},(87,237):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(87,236):{'3_1':0.03},(87,235):{'3_1':0.0,'4_1':0.0},(87,234):{'3_1':0.03,'5_2':0.0},(87,233):{'3_1':0.03,'4_1':0.0},(87,232):{'3_1':0.0},(87,231):{'3_1':0.0,'5_2':0.0},(87,230):{'3_1':0.0,'5_2':0.0},(87,229):{'3_1':0.03},(87,228):{'3_1':0.0},(87,227):{'3_1':0.0,'5_2':0.0},(87,225):{'3_1':0.0,'4_1':0.0},(87,224):{'3_1':0.0,'4_1':0.0},(87,223):{'3_1':0.0,'4_1':0.0},(87,222):{'3_1':0.0},(87,221):{'3_1':0.03,'5_2':0.0},(87,220):{'3_1':0.0},(87,219):{'3_1':0.0},(87,218):{'3_1':0.0},(87,217):{'3_1':0.0},(87,216):{'3_1':0.0},(87,215):{'3_1':0.0,'4_1':0.0},(87,214):{'3_1':0.0},(87,213):{'3_1':0.0},(87,211):{'3_1':0.03},(87,210):{'3_1':0.03,'5_2':0.0},(87,209):{'3_1':0.0},(87,208):{'3_1':0.03,'5_2':0.0},(87,207):{'3_1':0.03},(87,206):{'3_1':0.03},(87,205):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(87,204):{'3_1':0.0},(87,203):{'3_1':0.0,'4_1':0.0},(87,202):{'3_1':0.03,'5_1':0.0},(87,201):{'3_1':0.0},(87,200):{'3_1':0.03},(87,199):{'3_1':0.0,'4_1':0.0},(87,198):{'3_1':0.0},(87,197):{'3_1':0.0},(87,196):{'3_1':0.03,'4_1':0.0},(87,195):{'3_1':0.0,'4_1':0.0},(87,194):{'3_1':0.03},(87,193):{'3_1':0.0},(87,192):{'3_1':0.03},(87,191):{'3_1':0.0},(87,190):{'3_1':0.0},(87,189):{'3_1':0.03},(87,188):{'3_1':0.0},(87,187):{'3_1':0.0},(87,186):{'3_1':0.0},(87,185):{'3_1':0.0},(87,184):{'3_1':0.0},(87,183):{'3_1':0.0},(87,182):{'3_1':0.0},(87,179):{'3_1':0.0},(87,175):{'3_1':0.0},(87,173):{'3_1':0.0},(87,171):{'3_1':0.0},(87,168):{'3_1':0.0},(87,163):{'3_1':0.0},(87,148):{'3_1':0.0},(87,142):{'3_1':0.0},(88,459):{'3_1':0.9,'5_1':0.0,'8_11':0.0,'8_14':0.0},(88,458):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(88,457):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(88,456):{'3_1':0.9,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0,'8_14':0.0},(88,455):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(88,454):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'-3':0.0},(88,453):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'-3':0.0},(88,452):{'3_1':0.9,'5_1':0.0,'6_1':0.0},(88,451):{'3_1':0.9,'8_2':0.0,'5_2':0.0,'7_3':0.0,'8_11':0.0},(88,450):{'3_1':0.9,'5_1':0.0},(88,449):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0},(88,448):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(88,447):{'3_1':0.87,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_11':0.0,'8_14':0.0},(88,446):{'3_1':0.87,'5_1':0.03,'8_14':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(88,445):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(88,444):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_14':0.0,'-3':0.0,'8_2':0.0,'8_11':0.0},(88,443):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(88,442):{'3_1':0.9,'8_2':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0,'8_14':0.0},(88,441):{'3_1':0.84,'8_2':0.03,'7_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(88,440):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(88,439):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(88,438):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0},(88,437):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(88,436):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(88,435):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'5_2':0.0,'8_14':0.0,'-3':0.0,'8_19':0.0},(88,434):{'3_1':0.81,'5_1':0.06,'-3':0.0,'6_2':0.0,'6_1':0.0,'8_14':0.0},(88,433):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(88,432):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_14':0.0,'-3':0.0},(88,431):{'3_1':0.75,'5_1':0.06,'8_2':0.0,'8_14':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(88,430):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(88,429):{'3_1':0.81,'5_1':0.09,'6_2':0.0,'8_2':0.0},(88,428):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0},(88,427):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_14':0.0},(88,426):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'8_11':0.0,'8_14':0.0},(88,425):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'3_1#5_1':0.0,'-3':0.0},(88,424):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0},(88,423):{'3_1':0.87,'8_2':0.03,'8_11':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(88,422):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_9':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(88,421):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(88,420):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(88,419):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_11':0.0,'1':-0.03},(88,418):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'5_2':0.0,'-3':0.0,'7_1':0.0,'8_11':0.0},(88,417):{'3_1':0.72,'5_1':0.03,'8_2':0.03,'-3':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(88,416):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'5_2':0.0},(88,415):{'3_1':0.75,'5_1':0.09,'8_2':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(88,414):{'3_1':0.75,'5_1':0.06,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'8_6':0.0},(88,413):{'3_1':0.72,'5_1':0.15,'6_2':0.0,'8_2':0.0,'5_2':0.0,'6_1':0.0,'8_8':0.0},(88,412):{'3_1':0.63,'5_1':0.12,'6_2':0.03,'8_2':0.0,'6_1':0.0,'8_11':0.0,'5_2':0.0,'-3':0.0},(88,411):{'3_1':0.6,'5_1':0.21,'5_2':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0},(88,410):{'3_1':0.66,'5_1':0.18,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(88,409):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'6_2':0.03,'8_2':0.03,'-3':0.0},(88,408):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0,'-3':0.0},(88,407):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.0},(88,406):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(88,405):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(88,404):{'3_1':0.72,'5_1':0.06,'6_2':0.0,'-3':0.0,'4_1':0.0,'8_4':0.0,'8_11':0.0,'8_19':0.0},(88,403):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'6_1':0.0},(88,402):{'3_1':0.84,'6_2':0.0,'5_1':0.0,'7_3':0.0},(88,401):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0},(88,400):{'3_1':0.78,'5_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'8_2':0.0,'8_11':0.0},(88,399):{'3_1':0.69,'5_1':0.03,'8_11':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_14':0.0,'-3':0.0},(88,398):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(88,397):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(88,396):{'3_1':0.84,'5_1':0.06,'7_6':0.0},(88,395):{'3_1':0.75,'-3':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0},(88,394):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0},(88,393):{'3_1':0.72,'5_1':0.06,'-3':0.0,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(88,392):{'3_1':0.6,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_9':0.0,'8_19':0.0},(88,391):{'3_1':0.66,'5_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0},(88,390):{'3_1':0.57,'5_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_9':0.0,'8_14':0.0,'-3':0.0},(88,389):{'3_1':0.42,'5_1':0.06,'4_1':0.03,'6_2':0.03,'7_1':0.0,'6_1':0.0,'8_14':0.0,'-3':0.0},(88,388):{'3_1':0.45,'6_2':0.03,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(88,387):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(88,386):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_9':0.0,'7_3':0.0,'8_2':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0},(88,385):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(88,384):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(88,383):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(88,382):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_9':0.0,'8_13':0.0,'-3':0.0},(88,381):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0},(88,380):{'4_1':0.06,'3_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0},(88,379):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(88,378):{'5_2':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(88,377):{'4_1':0.03,'5_2':0.0,'3_1':0.0,'6_2':0.0},(88,376):{'4_1':0.06,'3_1':0.03,'6_2':0.0},(88,375):{'3_1':0.06,'4_1':0.0,'8_14':0.0},(88,374):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_9':0.0},(88,373):{'3_1':0.03,'4_1':0.0},(88,372):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(88,371):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0},(88,370):{'3_1':0.03},(88,369):{'3_1':0.09,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_9':0.0},(88,368):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(88,367):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(88,366):{'3_1':0.03,'4_1':0.0},(88,365):{'3_1':0.03,'4_1':0.0,'8_14':0.0},(88,364):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'8_9':0.0},(88,363):{'3_1':0.03,'4_1':0.0},(88,362):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(88,361):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(88,360):{'3_1':0.0,'4_1':0.0},(88,359):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_8':0.0},(88,358):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(88,357):{'4_1':0.0,'3_1':0.0,'6_2':0.0,'8_9':0.0},(88,356):{'3_1':0.0,'4_1':0.0},(88,355):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(88,354):{'3_1':0.06},(88,353):{'3_1':0.03,'6_2':0.0},(88,352):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_9':0.0},(88,351):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(88,350):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(88,349):{'3_1':0.03,'5_2':0.0},(88,348):{'3_1':0.06},(88,347):{'3_1':0.0},(88,346):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(88,345):{'3_1':0.03,'7_2':0.0},(88,344):{'3_1':0.03,'5_2':0.03,'7_6':0.0},(88,343):{'3_1':0.0,'5_2':0.0},(88,342):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(88,341):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(88,340):{'3_1':0.0,'5_2':0.0},(88,339):{'5_2':0.0,'3_1':0.0},(88,338):{'3_1':0.03,'7_2':0.0},(88,337):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(88,336):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0},(88,335):{'3_1':0.03,'4_1':0.0},(88,334):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(88,333):{'3_1':0.03},(88,332):{'3_1':0.03,'6_3':0.0,'4_1':0.0,'5_2':0.0},(88,331):{'3_1':0.03,'4_1':0.0},(88,330):{'3_1':0.03},(88,329):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(88,328):{'3_1':0.0,'5_2':0.0},(88,327):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(88,326):{'5_2':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(88,325):{'3_1':0.0,'4_1':0.0},(88,324):{'3_1':0.03,'5_2':0.0},(88,323):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(88,322):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(88,321):{'3_1':0.0,'7_5':0.0},(88,320):{'3_1':0.0,'7_5':0.0},(88,319):{'3_1':0.0,'6_2':0.0,'7_5':0.0},(88,318):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(88,317):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(88,316):{'3_1':0.0},(88,315):{'3_1':0.0,'7_5':0.0,'5_2':0.0},(88,314):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(88,313):{'3_1':0.0},(88,312):{'3_1':0.03,'6_2':0.0,'7_5':0.0},(88,311):{'3_1':0.03,'5_2':0.0},(88,310):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(88,309):{'3_1':0.0},(88,308):{'3_1':0.03,'7_5':0.0},(88,307):{'3_1':0.03,'5_2':0.0},(88,306):{'3_1':0.0,'5_2':0.0},(88,305):{'3_1':0.03},(88,304):{'3_1':0.03},(88,303):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(88,302):{'3_1':0.03,'5_2':0.0},(88,301):{'3_1':0.03,'7_5':0.0},(88,300):{'3_1':0.06},(88,299):{'3_1':0.06},(88,298):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(88,297):{'3_1':0.03,'5_2':0.0},(88,296):{'3_1':0.03},(88,295):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(88,294):{'3_1':0.09},(88,293):{'3_1':0.03},(88,292):{'3_1':0.0,'5_2':0.0},(88,291):{'3_1':0.03,'5_2':0.0},(88,290):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(88,289):{'3_1':0.03},(88,288):{'3_1':0.0},(88,287):{'3_1':0.03},(88,286):{'3_1':0.0},(88,285):{'3_1':0.0,'5_2':0.0},(88,284):{'3_1':0.0,'5_1':0.0},(88,283):{'3_1':0.03},(88,282):{'4_1':0.0},(88,281):{'3_1':0.03},(88,280):{'3_1':0.0},(88,279):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(88,278):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(88,277):{'3_1':0.0},(88,276):{'3_1':0.0,'4_1':0.0},(88,275):{'3_1':0.0,'4_1':0.0},(88,274):{'3_1':0.03,'4_1':0.0},(88,273):{'3_1':0.0,'4_1':0.0},(88,272):{'3_1':0.0},(88,271):{'3_1':0.0,'4_1':0.0},(88,270):{'3_1':0.0},(88,269):{'3_1':0.0,'4_1':0.0},(88,268):{'3_1':0.0,'5_2':0.0},(88,267):{'3_1':0.0,'4_1':0.0},(88,266):{'3_1':0.0},(88,265):{'3_1':0.0},(88,264):{'3_1':0.0,'4_1':0.0},(88,263):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(88,262):{'3_1':0.0,'5_2':0.0},(88,261):{'3_1':0.06,'4_1':0.0},(88,260):{'3_1':0.03,'4_1':0.0},(88,259):{'3_1':0.0},(88,258):{'3_1':0.03},(88,257):{'3_1':0.0},(88,256):{'3_1':0.0,'4_1':0.0},(88,255):{'3_1':0.0,'5_2':0.0},(88,254):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(88,253):{'3_1':0.0},(88,251):{'3_1':0.0},(88,250):{'3_1':0.03},(88,249):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(88,248):{'3_1':0.0,'4_1':0.0},(88,246):{'3_1':0.0},(88,245):{'3_1':0.0,'4_1':0.0},(88,244):{'3_1':0.0,'4_1':0.0},(88,243):{'3_1':0.03},(88,242):{'3_1':0.0},(88,241):{'3_1':0.03},(88,240):{'3_1':0.0},(88,239):{'3_1':0.0},(88,238):{'3_1':0.0},(88,237):{'3_1':0.0,'4_1':0.0},(88,236):{'3_1':0.03},(88,235):{'3_1':0.0},(88,234):{'3_1':0.0},(88,233):{'3_1':0.0,'4_1':0.0},(88,232):{'3_1':0.0},(88,231):{'3_1':0.0},(88,230):{'3_1':0.0},(88,229):{'3_1':0.0},(88,228):{'3_1':0.03},(88,227):{'3_1':0.0,'4_1':0.0},(88,226):{'3_1':0.03},(88,225):{'3_1':0.0,'5_2':0.0},(88,224):{'3_1':0.0},(88,223):{'3_1':0.0},(88,222):{'3_1':0.0},(88,221):{'3_1':0.0,'4_1':0.0},(88,220):{'3_1':0.0},(88,219):{'3_1':0.0},(88,218):{'3_1':0.0},(88,217):{'3_1':0.0},(88,216):{'4_1':0.0},(88,215):{'5_2':0.0},(88,214):{'3_1':0.0},(88,212):{'3_1':0.0},(88,211):{'3_1':0.0},(88,210):{'3_1':0.0},(88,209):{'3_1':0.0},(88,207):{'3_1':0.0},(88,206):{'3_1':0.03},(88,205):{'3_1':0.0,'4_1':0.0},(88,204):{'3_1':0.0},(88,203):{'3_1':0.03},(88,202):{'3_1':0.0},(88,201):{'3_1':0.0},(88,200):{'3_1':0.0},(88,199):{'3_1':0.06},(88,198):{'3_1':0.0},(88,197):{'3_1':0.0},(88,196):{'3_1':0.0},(88,195):{'3_1':0.0},(88,194):{'3_1':0.03},(88,193):{'3_1':0.03},(88,192):{'3_1':0.03},(88,191):{'3_1':0.0},(88,190):{'3_1':0.0},(88,189):{'3_1':0.03},(88,188):{'3_1':0.0},(88,187):{'3_1':0.0},(88,186):{'3_1':0.0},(88,185):{'3_1':0.0},(88,184):{'3_1':0.0},(88,182):{'3_1':0.03},(88,181):{'3_1':0.0},(88,178):{'3_1':0.0},(88,176):{'3_1':0.0},(88,158):{'3_1':0.0},(88,149):{'3_1':0.0},(88,147):{'3_1':0.0},(88,138):{'3_1':0.0},(89,459):{'3_1':0.9,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_14':0.0},(89,458):{'3_1':0.9,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(89,457):{'3_1':0.9,'5_1':0.03,'7_1':0.0,'5_2':0.0,'6_2':0.0},(89,456):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'8_14':0.0},(89,455):{'3_1':0.9,'6_2':0.0,'5_1':0.0},(89,454):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'6_2':0.0},(89,453):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(89,452):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0},(89,451):{'3_1':0.9,'5_1':0.03,'6_1':0.0,'6_2':0.0},(89,450):{'3_1':0.87,'5_1':0.06,'5_2':0.0,'8_2':0.0,'8_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(89,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(89,448):{'3_1':0.9,'5_1':0.0,'6_1':0.0,'8_14':0.0},(89,447):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_14':0.0},(89,446):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'8_14':0.0},(89,445):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0},(89,444):{'3_1':0.9,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0},(89,443):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(89,442):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'8_14':0.0},(89,441):{'3_1':0.9,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(89,440):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'-3':0.0},(89,439):{'3_1':0.87,'6_2':0.03,'5_1':0.0,'4_1':0.0,'8_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(89,438):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_14':0.0},(89,437):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(89,436):{'3_1':0.84,'6_2':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'8_2':0.0},(89,435):{'3_1':0.81,'5_1':0.12,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(89,434):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0},(89,433):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'-3':0.0,'8_2':0.0,'7_1':0.0},(89,432):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'4_1':0.0,'8_2':0.0},(89,431):{'3_1':0.75,'5_1':0.06,'7_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0},(89,430):{'3_1':0.87,'5_1':0.03,'8_2':0.0},(89,429):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'6_1':0.0,'8_11':0.0,'5_2':0.0,'7_3':0.0},(89,428):{'3_1':0.81,'6_2':0.03,'5_1':0.03,'8_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(89,427):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'8_11':0.0,'8_2':0.0},(89,426):{'3_1':0.84,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(89,425):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'8_14':0.0,'-3':0.0},(89,424):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'8_14':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(89,423):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_14':0.0},(89,422):{'3_1':0.81,'5_1':0.09,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(89,421):{'3_1':0.78,'5_1':0.03,'8_2':0.0,'7_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(89,420):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(89,419):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'8_11':0.0},(89,418):{'3_1':0.87,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0},(89,417):{'3_1':0.78,'5_1':0.12,'8_2':0.0,'6_2':0.0,'8_11':0.0},(89,416):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0},(89,415):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'5_2':0.03,'-3':0.0,'4_1':0.0,'8_2':0.0,'7_1':0.0},(89,414):{'3_1':0.57,'5_1':0.15,'8_2':0.09,'5_2':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'8_9':0.0,'8_11':0.0,'8_14':0.0},(89,413):{'3_1':0.66,'5_1':0.12,'6_2':0.03,'8_2':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0},(89,412):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.03,'4_1':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(89,411):{'3_1':0.6,'5_1':0.18,'5_2':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(89,410):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_7':0.0,'8_11':0.0,'8_14':0.0},(89,409):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0,'8_14':0.0},(89,408):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'5_2':0.0,'8_11':0.0,'8_14':0.0,'9_1':0.0,'-3':0.0},(89,407):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0,'4_1':0.0,'-3':0.0},(89,406):{'3_1':0.75,'6_2':0.03,'5_1':0.03,'5_2':0.03,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(89,405):{'3_1':0.78,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_2':0.0,'8_14':0.0},(89,404):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_4':0.0,'8_6':0.0,'8_11':0.0},(89,403):{'3_1':0.81,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_14':0.0},(89,402):{'3_1':0.75,'6_2':0.03,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'8_1':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(89,401):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0,'8_14':0.0},(89,400):{'3_1':0.81,'5_1':0.03,'8_11':0.0,'4_1':0.0,'6_3':0.0},(89,399):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_14':0.0,'8_19':0.0},(89,398):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'4_1':0.0,'-3':0.0},(89,397):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'4_1':0.0,'6_3':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(89,396):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(89,395):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_14':0.0},(89,394):{'3_1':0.72,'6_2':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'-3':0.0},(89,393):{'3_1':0.72,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_11':0.0},(89,392):{'3_1':0.72,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(89,391):{'3_1':0.6,'5_1':0.03,'6_2':0.0,'4_1':0.0,'8_14':0.0},(89,390):{'3_1':0.6,'4_1':0.03,'5_1':0.03,'6_2':0.03,'6_3':0.0,'8_2':0.0,'8_11':0.0},(89,389):{'3_1':0.42,'6_2':0.03,'4_1':0.03,'5_1':0.0,'8_9':0.0,'-3':0.0},(89,388):{'3_1':0.42,'5_1':0.03,'6_2':0.03,'4_1':0.0,'6_3':0.0,'8_2':0.0},(89,387):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_9':0.0,'5_2':0.0,'8_17':0.0},(89,386):{'3_1':0.24,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(89,385):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(89,384):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(89,383):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_6':0.0},(89,382):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0},(89,381):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(89,380):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(89,379):{'4_1':0.03,'3_1':0.03,'6_1':0.0,'5_2':0.0},(89,378):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(89,377):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_7':0.0,'8_11':0.0},(89,376):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_2':0.0},(89,375):{'3_1':0.03,'4_1':0.03},(89,374):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(89,373):{'3_1':0.06},(89,372):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(89,371):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(89,370):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(89,369):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(89,368):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(89,367):{'3_1':0.09,'4_1':0.0},(89,366):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(89,365):{'3_1':0.06,'4_1':0.0,'7_4':0.0},(89,364):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_8':0.0},(89,363):{'3_1':0.03,'5_2':0.0},(89,362):{'3_1':0.0,'6_2':0.0,'8_8':0.0},(89,361):{'3_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(89,360):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(89,359):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(89,358):{'3_1':0.03,'5_2':0.0},(89,357):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(89,356):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(89,355):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(89,354):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(89,353):{'3_1':0.03},(89,352):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(89,351):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(89,350):{'3_1':0.03,'5_2':0.0},(89,349):{'3_1':0.0,'5_2':0.0},(89,348):{'3_1':0.0,'5_2':0.0},(89,347):{'3_1':0.0,'4_1':0.0},(89,346):{'3_1':0.0,'7_2':0.0,'5_2':0.0},(89,345):{'4_1':0.0,'5_2':0.0},(89,344):{'3_1':0.0},(89,343):{'3_1':0.0,'8_20|3_1#3_1':0.0},(89,342):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(89,341):{'3_1':0.03,'5_2':0.0},(89,340):{'3_1':0.0,'4_1':0.0},(89,339):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(89,338):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(89,337):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(89,336):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(89,335):{'3_1':0.06,'5_2':0.0},(89,334):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(89,333):{'3_1':0.0,'5_2':0.0},(89,332):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(89,331):{'3_1':0.03,'5_2':0.0},(89,330):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(89,329):{'3_1':0.03,'5_2':0.0},(89,328):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(89,327):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(89,326):{'4_1':0.0,'3_1':0.0},(89,325):{'3_1':0.0,'5_2':0.0},(89,324):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(89,323):{'3_1':0.03,'4_1':0.0},(89,322):{'3_1':0.03,'6_2':0.0},(89,321):{'3_1':0.0,'5_2':0.0},(89,320):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(89,319):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(89,318):{'3_1':0.03},(89,317):{'3_1':0.03},(89,316):{'3_1':0.0,'5_2':0.0,'7_6':0.0},(89,315):{'3_1':0.0,'5_2':0.0},(89,314):{'3_1':0.03,'5_2':0.0},(89,313):{'3_1':0.09,'5_2':0.0},(89,312):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(89,311):{'3_1':0.0,'4_1':0.0},(89,310):{'3_1':0.0},(89,309):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(89,308):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(89,307):{'3_1':0.03,'7_2':0.0},(89,306):{'3_1':0.06},(89,305):{'3_1':0.03},(89,304):{'3_1':0.0,'5_2':0.0},(89,303):{'3_1':0.03},(89,302):{'3_1':0.03},(89,301):{'3_1':0.06},(89,300):{'3_1':0.03},(89,299):{'3_1':0.06,'4_1':0.0},(89,298):{'3_1':0.03,'4_1':0.0},(89,297):{'3_1':0.0},(89,296):{'3_1':0.03,'4_1':0.0},(89,295):{'3_1':0.0},(89,294):{'3_1':0.03},(89,293):{'3_1':0.0,'5_2':0.0},(89,292):{'3_1':0.03},(89,291):{'3_1':0.03,'4_1':0.0},(89,290):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(89,289):{'3_1':0.03},(89,288):{'3_1':0.0,'4_1':0.0},(89,287):{'3_1':0.03},(89,286):{'3_1':0.0},(89,285):{'3_1':0.0,'4_1':0.0},(89,284):{'3_1':0.0},(89,283):{'3_1':0.0},(89,282):{'3_1':0.0,'4_1':0.0},(89,281):{'3_1':0.0},(89,279):{'3_1':0.0,'5_2':0.0,'7_6':0.0},(89,278):{'3_1':0.0},(89,277):{'3_1':0.0},(89,276):{'3_1':0.0},(89,275):{'3_1':0.0,'4_1':0.0},(89,274):{'3_1':0.0,'4_1':0.0},(89,273):{'4_1':0.0,'3_1':0.0},(89,272):{'3_1':0.0},(89,271):{'3_1':0.0,'5_2':0.0},(89,270):{'3_1':0.0},(89,269):{'3_1':0.0,'5_2':0.0},(89,268):{'3_1':0.0,'4_1':0.0},(89,267):{'3_1':0.0,'4_1':0.0},(89,266):{'4_1':0.0,'3_1':0.0},(89,265):{'3_1':0.0,'5_2':0.0},(89,264):{'3_1':0.0,'4_1':0.0},(89,263):{'3_1':0.0,'4_1':0.0},(89,262):{'3_1':0.0,'4_1':0.0},(89,261):{'3_1':0.0,'4_1':0.0},(89,260):{'3_1':0.0},(89,259):{'3_1':0.03,'4_1':0.0},(89,258):{'3_1':0.0},(89,257):{'3_1':0.0,'6_2':0.0},(89,256):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(89,255):{'3_1':0.0,'4_1':0.0},(89,254):{'5_2':0.0},(89,252):{'3_1':0.0},(89,251):{'3_1':0.03,'4_1':0.0},(89,250):{'3_1':0.0},(89,249):{'3_1':0.03,'4_1':0.0},(89,248):{'3_1':0.0},(89,247):{'3_1':0.0},(89,246):{'3_1':0.0},(89,245):{'3_1':0.0},(89,244):{'3_1':0.0},(89,243):{'3_1':0.0},(89,242):{'3_1':0.0},(89,241):{'3_1':0.0},(89,239):{'3_1':0.0},(89,238):{'3_1':0.0},(89,237):{'3_1':0.03},(89,236):{'3_1':0.03},(89,235):{'3_1':0.03},(89,234):{'3_1':0.03},(89,233):{'3_1':0.0},(89,232):{'3_1':0.0,'5_1':0.0},(89,231):{'3_1':0.0},(89,230):{'3_1':0.0},(89,229):{'3_1':0.0},(89,228):{'3_1':0.03},(89,227):{'3_1':0.0},(89,226):{'3_1':0.0},(89,225):{'3_1':0.06},(89,224):{'3_1':0.03},(89,223):{'3_1':0.0},(89,222):{'3_1':0.03,'4_1':0.0},(89,221):{'3_1':0.03,'4_1':0.0},(89,220):{'3_1':0.03},(89,219):{'3_1':0.0},(89,217):{'3_1':0.0},(89,216):{'3_1':0.0},(89,215):{'3_1':0.0},(89,214):{'3_1':0.0},(89,213):{'3_1':0.0,'4_1':0.0},(89,212):{'3_1':0.0,'4_1':0.0},(89,211):{'3_1':0.0},(89,210):{'3_1':0.03,'4_1':0.0},(89,209):{'3_1':0.0},(89,208):{'3_1':0.0},(89,207):{'3_1':0.0,'4_1':0.0},(89,206):{'4_1':0.0},(89,205):{'3_1':0.06},(89,204):{'3_1':0.0},(89,203):{'3_1':0.03},(89,202):{'3_1':0.0},(89,201):{'3_1':0.0},(89,200):{'4_1':0.0,'3_1':0.0},(89,199):{'3_1':0.0},(89,198):{'3_1':0.0},(89,197):{'3_1':0.03},(89,195):{'3_1':0.0},(89,194):{'3_1':0.0},(89,193):{'3_1':0.0,'4_1':0.0},(89,192):{'3_1':0.0},(89,191):{'3_1':0.0},(89,190):{'3_1':0.0},(89,189):{'3_1':0.0},(89,188):{'3_1':0.0},(89,187):{'3_1':0.0},(89,186):{'3_1':0.0},(89,185):{'3_1':0.0},(89,184):{'3_1':0.0},(89,183):{'3_1':0.0},(89,182):{'3_1':0.0},(89,181):{'3_1':0.0},(89,179):{'3_1':0.0},(89,177):{'3_1':0.0},(89,176):{'3_1':0.0},(89,167):{'3_1':0.0},(89,166):{'3_1':0.0},(89,165):{'3_1':0.0},(89,163):{'4_1':0.0},(89,150):{'3_1':0.0},(89,149):{'3_1':0.0},(89,139):{'3_1':0.0},(89,138):{'3_1':0.0},(89,135):{'3_1':0.0},(90,459):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_14':0.0},(90,458):{'3_1':0.9,'5_1':0.03,'6_2':0.0},(90,457):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'8_14':0.0,'6_3':0.0},(90,456):{'3_1':0.84,'6_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(90,455):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_1':0.0},(90,454):{'3_1':0.9,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0},(90,453):{'3_1':0.9,'-3':0.0},(90,452):{'3_1':0.9,'6_2':0.0,'7_3':0.0},(90,451):{'3_1':0.9,'5_2':0.0,'6_2':0.0},(90,450):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(90,449):{'3_1':0.9,'8_11':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(90,448):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(90,447):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(90,446):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0},(90,445):{'3_1':0.84,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_11':0.0},(90,444):{'3_1':0.87,'5_1':0.03,'7_3':0.0},(90,443):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(90,442):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_5':0.0},(90,441):{'3_1':0.9,'5_2':0.0,'7_1':0.0,'8_14':0.0},(90,440):{'3_1':0.9,'5_1':0.0,'7_3':0.0,'8_11':0.0},(90,439):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(90,438):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(90,437):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_4':0.0,'8_2':0.0},(90,436):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0},(90,435):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(90,434):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'7_1':0.0,'5_2':0.0,'8_2':0.0},(90,433):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'-3':0.0},(90,432):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'3_1#5_1':0.0,'1':-0.03},(90,431):{'3_1':0.84,'7_1':0.0,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_14':0.0},(90,430):{'3_1':0.84,'5_1':0.09,'-3':0.0,'5_2':0.0,'6_1':0.0},(90,429):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'4_1':0.0,'5_2':0.0,'8_4':0.0,'8_14':0.0},(90,428):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(90,427):{'3_1':0.84,'5_1':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0},(90,426):{'3_1':0.84,'5_1':0.03,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(90,425):{'3_1':0.84,'5_1':0.06,'7_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(90,424):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(90,423):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'7_3':0.0},(90,422):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'5_2':0.0,'8_2':0.0,'7_3':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(90,421):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(90,420):{'3_1':0.72,'5_1':0.06,'8_2':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(90,419):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0,'7_4':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(90,418):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0,'8_14':0.0},(90,417):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(90,416):{'3_1':0.78,'5_1':0.09,'6_2':0.0,'7_5':0.0,'8_2':0.0,'5_2':0.0,'6_3':0.0,'8_6':0.0},(90,415):{'3_1':0.78,'5_1':0.09,'8_2':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(90,414):{'3_1':0.69,'5_1':0.12,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(90,413):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0,'1':-0.03},(90,412):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'8_6':0.0,'8_7':0.0},(90,411):{'3_1':0.66,'5_1':0.12,'5_2':0.06,'6_2':0.03,'8_2':0.0,'4_1':0.0,'-3':0.0},(90,410):{'3_1':0.66,'5_1':0.12,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0,'8_14':0.0},(90,409):{'3_1':0.66,'5_1':0.15,'5_2':0.06,'8_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(90,408):{'3_1':0.69,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0},(90,407):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0},(90,406):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'7_3':0.0,'4_1':0.0,'5_2':0.0},(90,405):{'3_1':0.84,'5_1':0.03,'6_2':0.03,'7_3':0.0},(90,404):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'4_1':0.0,'6_1':0.0},(90,403):{'3_1':0.84,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(90,402):{'3_1':0.72,'5_1':0.03,'4_1':0.03,'6_2':0.0,'6_3':0.0},(90,401):{'3_1':0.81,'6_2':0.0,'4_1':0.0,'5_1':0.0},(90,400):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(90,399):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0},(90,398):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_3':0.0,'8_14':0.0},(90,397):{'3_1':0.78,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0},(90,396):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_11':0.0},(90,395):{'3_1':0.78,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(90,394):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'8_14':0.0,'8_17':0.0,'-3':0.0},(90,393):{'3_1':0.63,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0},(90,392):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(90,391):{'3_1':0.6,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_14':0.0},(90,390):{'3_1':0.63,'5_1':0.06,'4_1':0.03,'6_2':0.0},(90,389):{'3_1':0.54,'6_2':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0},(90,388):{'3_1':0.39,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_9':0.0},(90,387):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(90,386):{'3_1':0.27,'4_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0},(90,385):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(90,384):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(90,383):{'3_1':0.15,'6_2':0.03,'4_1':0.0,'5_1':0.0,'8_19':0.0,'-3':0.0},(90,382):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_2':0.0},(90,381):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_14':0.0,'8_19':0.0},(90,380):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_11':0.0},(90,379):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(90,378):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_6':0.0},(90,377):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(90,376):{'3_1':0.03,'4_1':0.0,'-3':0.0},(90,375):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(90,374):{'3_1':0.03,'4_1':0.0},(90,373):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(90,372):{'3_1':0.06,'5_2':0.0},(90,371):{'3_1':0.03,'5_2':0.0},(90,370):{'3_1':0.03,'4_1':0.0},(90,369):{'3_1':0.0,'6_2':0.0,'4_1':0.0},(90,368):{'3_1':0.03,'4_1':0.0},(90,367):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(90,366):{'3_1':0.06,'4_1':0.0},(90,365):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(90,364):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(90,363):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(90,362):{'3_1':0.06,'5_2':0.0},(90,361):{'3_1':0.03},(90,360):{'3_1':0.0,'4_1':0.0},(90,359):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(90,358):{'3_1':0.03},(90,357):{'3_1':0.03,'4_1':0.0},(90,356):{'3_1':0.03,'4_1':0.0},(90,355):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(90,354):{'3_1':0.0,'6_3':0.0,'7_2':0.0},(90,353):{'3_1':0.03},(90,352):{'3_1':0.06,'4_1':0.0},(90,351):{'3_1':0.06,'4_1':0.0},(90,350):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(90,349):{'3_1':0.03,'5_2':0.0},(90,348):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(90,347):{'3_1':0.03},(90,346):{'3_1':0.03,'4_1':0.0},(90,345):{'3_1':0.0},(90,344):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(90,343):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(90,342):{'3_1':0.06,'5_2':0.0},(90,341):{'5_2':0.03,'3_1':0.0,'7_2':0.0},(90,340):{'3_1':0.0,'5_2':0.0},(90,339):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0,'3_1#5_2':0.0},(90,338):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(90,337):{'3_1':0.06,'5_2':0.03},(90,336):{'3_1':0.0,'5_2':0.0},(90,335):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'7_2':0.0},(90,334):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(90,333):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(90,332):{'3_1':0.06,'5_2':0.0},(90,331):{'3_1':0.03,'7_2':0.0},(90,330):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(90,329):{'3_1':0.06,'5_2':0.0},(90,328):{'3_1':0.03,'5_2':0.0},(90,327):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(90,326):{'3_1':0.03,'4_1':0.0},(90,325):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(90,324):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(90,323):{'3_1':0.03,'5_2':0.0,'7_6':0.0},(90,322):{'5_2':0.0,'3_1':0.0},(90,321):{'5_2':0.0},(90,320):{'5_2':0.0,'3_1':0.0,'7_2':0.0},(90,319):{'3_1':0.03},(90,318):{'3_1':0.0},(90,317):{'3_1':0.03},(90,316):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(90,315):{'3_1':0.03,'4_1':0.0},(90,314):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(90,313):{'3_1':0.03,'5_2':0.0},(90,312):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(90,311):{'3_1':0.03,'5_2':0.0},(90,310):{'3_1':0.0},(90,309):{'3_1':0.0},(90,308):{'3_1':0.0,'5_2':0.0},(90,307):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(90,306):{'3_1':0.03},(90,305):{'3_1':0.03,'4_1':0.0},(90,304):{'3_1':0.06},(90,303):{'3_1':0.0,'6_2':0.0},(90,302):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(90,301):{'3_1':0.03,'4_1':0.0},(90,300):{'3_1':0.0},(90,299):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(90,298):{'3_1':0.0},(90,297):{'3_1':0.0,'4_1':0.0},(90,296):{'3_1':0.0},(90,295):{'3_1':0.0},(90,293):{'3_1':0.0},(90,292):{'3_1':0.0},(90,291):{'3_1':0.0,'5_2':0.0},(90,290):{'3_1':0.03,'4_1':0.0},(90,289):{'3_1':0.0,'4_1':0.0},(90,288):{'3_1':0.03,'4_1':0.0},(90,287):{'3_1':0.0},(90,286):{'3_1':0.0},(90,285):{'3_1':0.0},(90,284):{'3_1':0.0},(90,283):{'3_1':0.0},(90,282):{'3_1':0.0,'4_1':0.0},(90,281):{'3_1':0.0},(90,280):{'3_1':0.0},(90,279):{'4_1':0.0},(90,278):{'3_1':0.0},(90,277):{'3_1':0.0},(90,276):{'4_1':0.0},(90,275):{'3_1':0.0,'4_1':0.0},(90,274):{'3_1':0.0,'4_1':0.0},(90,273):{'3_1':0.0,'4_1':0.0},(90,272):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(90,271):{'3_1':0.0},(90,270):{'3_1':0.0,'5_2':0.0},(90,269):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(90,268):{'3_1':0.0},(90,267):{'3_1':0.0},(90,266):{'3_1':0.0},(90,265):{'4_1':0.0},(90,264):{'3_1':0.0},(90,263):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(90,262):{'3_1':0.0,'4_1':0.0},(90,261):{'3_1':0.0},(90,260):{'3_1':0.0,'4_1':0.0},(90,259):{'3_1':0.0,'4_1':0.0},(90,258):{'3_1':0.0,'6_2':0.0},(90,257):{'3_1':0.0},(90,256):{'3_1':0.0},(90,255):{'4_1':0.0,'3_1':0.0},(90,254):{'3_1':0.0,'4_1':0.0},(90,253):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(90,252):{'3_1':0.0,'4_1':0.0},(90,251):{'3_1':0.0,'4_1':0.0},(90,250):{'3_1':0.0},(90,249):{'3_1':0.0},(90,248):{'3_1':0.0},(90,247):{'4_1':0.0,'6_1':0.0},(90,246):{'3_1':0.0},(90,245):{'4_1':0.0},(90,244):{'3_1':0.0},(90,243):{'4_1':0.0,'3_1':0.0},(90,242):{'3_1':0.03},(90,241):{'3_1':0.0,'4_1':0.0},(90,240):{'3_1':0.0},(90,239):{'3_1':0.0},(90,238):{'3_1':0.0},(90,237):{'3_1':0.0},(90,236):{'4_1':0.0},(90,235):{'3_1':0.0},(90,234):{'3_1':0.0},(90,232):{'3_1':0.0,'4_1':0.0},(90,231):{'3_1':0.03},(90,230):{'3_1':0.0},(90,229):{'3_1':0.0},(90,228):{'3_1':0.0},(90,227):{'3_1':0.0,'4_1':0.0},(90,226):{'3_1':0.0,'4_1':0.0},(90,225):{'3_1':0.0,'5_1':0.0},(90,223):{'3_1':0.0},(90,222):{'3_1':0.0,'4_1':0.0},(90,221):{'3_1':0.0},(90,220):{'3_1':0.03},(90,219):{'3_1':0.0},(90,218):{'3_1':0.0,'4_1':0.0},(90,217):{'3_1':0.0},(90,216):{'3_1':0.0,'4_1':0.0},(90,215):{'3_1':0.0,'4_1':0.0},(90,214):{'3_1':0.0,'4_1':0.0},(90,213):{'3_1':0.0,'4_1':0.0},(90,211):{'3_1':0.0},(90,210):{'3_1':0.06},(90,209):{'3_1':0.0,'4_1':0.0},(90,208):{'3_1':0.03,'4_1':0.0},(90,207):{'3_1':0.03},(90,206):{'3_1':0.0},(90,205):{'3_1':0.0},(90,204):{'3_1':0.0},(90,203):{'3_1':0.0},(90,202):{'3_1':0.0},(90,201):{'3_1':0.0},(90,200):{'3_1':0.0},(90,199):{'3_1':0.03},(90,198):{'3_1':0.0},(90,197):{'3_1':0.0},(90,194):{'3_1':0.03},(90,193):{'3_1':0.0},(90,192):{'3_1':0.0},(90,191):{'3_1':0.0},(90,190):{'3_1':0.03},(90,189):{'3_1':0.03},(90,188):{'3_1':0.0},(90,187):{'3_1':0.0,'4_1':0.0},(90,186):{'3_1':0.0},(90,185):{'3_1':0.0},(90,184):{'3_1':0.0},(90,183):{'3_1':0.0},(90,182):{'3_1':0.0},(90,180):{'3_1':0.0},(90,177):{'3_1':0.0},(90,176):{'3_1':0.0},(90,174):{'4_1':0.0},(90,151):{'4_1':0.0},(90,146):{'3_1':0.0},(90,145):{'3_1':0.0},(90,140):{'3_1':0.0},(90,137):{'3_1':0.0},(91,459):{'3_1':0.9,'6_2':0.0},(91,458):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_11':0.0},(91,457):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'8_11':0.0},(91,456):{'3_1':0.9,'5_1':0.03,'6_2':0.0},(91,455):{'3_1':0.9,'7_1':0.0},(91,454):{'3_1':0.9,'7_1':0.0,'8_11':0.0},(91,453):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_6':0.0,'8_11':0.0},(91,452):{'3_1':0.9,'5_1':0.0,'7_1':0.0},(91,451):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'7_5':0.0,'8_11':0.0},(91,450):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(91,449):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(91,448):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(91,447):{'3_1':0.9,'5_2':0.0},(91,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(91,445):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0},(91,444):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(91,443):{'3_1':0.9,'6_2':0.03,'8_2':0.0,'5_2':0.0},(91,442):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'8_2':0.0},(91,441):{'3_1':0.84,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_11':0.0},(91,440):{'3_1':0.84,'5_1':0.06,'6_2':0.0,'4_1':0.0,'7_3':0.0},(91,439):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'5_2':0.0},(91,438):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(91,437):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(91,436):{'3_1':0.87,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0},(91,435):{'3_1':0.78,'5_1':0.06,'4_1':0.0,'8_2':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(91,434):{'3_1':0.87,'5_1':0.03,'-3':0.0,'6_2':0.0,'8_2':0.0},(91,433):{'3_1':0.87,'8_11':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_4':0.0},(91,432):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(91,431):{'3_1':0.87,'7_1':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(91,430):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(91,429):{'3_1':0.81,'5_1':0.09,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(91,428):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'6_1':0.0,'8_2':0.0,'8_4':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(91,427):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0},(91,426):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0},(91,425):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0},(91,424):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'4_1':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(91,423):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0},(91,422):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'8_9':0.0,'-3':0.0},(91,421):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_2':0.0,'4_1':0.0,'8_19':0.0,'-3':0.0},(91,420):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_1':0.0,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(91,419):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(91,418):{'3_1':0.75,'5_1':0.09,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_3':0.0},(91,417):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'6_2':0.0},(91,416):{'3_1':0.75,'8_2':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(91,415):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'8_2':0.0,'5_2':0.0,'-3':0.0},(91,414):{'3_1':0.75,'5_1':0.12,'8_2':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(91,413):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0,'8_5':0.0},(91,412):{'3_1':0.72,'5_1':0.12,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_4':0.0},(91,411):{'3_1':0.69,'5_1':0.12,'5_2':0.06,'8_2':0.03,'6_2':0.0,'7_3':0.0},(91,410):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(91,409):{'3_1':0.69,'5_1':0.12,'5_2':0.06,'8_2':0.03,'6_1':0.0,'-3':0.0},(91,408):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(91,407):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'1':-0.03},(91,406):{'3_1':0.78,'5_1':0.06,'-3':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0},(91,405):{'3_1':0.78,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(91,404):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'4_1':0.0},(91,403):{'3_1':0.84,'6_2':0.03,'8_4':0.0,'5_1':0.0,'5_2':0.0},(91,402):{'3_1':0.75,'6_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0},(91,401):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(91,400):{'3_1':0.81,'5_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(91,399):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0},(91,398):{'3_1':0.75,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_3':0.0},(91,397):{'3_1':0.72,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(91,396):{'3_1':0.75,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0},(91,395):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(91,394):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(91,393):{'3_1':0.6,'5_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'3_1#5_1':0.0},(91,392):{'3_1':0.66,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_14':0.0},(91,391):{'3_1':0.75,'5_1':0.0},(91,390):{'3_1':0.6,'4_1':0.0,'5_1':0.0,'7_1':0.0},(91,389):{'3_1':0.42,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(91,388):{'3_1':0.39,'4_1':0.03,'6_2':0.03,'5_1':0.03,'6_3':0.0,'7_6':0.0},(91,387):{'3_1':0.36,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(91,386):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(91,385):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(91,384):{'3_1':0.12,'6_2':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(91,383):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0},(91,382):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_9':0.0},(91,381):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_9':0.0},(91,380):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(91,379):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0},(91,378):{'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(91,377):{'3_1':0.06},(91,376):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_3':0.0,'-3':0.0},(91,375):{'4_1':0.06,'3_1':0.03},(91,374):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(91,373):{'3_1':0.06,'4_1':0.0},(91,372):{'3_1':0.06,'4_1':0.0,'8_8':0.0},(91,371):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0},(91,370):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(91,369):{'3_1':0.0,'4_1':0.0,'7_7':0.0},(91,368):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(91,367):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(91,366):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(91,365):{'3_1':0.06,'7_4':0.0},(91,364):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(91,363):{'3_1':0.03,'5_2':0.0},(91,362):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(91,361):{'4_1':0.0,'5_1':0.0,'5_2':0.0},(91,360):{'3_1':0.0,'5_2':0.0},(91,359):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(91,358):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(91,357):{'3_1':0.09,'4_1':0.0},(91,356):{'3_1':0.03,'4_1':0.0},(91,355):{'3_1':0.03,'4_1':0.0},(91,354):{'3_1':0.03,'6_3':0.0},(91,353):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(91,352):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(91,351):{'3_1':0.09},(91,350):{'3_1':0.0,'6_2':0.0},(91,349):{'3_1':0.03,'4_1':0.0,'8_9':0.0},(91,348):{'3_1':0.0},(91,347):{'3_1':0.0,'4_1':0.0},(91,346):{'3_1':0.0,'5_2':0.0},(91,345):{'5_2':0.03,'3_1':0.0},(91,344):{'3_1':0.0,'5_2':0.0,'7_6':0.0},(91,343):{'5_2':0.03,'3_1':0.0,'7_3':0.0},(91,342):{'3_1':0.03,'7_3':0.0},(91,341):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(91,340):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(91,339):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(91,338):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(91,337):{'3_1':0.06,'5_2':0.0},(91,336):{'3_1':0.03,'5_2':0.0},(91,335):{'3_1':0.0,'5_2':0.0,'7_3':0.0},(91,334):{'3_1':0.03,'5_2':0.0},(91,333):{'3_1':0.0,'5_2':0.0},(91,332):{'3_1':0.06},(91,331):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(91,330):{'3_1':0.03},(91,329):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(91,328):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(91,327):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(91,326):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(91,325):{'5_2':0.0,'3_1':0.0},(91,324):{'3_1':0.03,'5_2':0.0},(91,323):{'3_1':0.0},(91,322):{'3_1':0.0,'5_1':0.0},(91,321):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(91,320):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(91,319):{'3_1':0.03,'5_2':0.0},(91,318):{'3_1':0.03,'4_1':0.0},(91,317):{'3_1':0.03},(91,316):{'3_1':0.0,'4_1':0.0},(91,315):{'3_1':0.0},(91,314):{'3_1':0.03,'6_2':0.0},(91,313):{'3_1':0.06,'4_1':0.0},(91,312):{'3_1':0.03},(91,311):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(91,310):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(91,309):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(91,308):{'3_1':0.03},(91,307):{'3_1':0.03},(91,306):{'3_1':0.0},(91,305):{'3_1':0.06,'5_2':0.0},(91,304):{'3_1':0.03},(91,303):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(91,302):{'3_1':0.0,'5_1':0.0},(91,301):{'3_1':0.03},(91,300):{'3_1':0.06},(91,299):{'3_1':0.03},(91,298):{'3_1':0.0},(91,297):{'3_1':0.0,'5_2':0.0},(91,296):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(91,295):{'3_1':0.03},(91,294):{'3_1':0.0},(91,293):{'3_1':0.03,'7_3':0.0},(91,292):{'4_1':0.0},(91,291):{'3_1':0.0},(91,290):{'3_1':0.03,'5_1':0.0},(91,289):{'3_1':0.0,'8_21|3_1#4_1':0.0},(91,288):{'3_1':0.03},(91,287):{'3_1':0.06},(91,286):{'3_1':0.0},(91,285):{'3_1':0.0},(91,284):{'3_1':0.0,'6_3':0.0},(91,283):{'3_1':0.0,'4_1':0.0},(91,282):{'3_1':0.03},(91,281):{'3_1':0.03},(91,280):{'3_1':0.0},(91,279):{'3_1':0.0},(91,278):{'3_1':0.0},(91,277):{'3_1':0.0},(91,276):{'3_1':0.0,'4_1':0.0},(91,275):{'3_1':0.0,'4_1':0.0},(91,274):{'3_1':0.03,'4_1':0.0},(91,273):{'3_1':0.0},(91,272):{'3_1':0.0,'4_1':0.0},(91,271):{'3_1':0.0,'4_1':0.0},(91,270):{'3_1':0.0,'4_1':0.0},(91,269):{'3_1':0.0,'4_1':0.0},(91,268):{'3_1':0.0,'4_1':0.0},(91,267):{'3_1':0.0,'4_1':0.0},(91,266):{'3_1':0.03,'4_1':0.0},(91,264):{'3_1':0.0,'4_1':0.0},(91,263):{'3_1':0.03,'4_1':0.0},(91,262):{'3_1':0.0,'4_1':0.0},(91,261):{'3_1':0.06,'4_1':0.0},(91,260):{'3_1':0.03},(91,259):{'3_1':0.03,'4_1':0.0},(91,258):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(91,257):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(91,256):{'3_1':0.0},(91,255):{'4_1':0.0,'3_1':0.0},(91,254):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(91,253):{'4_1':0.0,'5_1':0.0,'5_2':0.0},(91,252):{'3_1':0.0,'4_1':0.0},(91,251):{'3_1':0.0},(91,250):{'3_1':0.0,'4_1':0.0},(91,249):{'3_1':0.0},(91,248):{'3_1':0.0},(91,247):{'3_1':0.03,'4_1':0.0},(91,245):{'3_1':0.0,'4_1':0.0},(91,244):{'3_1':0.0},(91,243):{'3_1':0.0},(91,242):{'3_1':0.03},(91,241):{'3_1':0.0},(91,240):{'3_1':0.0},(91,239):{'4_1':0.0},(91,238):{'3_1':0.0},(91,237):{'4_1':0.0},(91,235):{'4_1':0.0},(91,233):{'3_1':0.0},(91,232):{'3_1':0.0},(91,231):{'3_1':0.0,'4_1':0.0},(91,229):{'3_1':0.03},(91,228):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(91,226):{'3_1':0.0},(91,225):{'3_1':0.0,'4_1':0.0},(91,224):{'3_1':0.0,'4_1':0.0},(91,223):{'3_1':0.03,'4_1':0.0},(91,222):{'3_1':0.0,'4_1':0.0},(91,221):{'3_1':0.0},(91,220):{'3_1':0.0},(91,219):{'3_1':0.03,'4_1':0.0},(91,218):{'4_1':0.0,'3_1':0.0},(91,217):{'4_1':0.0},(91,216):{'3_1':0.0,'4_1':0.0},(91,215):{'3_1':0.0},(91,214):{'3_1':0.0},(91,213):{'3_1':0.0,'4_1':0.0},(91,212):{'3_1':0.0,'4_1':0.0},(91,211):{'3_1':0.0,'4_1':0.0},(91,210):{'3_1':0.0,'4_1':0.0},(91,208):{'3_1':0.0,'4_1':0.0},(91,207):{'3_1':0.0},(91,206):{'3_1':0.0},(91,205):{'3_1':0.0},(91,204):{'3_1':0.0},(91,203):{'3_1':0.0,'4_1':0.0},(91,202):{'3_1':0.0},(91,201):{'4_1':0.0},(91,200):{'3_1':0.0},(91,199):{'3_1':0.0},(91,198):{'3_1':0.0},(91,197):{'3_1':0.03},(91,196):{'3_1':0.0},(91,195):{'3_1':0.0},(91,188):{'3_1':0.0},(91,186):{'3_1':0.0},(91,185):{'3_1':0.0},(91,184):{'3_1':0.0},(91,183):{'3_1':0.0},(91,182):{'3_1':0.0},(91,181):{'3_1':0.0},(91,180):{'3_1':0.0},(91,178):{'3_1':0.0},(91,174):{'3_1':0.0},(91,169):{'3_1':0.0},(91,168):{'3_1':0.0},(91,166):{'3_1':0.0},(91,153):{'4_1':0.0},(91,151):{'3_1':0.0},(91,149):{'4_1':0.0},(91,148):{'3_1':0.0},(91,139):{'3_1':0.0},(92,459):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(92,458):{'3_1':0.9,'5_1':0.0},(92,457):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0},(92,456):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_3':0.0},(92,455):{'3_1':0.9,'6_2':0.0,'5_1':0.0,'5_2':0.0},(92,454):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(92,453):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'7_1':0.0},(92,452):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(92,451):{'3_1':0.9,'5_1':0.03},(92,450):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(92,449):{'3_1':0.9,'5_2':0.0,'5_1':0.0},(92,448):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(92,447):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(92,446):{'3_1':0.9,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(92,445):{'3_1':0.84,'6_2':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(92,444):{'3_1':0.84,'6_2':0.03,'5_1':0.0,'-3':0.0,'5_2':0.0,'8_2':0.0},(92,443):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(92,442):{'3_1':0.9,'6_2':0.0,'5_1':0.0},(92,441):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_13':0.0},(92,440):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0},(92,439):{'3_1':0.84,'5_2':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_2':0.0},(92,438):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'8_4':0.0},(92,437):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(92,436):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(92,435):{'3_1':0.9,'5_1':0.06,'6_2':0.0,'-3':0.0},(92,434):{'3_1':0.87,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(92,433):{'3_1':0.87,'8_2':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(92,432):{'3_1':0.84,'8_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(92,431):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(92,430):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_2':0.0,'4_1':0.0},(92,429):{'3_1':0.81,'5_1':0.06,'6_2':0.03,'7_3':0.0,'8_6':0.0},(92,428):{'3_1':0.78,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(92,427):{'3_1':0.81,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(92,426):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(92,425):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(92,424):{'3_1':0.78,'5_1':0.12,'5_2':0.0,'4_1':0.0,'-3':0.0},(92,423):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0,'7_1':0.0,'-3':0.0},(92,422):{'3_1':0.81,'5_1':0.06,'7_3':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(92,421):{'3_1':0.81,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(92,420):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_2':0.0,'6_2':0.0,'6_3':0.0},(92,419):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0,'8_7':0.0},(92,418):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(92,417):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(92,416):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(92,415):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(92,414):{'3_1':0.6,'5_1':0.21,'4_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0},(92,413):{'3_1':0.66,'5_1':0.18,'8_2':0.03,'5_2':0.0,'7_3':0.0,'6_2':0.0,'4_1':0.0,'8_5':0.0},(92,412):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0},(92,411):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_1':0.0},(92,410):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'6_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0,'8_7':0.0},(92,409):{'3_1':0.66,'5_1':0.18,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0},(92,408):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_4':0.0},(92,407):{'3_1':0.72,'5_1':0.06,'4_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(92,406):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0},(92,405):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(92,404):{'3_1':0.78,'5_1':0.06,'4_1':0.0,'8_11':0.0,'5_2':0.0,'7_2':0.0,'8_19':0.0},(92,403):{'3_1':0.81,'6_2':0.03,'5_2':0.03,'4_1':0.0,'5_1':0.0},(92,402):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_6':0.0},(92,401):{'3_1':0.78,'5_1':0.0,'6_2':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0,'8_9':0.0},(92,400):{'3_1':0.84,'6_2':0.0,'6_1':0.0},(92,399):{'3_1':0.84,'5_2':0.0,'6_2':0.0,'-3':0.0},(92,398):{'3_1':0.81,'5_1':0.03,'7_3':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(92,397):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'6_1':0.0,'5_2':0.0},(92,396):{'3_1':0.75,'4_1':0.03,'5_1':0.03},(92,395):{'3_1':0.75,'6_2':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(92,394):{'3_1':0.72,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(92,393):{'3_1':0.63,'5_2':0.03,'5_1':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(92,392):{'3_1':0.66,'5_1':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0},(92,391):{'3_1':0.66,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(92,390):{'3_1':0.63,'5_1':0.06,'4_1':0.03},(92,389):{'3_1':0.42,'6_2':0.09,'4_1':0.03,'5_1':0.0},(92,388):{'3_1':0.42,'5_1':0.06,'8_9':0.0},(92,387):{'3_1':0.27,'6_2':0.03,'4_1':0.0,'7_2':0.0},(92,386):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(92,385):{'3_1':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0},(92,384):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(92,383):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(92,382):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'8_9':0.0,'8_19':0.0},(92,381):{'3_1':0.09,'6_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(92,380):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(92,379):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(92,378):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(92,377):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(92,376):{'3_1':0.03,'4_1':0.0},(92,375):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(92,374):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(92,373):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(92,372):{'3_1':0.03,'4_1':0.0},(92,371):{'3_1':0.06,'6_3':0.0,'7_4':0.0},(92,370):{'3_1':0.03},(92,369):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(92,368):{'3_1':0.03,'4_1':0.0},(92,367):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(92,366):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(92,365):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'8_8':0.0},(92,364):{'3_1':0.03,'4_1':0.0},(92,363):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(92,362):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(92,361):{'3_1':0.03,'4_1':0.0},(92,360):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(92,359):{'4_1':0.0,'3_1':0.0,'7_4':0.0},(92,358):{'3_1':0.0},(92,357):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(92,356):{'3_1':0.0,'4_1':0.0},(92,355):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(92,354):{'3_1':0.03,'4_1':0.0},(92,353):{'3_1':0.0},(92,352):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(92,351):{'3_1':0.03,'5_2':0.0},(92,350):{'3_1':0.06},(92,349):{'3_1':0.0},(92,348):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(92,347):{'3_1':0.0,'4_1':0.0},(92,346):{'3_1':0.03},(92,345):{'3_1':0.0,'7_6':0.0},(92,344):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(92,343):{'3_1':0.0,'5_2':0.0},(92,342):{'5_2':0.0,'3_1':0.0},(92,341):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(92,340):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(92,339):{'3_1':0.03,'5_2':0.0},(92,338):{'3_1':0.03,'4_1':0.0},(92,337):{'3_1':0.06},(92,336):{'3_1':0.0,'5_2':0.0},(92,335):{'3_1':0.03,'5_2':0.0},(92,334):{'3_1':0.03,'4_1':0.0},(92,333):{'3_1':0.06,'5_2':0.0},(92,332):{'3_1':0.06,'5_2':0.0},(92,331):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(92,330):{'3_1':0.06,'5_2':0.0},(92,329):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(92,328):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(92,327):{'3_1':0.03},(92,326):{'4_1':0.0},(92,325):{'3_1':0.03,'4_1':0.0},(92,323):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(92,322):{'3_1':0.0,'5_2':0.0},(92,321):{'3_1':0.0},(92,320):{'3_1':0.0,'6_2':0.0},(92,319):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(92,318):{'3_1':0.0,'4_1':0.0},(92,317):{'3_1':0.03,'4_1':0.0},(92,316):{'3_1':0.03},(92,315):{'3_1':0.03,'3_1#5_1':0.0},(92,314):{'3_1':0.03},(92,313):{'3_1':0.0},(92,312):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(92,311):{'3_1':0.03},(92,310):{'3_1':0.0,'5_2':0.0},(92,309):{'3_1':0.03},(92,308):{'3_1':0.0},(92,307):{'3_1':0.03},(92,306):{'3_1':0.0,'5_2':0.0},(92,305):{'3_1':0.03},(92,304):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(92,303):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(92,302):{'3_1':0.0},(92,301):{'3_1':0.06},(92,300):{'3_1':0.06},(92,299):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(92,298):{'3_1':0.03},(92,297):{'3_1':0.0},(92,296):{'3_1':0.03},(92,295):{'3_1':0.06,'5_2':0.0},(92,294):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(92,293):{'3_1':0.03},(92,292):{'3_1':0.0,'8_20|3_1#3_1':0.0},(92,291):{'3_1':0.0},(92,290):{'3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(92,289):{'3_1':0.0},(92,288):{'3_1':0.03,'4_1':0.0},(92,287):{'3_1':0.0},(92,286):{'3_1':0.0},(92,285):{'3_1':0.03},(92,284):{'3_1':0.0,'4_1':0.0},(92,283):{'3_1':0.03},(92,282):{'3_1':0.0,'4_1':0.0},(92,281):{'4_1':0.0},(92,280):{'3_1':0.0},(92,279):{'3_1':0.0},(92,278):{'3_1':0.0},(92,277):{'3_1':0.0,'4_1':0.0},(92,275):{'4_1':0.0,'5_2':0.0},(92,274):{'4_1':0.0,'6_1':0.0},(92,273):{'4_1':0.0,'3_1':0.0},(92,272):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(92,271):{'3_1':0.03},(92,270):{'3_1':0.0,'4_1':0.0},(92,269):{'3_1':0.0},(92,268):{'3_1':0.0,'4_1':0.0},(92,267):{'3_1':0.0,'4_1':0.0},(92,266):{'3_1':0.03,'4_1':0.0},(92,265):{'3_1':0.03},(92,264):{'4_1':0.0},(92,263):{'3_1':0.0},(92,262):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(92,261):{'3_1':0.03,'4_1':0.0},(92,260):{'3_1':0.06},(92,259):{'3_1':0.0,'4_1':0.0},(92,258):{'3_1':0.0,'4_1':0.0},(92,257):{'3_1':0.0},(92,256):{'3_1':0.0},(92,255):{'3_1':0.0,'4_1':0.0},(92,254):{'3_1':0.0},(92,252):{'3_1':0.0,'4_1':0.0},(92,250):{'3_1':0.0,'4_1':0.0},(92,249):{'3_1':0.0},(92,248):{'3_1':0.0},(92,247):{'3_1':0.0,'4_1':0.0},(92,246):{'3_1':0.0,'4_1':0.0},(92,245):{'3_1':0.0,'4_1':0.0},(92,244):{'3_1':0.0,'6_1':0.0},(92,243):{'4_1':0.0},(92,242):{'3_1':0.0,'4_1':0.0},(92,241):{'3_1':0.0,'4_1':0.0},(92,240):{'4_1':0.0,'6_1':0.0},(92,239):{'4_1':0.0,'3_1':0.0},(92,238):{'3_1':0.0,'4_1':0.0},(92,237):{'4_1':0.0},(92,236):{'3_1':0.0},(92,235):{'3_1':0.0,'4_1':0.0},(92,234):{'3_1':0.0},(92,233):{'3_1':0.0},(92,231):{'4_1':0.0,'3_1':0.0},(92,230):{'3_1':0.03},(92,228):{'3_1':0.0},(92,227):{'4_1':0.0},(92,226):{'3_1':0.03,'6_1':0.0},(92,225):{'3_1':0.0,'4_1':0.0},(92,224):{'3_1':0.0},(92,223):{'3_1':0.0},(92,222):{'3_1':0.03},(92,221):{'4_1':0.0,'3_1':0.0},(92,220):{'3_1':0.0},(92,218):{'3_1':0.0,'4_1':0.0},(92,216):{'3_1':0.0,'4_1':0.0},(92,215):{'3_1':0.0},(92,214):{'4_1':0.0},(92,213):{'3_1':0.0},(92,212):{'3_1':0.0,'4_1':0.0},(92,211):{'3_1':0.0},(92,210):{'3_1':0.03},(92,209):{'3_1':0.03,'4_1':0.0},(92,208):{'3_1':0.0},(92,207):{'3_1':0.0,'4_1':0.0},(92,206):{'3_1':0.0},(92,203):{'4_1':0.0},(92,202):{'3_1':0.0},(92,201):{'3_1':0.0},(92,199):{'3_1':0.0},(92,198):{'3_1':0.0,'4_1':0.0},(92,197):{'3_1':0.0},(92,196):{'3_1':0.0},(92,195):{'3_1':0.0},(92,193):{'3_1':0.0},(92,191):{'3_1':0.0},(92,190):{'3_1':0.0},(92,189):{'3_1':0.0},(92,188):{'3_1':0.0},(92,186):{'3_1':0.0},(92,184):{'3_1':0.0},(92,183):{'3_1':0.03},(92,181):{'3_1':0.0},(92,180):{'3_1':0.03},(92,178):{'3_1':0.0},(92,177):{'3_1':0.0},(92,176):{'4_1':0.0},(92,175):{'4_1':0.0},(92,174):{'3_1':0.0},(92,168):{'3_1':0.0},(92,163):{'4_1':0.0},(92,161):{'3_1':0.0},(92,157):{'3_1':0.0},(92,156):{'3_1':0.0},(92,155):{'3_1':0.0,'4_1':0.0},(92,142):{'3_1':0.0},(92,140):{'3_1':0.0},(92,139):{'3_1':0.0},(92,138):{'3_1':0.0},(92,136):{'3_1':0.0},(93,459):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(93,458):{'3_1':0.9,'4_1':0.0,'5_2':0.0},(93,457):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(93,456):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(93,455):{'3_1':0.9,'4_1':0.0,'6_2':0.0,'8_2':0.0},(93,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_2':0.0},(93,453):{'3_1':0.9,'5_1':0.0,'4_1':0.0},(93,452):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'6_2':0.0},(93,451):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(93,450):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(93,449):{'3_1':0.84,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(93,448):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(93,447):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(93,446):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0},(93,445):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(93,444):{'3_1':0.9,'5_1':0.03,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(93,443):{'3_1':0.78,'6_2':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(93,442):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(93,441):{'3_1':0.9,'7_1':0.0,'8_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(93,440):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(93,439):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(93,438):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(93,437):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(93,436):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(93,435):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(93,434):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_4':0.0,'8_19':0.0},(93,433):{'3_1':0.9,'8_2':0.0,'5_2':0.0,'6_2':0.0},(93,432):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_2':0.0},(93,431):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'6_2':0.0},(93,430):{'3_1':0.75,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_3':0.0},(93,429):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'4_1':0.0,'8_2':0.0,'7_1':0.0},(93,428):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0},(93,427):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(93,426):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'6_2':0.0},(93,425):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(93,424):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(93,423):{'3_1':0.78,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(93,422):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'8_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(93,421):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0},(93,420):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0},(93,419):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0},(93,418):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0},(93,417):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(93,416):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0},(93,415):{'3_1':0.72,'5_1':0.09,'8_2':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0},(93,414):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.03,'4_1':0.0,'7_3':0.0},(93,413):{'3_1':0.72,'5_1':0.12,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0},(93,412):{'3_1':0.66,'5_1':0.15,'6_2':0.03,'8_2':0.03,'5_2':0.0,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(93,411):{'3_1':0.72,'5_1':0.06,'6_2':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0,'4_1':0.0,'8_4':0.0,'8_7':0.0},(93,410):{'3_1':0.72,'5_1':0.12,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0},(93,409):{'3_1':0.69,'5_1':0.12,'5_2':0.0,'8_4':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(93,408):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_4':0.0},(93,407):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.0,'6_3':0.0,'7_2':0.0,'8_4':0.0,'8_9':0.0,'8_11':0.0},(93,406):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_4':0.0},(93,405):{'3_1':0.72,'5_1':0.03,'6_2':0.0,'4_1':0.0,'-3':0.0},(93,404):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'8_4':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0,'8_9':0.0},(93,403):{'3_1':0.78,'6_1':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_2':0.0},(93,402):{'3_1':0.75,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0,'5_2':0.0,'7_2':0.0,'-3':0.0},(93,401):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(93,400):{'3_1':0.78,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0},(93,399):{'3_1':0.81,'5_1':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0},(93,398):{'3_1':0.78,'5_1':0.03,'6_2':0.03,'4_1':0.0,'8_4':0.0},(93,397):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_4':0.0},(93,396):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'5_2':0.0},(93,395):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(93,394):{'3_1':0.75,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(93,393):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0},(93,392):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(93,391):{'3_1':0.6,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_19':0.0},(93,390):{'3_1':0.57,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_4':0.0},(93,389):{'3_1':0.45,'6_2':0.03,'4_1':0.0,'6_1':0.0},(93,388):{'3_1':0.42,'4_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0},(93,387):{'3_1':0.24,'6_2':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'5_2':0.0},(93,386):{'3_1':0.24,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(93,385):{'3_1':0.18,'4_1':0.03,'6_2':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(93,384):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0},(93,383):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_2':0.0,'-3':0.0},(93,382):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_1':0.0},(93,381):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'8_7':0.0},(93,380):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(93,379):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_2':0.0,'5_1':0.0},(93,378):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(93,377):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(93,376):{'3_1':0.06,'4_1':0.03},(93,375):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0},(93,374):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(93,373):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_6':0.0},(93,372):{'3_1':0.03},(93,371):{'3_1':0.06,'4_1':0.0},(93,370):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(93,369):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(93,368):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(93,367):{'3_1':0.03,'4_1':0.0},(93,366):{'3_1':0.0},(93,365):{'3_1':0.12,'7_4':0.0},(93,364):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(93,363):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(93,362):{'3_1':0.0},(93,361):{'3_1':0.0,'4_1':0.0},(93,360):{'3_1':0.03,'5_2':0.0},(93,359):{'3_1':0.03,'4_1':0.0},(93,358):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(93,357):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(93,356):{'3_1':0.03,'5_2':0.0},(93,355):{'3_1':0.03,'4_1':0.0},(93,354):{'3_1':0.03,'4_1':0.0},(93,353):{'3_1':0.0},(93,352):{'3_1':0.03,'5_2':0.0,'8_8':0.0},(93,351):{'3_1':0.0},(93,350):{'3_1':0.03,'5_1':0.0},(93,349):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(93,348):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(93,347):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(93,346):{'3_1':0.0,'5_2':0.0},(93,345):{'3_1':0.0,'5_2':0.0},(93,344):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(93,343):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(93,342):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(93,341):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(93,340):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(93,339):{'3_1':0.03,'7_3':0.0},(93,338):{'3_1':0.09},(93,337):{'3_1':0.03},(93,336):{'3_1':0.09},(93,335):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(93,334):{'3_1':0.03,'4_1':0.0},(93,333):{'3_1':0.03,'5_2':0.0},(93,332):{'3_1':0.03,'5_2':0.0},(93,331):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(93,330):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(93,329):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(93,328):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(93,327):{'3_1':0.0,'5_2':0.0},(93,326):{'4_1':0.0,'5_2':0.0,'3_1':0.0,'5_1':0.0,'-3':0.0},(93,325):{'3_1':0.0},(93,324):{'3_1':0.0},(93,323):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(93,322):{'3_1':0.0},(93,321):{'3_1':0.03},(93,320):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(93,319):{'3_1':0.0,'4_1':0.0},(93,318):{'3_1':0.0,'4_1':0.0},(93,317):{'3_1':0.03,'4_1':0.0},(93,316):{'3_1':0.0,'4_1':0.0},(93,315):{'3_1':0.03,'5_2':0.0},(93,314):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(93,313):{'3_1':0.03,'5_2':0.0},(93,312):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(93,311):{'3_1':0.0,'4_1':0.0},(93,310):{'3_1':0.0,'5_1':0.0},(93,309):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(93,308):{'3_1':0.0,'5_2':0.0},(93,307):{'3_1':0.0,'4_1':0.0},(93,306):{'3_1':0.0,'4_1':0.0},(93,305):{'3_1':0.0,'4_1':0.0},(93,303):{'3_1':0.0,'4_1':0.0},(93,302):{'3_1':0.09},(93,301):{'3_1':0.03,'4_1':0.0},(93,300):{'3_1':0.03,'4_1':0.0},(93,299):{'3_1':0.03},(93,298):{'3_1':0.03,'4_1':0.0},(93,297):{'3_1':0.0},(93,296):{'3_1':0.0,'4_1':0.0},(93,295):{'3_1':0.03,'5_2':0.0},(93,294):{'3_1':0.0},(93,293):{'3_1':0.0,'5_2':0.0},(93,292):{'3_1':0.0,'4_1':0.0},(93,291):{'3_1':0.0,'4_1':0.0},(93,290):{'3_1':0.0},(93,289):{'3_1':0.0},(93,288):{'3_1':0.0,'4_1':0.0},(93,287):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(93,286):{'3_1':0.0,'4_1':0.0},(93,285):{'3_1':0.0},(93,284):{'3_1':0.0},(93,283):{'3_1':0.0,'5_2':0.0},(93,281):{'3_1':0.0,'4_1':0.0},(93,280):{'3_1':0.0},(93,279):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(93,277):{'3_1':0.0},(93,276):{'3_1':0.0,'4_1':0.0},(93,275):{'3_1':0.0},(93,274):{'3_1':0.0,'4_1':0.0},(93,272):{'3_1':0.0,'5_2':0.0},(93,271):{'3_1':0.0},(93,270):{'3_1':0.0},(93,269):{'3_1':0.0,'4_1':0.0},(93,267):{'4_1':0.0,'3_1':0.0},(93,266):{'3_1':0.0,'4_1':0.0},(93,265):{'3_1':0.0,'4_1':0.0},(93,264):{'3_1':0.03},(93,263):{'3_1':0.06},(93,262):{'3_1':0.0,'4_1':0.0},(93,261):{'3_1':0.0},(93,260):{'3_1':0.0},(93,259):{'3_1':0.0,'4_1':0.0},(93,258):{'3_1':0.0,'4_1':0.0},(93,257):{'3_1':0.0,'4_1':0.0},(93,256):{'3_1':0.0},(93,254):{'3_1':0.0},(93,253):{'3_1':0.0},(93,252):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(93,250):{'3_1':0.0},(93,249):{'4_1':0.0},(93,248):{'3_1':0.0},(93,247):{'3_1':0.0},(93,246):{'3_1':0.03},(93,244):{'3_1':0.0},(93,243):{'3_1':0.0},(93,242):{'3_1':0.0},(93,240):{'4_1':0.0},(93,239):{'3_1':0.0},(93,238):{'3_1':0.0,'5_2':0.0},(93,237):{'3_1':0.0,'4_1':0.0},(93,236):{'3_1':0.0},(93,235):{'3_1':0.0},(93,234):{'3_1':0.0},(93,231):{'3_1':0.0},(93,230):{'3_1':0.0},(93,229):{'3_1':0.0},(93,228):{'3_1':0.0},(93,225):{'3_1':0.0},(93,223):{'3_1':0.0},(93,222):{'3_1':0.03,'5_2':0.0},(93,221):{'3_1':0.0,'4_1':0.0},(93,220):{'3_1':0.03},(93,219):{'3_1':0.0},(93,217):{'4_1':0.0},(93,215):{'4_1':0.0},(93,214):{'3_1':0.0},(93,213):{'3_1':0.0},(93,212):{'3_1':0.0,'4_1':0.0},(93,211):{'3_1':0.03},(93,210):{'3_1':0.0,'4_1':0.0},(93,209):{'3_1':0.0},(93,208):{'3_1':0.0},(93,207):{'3_1':0.0,'4_1':0.0},(93,205):{'3_1':0.0},(93,204):{'3_1':0.03},(93,202):{'3_1':0.0},(93,201):{'3_1':0.0},(93,200):{'3_1':0.0},(93,199):{'3_1':0.03},(93,198):{'3_1':0.0},(93,197):{'3_1':0.0},(93,196):{'3_1':0.0},(93,195):{'3_1':0.0},(93,194):{'3_1':0.0},(93,193):{'3_1':0.0},(93,192):{'3_1':0.0},(93,191):{'3_1':0.0,'4_1':0.0},(93,190):{'3_1':0.0},(93,188):{'3_1':0.0},(93,187):{'3_1':0.0},(93,185):{'3_1':0.0},(93,183):{'3_1':0.0},(93,182):{'3_1':0.0},(93,181):{'3_1':0.0},(93,180):{'3_1':0.0},(93,178):{'3_1':0.0},(93,161):{'3_1':0.0},(93,159):{'3_1':0.0},(93,158):{'4_1':0.0},(93,155):{'3_1':0.0},(93,153):{'3_1':0.0},(93,150):{'4_1':0.0},(93,149):{'4_1':0.0},(93,142):{'3_1':0.0},(93,138):{'3_1':0.0},(93,135):{'4_1':0.0},(94,459):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(94,458):{'3_1':0.9,'4_1':0.0,'7_3':0.0},(94,457):{'3_1':0.87,'7_1':0.0,'5_1':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(94,456):{'3_1':0.9,'5_1':0.0},(94,455):{'3_1':0.9,'6_2':0.0},(94,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(94,453):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(94,452):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(94,451):{'3_1':0.9,'4_1':0.03,'6_2':0.0},(94,450):{'3_1':0.9,'4_1':0.0,'5_1':0.0},(94,449):{'3_1':0.9,'5_1':0.0,'4_1':0.0},(94,448):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'6_2':0.0},(94,447):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(94,446):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'5_2':0.0},(94,445):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(94,444):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(94,443):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(94,442):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_2':0.0},(94,441):{'3_1':0.9,'4_1':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(94,440):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(94,439):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'7_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(94,438):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0},(94,437):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(94,436):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0},(94,435):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(94,434):{'3_1':0.87,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(94,433):{'3_1':0.9,'4_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0},(94,432):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'8_2':0.0,'8_19':0.0},(94,431):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0},(94,430):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(94,429):{'3_1':0.84,'5_1':0.06,'6_2':0.0,'7_3':0.0,'8_2':0.0},(94,428):{'3_1':0.81,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(94,427):{'3_1':0.84,'5_1':0.03,'7_1':0.03,'4_1':0.0,'8_2':0.0,'-3':0.0,'1':-0.03},(94,426):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'-3':0.0,'7_1':0.0,'8_2':0.0},(94,425):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(94,424):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(94,423):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(94,422):{'3_1':0.81,'5_1':0.06,'8_9':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(94,421):{'3_1':0.69,'5_1':0.12,'8_2':0.0,'6_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(94,420):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_2':0.0},(94,419):{'3_1':0.75,'8_2':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(94,418):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(94,417):{'3_1':0.84,'5_1':0.03,'7_1':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0,'1':-0.03},(94,416):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0,'4_1':0.0},(94,415):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(94,414):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'6_1':0.0,'-3':0.0},(94,413):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_5':0.0,'7_5':0.0,'-3':0.0},(94,412):{'3_1':0.72,'5_1':0.09,'6_2':0.03,'8_2':0.0,'5_2':0.0,'7_5':0.0,'8_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(94,411):{'3_1':0.6,'5_1':0.18,'8_2':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0},(94,410):{'3_1':0.69,'5_1':0.15,'6_2':0.03,'5_2':0.0,'8_2':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(94,409):{'3_1':0.63,'5_1':0.12,'5_2':0.06,'6_2':0.03,'7_1':0.0,'8_2':0.0,'4_1':0.0},(94,408):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'5_2':0.03,'7_2':0.0,'4_1':0.0,'8_9':0.0},(94,407):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(94,406):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(94,405):{'3_1':0.81,'5_1':0.03,'6_1':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(94,404):{'3_1':0.87,'6_1':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0},(94,403):{'3_1':0.78,'5_1':0.03,'6_2':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0},(94,402):{'3_1':0.78,'6_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0},(94,401):{'3_1':0.75,'5_1':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'7_7':0.0,'8_3':0.0},(94,400):{'3_1':0.81,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(94,399):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'7_5':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_16':0.0},(94,398):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_1':0.0},(94,397):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0},(94,396):{'3_1':0.78,'5_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(94,395):{'3_1':0.72,'5_1':0.03,'7_7':0.0},(94,394):{'3_1':0.72,'5_1':0.09,'6_2':0.0},(94,393):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(94,392):{'3_1':0.66,'6_2':0.03,'5_1':0.0,'5_2':0.0},(94,391):{'3_1':0.6,'5_1':0.03,'4_1':0.0},(94,390):{'3_1':0.57,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_9':0.0,'-3':0.0},(94,389):{'3_1':0.54,'4_1':0.03,'6_2':0.0},(94,388):{'3_1':0.39,'4_1':0.06,'5_1':0.03,'6_2':0.03,'6_1':0.0,'7_6':0.0,'8_9':0.0},(94,387):{'3_1':0.3,'5_1':0.03,'6_2':0.03,'4_1':0.0,'8_9':0.0},(94,386):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'6_2':0.0},(94,385):{'3_1':0.12,'4_1':0.06,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_6':0.0},(94,384):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0},(94,383):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(94,382):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(94,381):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(94,380):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(94,379):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(94,378):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0},(94,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(94,376):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(94,375):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(94,374):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(94,373):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(94,372):{'3_1':0.06,'4_1':0.03},(94,371):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(94,370):{'3_1':0.06},(94,369):{'3_1':0.03},(94,368):{'3_1':0.03,'5_2':0.03,'4_1':0.0},(94,367):{'3_1':0.06,'4_1':0.0},(94,366):{'3_1':0.03,'5_2':0.0},(94,365):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(94,364):{'3_1':0.06,'5_2':0.0},(94,363):{'3_1':0.09},(94,362):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(94,361):{'4_1':0.0,'3_1':0.0,'7_4':0.0},(94,360):{'4_1':0.0},(94,359):{'3_1':0.03},(94,358):{'4_1':0.0,'3_1':0.0,'7_4':0.0,'8_8':0.0},(94,357):{'3_1':0.0,'4_1':0.0},(94,356):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(94,355):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(94,354):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(94,353):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(94,352):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(94,351):{'3_1':0.03,'5_2':0.0},(94,350):{'3_1':0.0},(94,349):{'3_1':0.03,'4_1':0.0},(94,348):{'3_1':0.0,'5_2':0.0},(94,347):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(94,346):{'3_1':0.0},(94,345):{'3_1':0.0,'5_2':0.0},(94,344):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(94,343):{'3_1':0.0},(94,342):{'3_1':0.0,'5_2':0.0},(94,341):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(94,340):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(94,339):{'3_1':0.0},(94,338):{'3_1':0.06,'4_1':0.0},(94,337):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(94,336):{'3_1':0.0,'5_2':0.0},(94,335):{'3_1':0.03,'5_2':0.0},(94,334):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(94,333):{'5_2':0.03,'3_1':0.0},(94,332):{'3_1':0.03,'5_2':0.0},(94,331):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(94,330):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(94,329):{'3_1':0.03,'5_2':0.0},(94,328):{'3_1':0.0},(94,327):{'3_1':0.0,'5_2':0.0},(94,326):{'5_2':0.0,'3_1':0.0},(94,325):{'3_1':0.03},(94,324):{'3_1':0.0,'4_1':0.0},(94,323):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(94,322):{'4_1':0.0},(94,321):{'3_1':0.03,'4_1':0.0},(94,320):{'3_1':0.03,'4_1':0.0},(94,319):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(94,318):{'3_1':0.0,'5_2':0.0},(94,317):{'3_1':0.03},(94,316):{'3_1':0.03},(94,315):{'3_1':0.03},(94,314):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(94,313):{'3_1':0.0,'4_1':0.0},(94,312):{'3_1':0.03},(94,311):{'3_1':0.0,'4_1':0.0},(94,310):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(94,309):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(94,308):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(94,307):{'3_1':0.0,'4_1':0.0},(94,306):{'3_1':0.0},(94,305):{'5_2':0.0},(94,304):{'3_1':0.0},(94,303):{'3_1':0.0},(94,302):{'3_1':0.06},(94,301):{'3_1':0.06,'5_2':0.0},(94,300):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(94,299):{'3_1':0.03},(94,298):{'3_1':0.0,'5_2':0.0},(94,297):{'3_1':0.03},(94,296):{'3_1':0.0},(94,295):{'3_1':0.03},(94,294):{'3_1':0.03,'6_2':0.0},(94,293):{'3_1':0.0},(94,292):{'3_1':0.0,'4_1':0.0},(94,291):{'3_1':0.03},(94,290):{'3_1':0.03,'4_1':0.0},(94,289):{'3_1':0.0},(94,288):{'3_1':0.0},(94,287):{'3_1':0.0,'4_1':0.0},(94,285):{'3_1':0.0,'4_1':0.0},(94,284):{'3_1':0.0,'8_20|3_1#3_1':0.0},(94,283):{'3_1':0.0},(94,282):{'3_1':0.0},(94,281):{'3_1':0.0,'4_1':0.0},(94,280):{'3_1':0.0,'5_2':0.0},(94,279):{'3_1':0.0,'4_1':0.0},(94,278):{'3_1':0.0,'4_1':0.0},(94,277):{'3_1':0.0,'4_1':0.0},(94,276):{'4_1':0.0},(94,274):{'3_1':0.0},(94,273):{'3_1':0.0},(94,272):{'3_1':0.0},(94,271):{'3_1':0.03,'4_1':0.0},(94,270):{'3_1':0.03},(94,269):{'3_1':0.03,'4_1':0.0},(94,268):{'3_1':0.0},(94,267):{'3_1':0.0,'4_1':0.0},(94,266):{'3_1':0.0},(94,265):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(94,264):{'4_1':0.0,'3_1':0.0},(94,263):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(94,262):{'3_1':0.0},(94,261):{'3_1':0.03},(94,260):{'3_1':0.03,'5_2':0.0},(94,259):{'3_1':0.03},(94,258):{'3_1':0.06},(94,257):{'3_1':0.0},(94,256):{'3_1':0.0},(94,255):{'3_1':0.0,'4_1':0.0},(94,254):{'3_1':0.03},(94,253):{'3_1':0.03},(94,252):{'3_1':0.0,'4_1':0.0},(94,251):{'3_1':0.0},(94,250):{'3_1':0.0,'4_1':0.0},(94,247):{'3_1':0.0},(94,246):{'3_1':0.0,'4_1':0.0},(94,245):{'3_1':0.0,'4_1':0.0},(94,244):{'3_1':0.0},(94,240):{'3_1':0.0},(94,238):{'3_1':0.0},(94,237):{'3_1':0.0},(94,235):{'3_1':0.0,'4_1':0.0},(94,234):{'3_1':0.0},(94,232):{'3_1':0.0},(94,230):{'3_1':0.0},(94,229):{'3_1':0.0},(94,227):{'3_1':0.0},(94,226):{'4_1':0.0,'3_1':0.0},(94,225):{'3_1':0.03,'6_1':0.0},(94,224):{'3_1':0.0},(94,223):{'3_1':0.03,'4_1':0.0},(94,222):{'3_1':0.03},(94,221):{'3_1':0.03},(94,220):{'3_1':0.0},(94,218):{'3_1':0.03,'4_1':0.0},(94,217):{'3_1':0.0},(94,216):{'3_1':0.0},(94,215):{'3_1':0.0,'4_1':0.0},(94,214):{'3_1':0.0},(94,212):{'3_1':0.0},(94,211):{'3_1':0.03},(94,210):{'3_1':0.03,'4_1':0.0},(94,208):{'3_1':0.03},(94,207):{'3_1':0.0},(94,206):{'3_1':0.0},(94,205):{'3_1':0.0},(94,204):{'3_1':0.0},(94,203):{'3_1':0.0},(94,201):{'3_1':0.0},(94,200):{'3_1':0.0},(94,199):{'3_1':0.0},(94,198):{'3_1':0.0},(94,197):{'3_1':0.0},(94,196):{'3_1':0.0},(94,195):{'3_1':0.0},(94,193):{'3_1':0.0},(94,191):{'3_1':0.0},(94,190):{'3_1':0.0},(94,189):{'3_1':0.0},(94,188):{'3_1':0.0},(94,187):{'3_1':0.0},(94,186):{'3_1':0.03},(94,185):{'3_1':0.0},(94,184):{'3_1':0.0},(94,183):{'3_1':0.0},(94,181):{'3_1':0.0},(94,178):{'3_1':0.0},(94,174):{'3_1':0.0},(94,168):{'3_1':0.0},(94,163):{'3_1':0.0},(94,159):{'3_1':0.0},(94,158):{'3_1':0.0},(94,156):{'3_1':0.0},(94,155):{'4_1':0.0},(94,154):{'3_1':0.0},(94,149):{'3_1':0.0},(94,146):{'3_1':0.0},(94,145):{'3_1':0.0},(94,137):{'3_1':0.0},(94,136):{'3_1':0.0},(95,459):{'3_1':0.9},(95,458):{'3_1':0.9,'7_3':0.0},(95,457):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'7_1':0.0},(95,456):{'3_1':0.9,'4_1':0.0,'5_1':0.0},(95,455):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0},(95,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(95,453):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_1':0.0},(95,452):{'3_1':0.9,'5_1':0.0},(95,451):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(95,450):{'3_1':0.9,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(95,449):{'3_1':0.9,'5_1':0.03},(95,448):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'4_1':0.0},(95,447):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0},(95,446):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(95,445):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'6_2':0.0},(95,444):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_2':0.0,'7_2':0.0,'7_3':0.0},(95,443):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(95,442):{'3_1':0.87,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(95,441):{'3_1':0.9,'8_2':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(95,440):{'3_1':0.9,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(95,439):{'3_1':0.81,'5_2':0.0,'5_1':0.0,'6_2':0.0,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(95,438):{'3_1':0.84,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(95,437):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0,'-3':0.0},(95,436):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(95,435):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'7_1':0.0},(95,434):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(95,433):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'-3':0.0},(95,432):{'3_1':0.81,'6_2':0.03,'8_2':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(95,431):{'3_1':0.78,'5_1':0.03,'7_1':0.03,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(95,430):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(95,429):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0},(95,428):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(95,427):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0},(95,426):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0},(95,425):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'8_4':0.0,'-3':0.0},(95,424):{'3_1':0.72,'5_2':0.06,'5_1':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(95,423):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'4_1':0.0,'7_1':0.0},(95,422):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0},(95,421):{'3_1':0.72,'5_1':0.09,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(95,420):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0},(95,419):{'3_1':0.72,'5_1':0.06,'8_2':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(95,418):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'-3':0.0},(95,417):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'7_1':0.0},(95,416):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'5_2':0.0,'-3':0.0},(95,415):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0},(95,414):{'3_1':0.66,'5_1':0.12,'4_1':0.03,'6_2':0.03,'8_2':0.03,'5_2':0.0,'7_5':0.0},(95,413):{'3_1':0.63,'5_1':0.12,'8_2':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0},(95,412):{'3_1':0.63,'5_1':0.12,'8_2':0.06,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(95,411):{'3_1':0.63,'5_1':0.15,'5_2':0.06,'6_2':0.03,'4_1':0.0,'7_5':0.0,'8_2':0.0},(95,410):{'3_1':0.63,'5_1':0.18,'5_2':0.03,'-3':0.0,'8_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(95,409):{'3_1':0.63,'5_1':0.09,'8_2':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'6_1':0.0,'8_6':0.0,'-3':0.0},(95,408):{'3_1':0.69,'5_1':0.12,'6_2':0.0,'5_2':0.0,'8_9':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(95,407):{'3_1':0.69,'5_1':0.06,'6_2':0.03,'8_2':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(95,406):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(95,405):{'3_1':0.78,'5_1':0.0,'6_2':0.0,'7_3':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(95,404):{'3_1':0.78,'6_2':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(95,403):{'3_1':0.9,'6_2':0.03,'5_2':0.0},(95,402):{'3_1':0.78,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(95,401):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(95,400):{'3_1':0.75,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(95,399):{'3_1':0.69,'5_1':0.06,'6_2':0.0,'5_2':0.0},(95,398):{'3_1':0.81,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(95,397):{'3_1':0.72,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0},(95,396):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_7':0.0},(95,395):{'3_1':0.69,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0},(95,394):{'3_1':0.69,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(95,393):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0},(95,392):{'3_1':0.63,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0,'3_1#5_1':0.0},(95,391):{'3_1':0.63,'5_1':0.03,'4_1':0.0,'5_2':0.0},(95,390):{'3_1':0.54,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_14':0.0,'-3':0.0},(95,389):{'3_1':0.45,'4_1':0.06,'5_1':0.03,'6_2':0.03,'7_1':0.0},(95,388):{'3_1':0.45,'4_1':0.03,'6_2':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_7':0.0,'8_16':0.0,'-3':0.0},(95,387):{'3_1':0.33,'4_1':0.03,'5_1':0.0,'6_2':0.0},(95,386):{'3_1':0.27,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0,'8_4':0.0},(95,385):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(95,384):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'6_2':0.0},(95,383):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(95,382):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_12':0.0},(95,381):{'3_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(95,380):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(95,379):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_12':0.0},(95,378):{'4_1':0.03,'3_1':0.0,'6_3':0.0,'-3':0.0},(95,377):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(95,376):{'3_1':0.03,'5_2':0.0},(95,375):{'3_1':0.0,'4_1':0.0},(95,374):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(95,373):{'3_1':0.06,'5_1':0.0,'6_3':0.0,'7_6':0.0},(95,372):{'3_1':0.06,'4_1':0.0},(95,371):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(95,370):{'3_1':0.03,'4_1':0.0},(95,369):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(95,368):{'3_1':0.06,'4_1':0.0},(95,367):{'3_1':0.06,'4_1':0.0},(95,366):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(95,365):{'3_1':0.06,'4_1':0.0},(95,364):{'3_1':0.03,'4_1':0.0},(95,363):{'3_1':0.0,'7_4':0.0},(95,362):{'3_1':0.03,'5_2':0.0},(95,361):{'3_1':0.0,'5_2':0.0,'8_1':0.0},(95,360):{'3_1':0.06,'8_11':0.0},(95,359):{'3_1':0.03},(95,358):{'3_1':0.06,'5_2':0.0},(95,357):{'3_1':0.03,'7_6':0.0},(95,356):{'3_1':0.03,'8_1':0.0},(95,355):{'3_1':0.03},(95,354):{'3_1':0.0,'4_1':0.0},(95,353):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(95,352):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(95,351):{'3_1':0.0,'5_2':0.0},(95,350):{'3_1':0.0,'4_1':0.0},(95,349):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(95,348):{'3_1':0.0},(95,347):{'3_1':0.03,'5_2':0.0},(95,346):{'3_1':0.03,'4_1':0.0},(95,345):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(95,344):{'3_1':0.0},(95,343):{'3_1':0.06,'5_1':0.0},(95,342):{'3_1':0.03,'5_2':0.0},(95,340):{'3_1':0.03,'5_2':0.0},(95,339):{'3_1':0.06,'5_2':0.0},(95,338):{'3_1':0.06,'7_2':0.0},(95,337):{'3_1':0.06,'4_1':0.0},(95,336):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(95,335):{'3_1':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(95,334):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(95,333):{'3_1':0.03,'4_1':0.0},(95,332):{'3_1':0.03,'5_1':0.0},(95,331):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(95,330):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(95,329):{'3_1':0.06},(95,328):{'3_1':0.0},(95,327):{'3_1':0.03,'5_2':0.0},(95,326):{'3_1':0.0,'5_2':0.0},(95,325):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(95,324):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(95,322):{'3_1':0.0},(95,321):{'3_1':0.0},(95,320):{'3_1':0.03,'4_1':0.0},(95,319):{'3_1':0.0},(95,318):{'3_1':0.06,'5_2':0.0},(95,317):{'3_1':0.03},(95,316):{'3_1':0.0},(95,315):{'3_1':0.03},(95,314):{'3_1':0.03,'4_1':0.0},(95,313):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(95,312):{'3_1':0.0},(95,311):{'3_1':0.03,'5_2':0.0},(95,310):{'3_1':0.0},(95,309):{'3_1':0.0,'4_1':0.0},(95,308):{'3_1':0.0,'4_1':0.0},(95,307):{'3_1':0.03},(95,306):{'3_1':0.0,'5_2':0.0},(95,305):{'3_1':0.03,'4_1':0.0},(95,304):{'3_1':0.03},(95,303):{'3_1':0.03,'7_3':0.0},(95,302):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(95,301):{'3_1':0.0},(95,300):{'3_1':0.03},(95,299):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(95,298):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(95,297):{'3_1':0.0,'4_1':0.0},(95,296):{'3_1':0.0,'4_1':0.0},(95,295):{'3_1':0.03,'5_2':0.0},(95,294):{'3_1':0.0},(95,293):{'3_1':0.03,'5_2':0.0},(95,292):{'3_1':0.0},(95,291):{'3_1':0.0,'4_1':0.0},(95,290):{'5_2':0.0},(95,289):{'3_1':0.0},(95,288):{'3_1':0.0},(95,287):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(95,286):{'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(95,285):{'3_1':0.0,'4_1':0.0},(95,283):{'3_1':0.0},(95,282):{'3_1':0.0,'4_1':0.0},(95,281):{'3_1':0.0},(95,280):{'4_1':0.0},(95,279):{'3_1':0.0,'4_1':0.0},(95,278):{'3_1':0.0,'4_1':0.0},(95,277):{'3_1':0.0},(95,275):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(95,274):{'3_1':0.0,'4_1':0.0},(95,273):{'3_1':0.0},(95,272):{'3_1':0.03,'4_1':0.0},(95,271):{'3_1':0.0},(95,270):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(95,269):{'3_1':0.0,'4_1':0.0},(95,268):{'4_1':0.03,'3_1':0.0},(95,267):{'3_1':0.03},(95,266):{'3_1':0.03,'6_2':0.0},(95,265):{'3_1':0.0,'4_1':0.0},(95,264):{'3_1':0.03,'4_1':0.0},(95,263):{'3_1':0.03},(95,262):{'3_1':0.03},(95,261):{'3_1':0.0,'4_1':0.0},(95,260):{'3_1':0.03,'4_1':0.0},(95,259):{'3_1':0.0},(95,258):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(95,257):{'3_1':0.0},(95,256):{'3_1':0.03,'4_1':0.0},(95,255):{'3_1':0.03,'4_1':0.0},(95,254):{'3_1':0.03,'4_1':0.0},(95,253):{'5_2':0.0},(95,252):{'3_1':0.0},(95,250):{'3_1':0.0,'4_1':0.0},(95,249):{'3_1':0.0,'4_1':0.0},(95,248):{'3_1':0.0,'4_1':0.0},(95,246):{'3_1':0.0,'4_1':0.0},(95,244):{'3_1':0.0,'4_1':0.0},(95,243):{'3_1':0.0},(95,242):{'3_1':0.0,'4_1':0.0},(95,241):{'3_1':0.0},(95,239):{'3_1':0.0},(95,238):{'3_1':0.0},(95,237):{'3_1':0.0},(95,235):{'3_1':0.0},(95,234):{'3_1':0.0,'5_2':0.0},(95,233):{'3_1':0.0},(95,231):{'3_1':0.0},(95,230):{'3_1':0.0,'4_1':0.0},(95,228):{'3_1':0.0},(95,227):{'3_1':0.0},(95,226):{'3_1':0.0,'7_6':0.0},(95,225):{'3_1':0.0},(95,224):{'3_1':0.03},(95,223):{'3_1':0.0},(95,221):{'3_1':0.0},(95,220):{'3_1':0.03,'4_1':0.0},(95,219):{'3_1':0.0},(95,218):{'3_1':0.03},(95,217):{'3_1':0.03},(95,216):{'3_1':0.0},(95,215):{'3_1':0.03},(95,214):{'3_1':0.0},(95,213):{'3_1':0.0},(95,212):{'3_1':0.0},(95,211):{'3_1':0.03},(95,210):{'3_1':0.0},(95,209):{'3_1':0.0},(95,208):{'3_1':0.03},(95,207):{'3_1':0.03},(95,205):{'3_1':0.0},(95,204):{'3_1':0.0},(95,202):{'3_1':0.0},(95,201):{'3_1':0.0},(95,199):{'3_1':0.0},(95,198):{'3_1':0.0},(95,197):{'3_1':0.0},(95,196):{'3_1':0.03},(95,195):{'3_1':0.0},(95,194):{'3_1':0.0},(95,193):{'3_1':0.0},(95,192):{'3_1':0.0},(95,190):{'3_1':0.0},(95,189):{'3_1':0.0},(95,186):{'3_1':0.0},(95,185):{'3_1':0.03},(95,184):{'3_1':0.0},(95,183):{'3_1':0.0},(95,182):{'3_1':0.0},(95,181):{'3_1':0.0},(95,180):{'3_1':0.0},(95,177):{'3_1':0.0},(95,172):{'3_1':0.0},(95,170):{'4_1':0.0},(95,163):{'3_1':0.0},(95,162):{'4_1':0.0},(95,154):{'3_1':0.0},(96,459):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(96,458):{'3_1':0.9,'5_1':0.0},(96,457):{'3_1':0.9,'5_2':0.0,'6_1':0.0},(96,456):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(96,455):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(96,454):{'3_1':0.9},(96,453):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'6_1':0.0},(96,452):{'3_1':0.9,'4_1':0.0,'6_2':0.0},(96,451):{'3_1':0.9,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(96,450):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_3':0.0},(96,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0},(96,448):{'3_1':0.87,'5_1':0.0,'5_2':0.0},(96,447):{'3_1':0.87,'7_1':0.0,'6_1':0.0,'6_2':0.0},(96,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(96,445):{'3_1':0.87,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(96,444):{'3_1':0.87,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(96,443):{'3_1':0.9,'5_1':0.03,'4_1':0.0,'8_2':0.0},(96,442):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_2':0.0,'8_2':0.0,'8_12':0.0},(96,441):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(96,440):{'3_1':0.87,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(96,439):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_5':0.0},(96,438):{'3_1':0.87,'6_2':0.03,'5_1':0.0,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(96,437):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'8_2':0.0},(96,436):{'3_1':0.87,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(96,435):{'3_1':0.81,'5_1':0.03,'6_2':0.03,'4_1':0.0,'8_2':0.0},(96,434):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(96,433):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(96,432):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(96,431):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(96,430):{'3_1':0.87,'8_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(96,429):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'6_1':0.0,'-3':0.0},(96,428):{'3_1':0.72,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(96,427):{'3_1':0.75,'5_1':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0},(96,426):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(96,425):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(96,424):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(96,423):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(96,422):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'6_2':0.0,'8_4':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(96,421):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(96,420):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'6_2':0.0,'8_2':0.0},(96,419):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(96,418):{'3_1':0.84,'5_1':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'8_5':0.0,'-3':0.0},(96,417):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'7_1':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0},(96,416):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0},(96,415):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0},(96,414):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_4':0.0},(96,413):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(96,412):{'3_1':0.69,'5_1':0.15,'8_2':0.03,'-3':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(96,411):{'3_1':0.69,'5_1':0.15,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(96,410):{'3_1':0.54,'5_1':0.24,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(96,409):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(96,408):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0},(96,407):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'7_1':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(96,406):{'3_1':0.78,'5_1':0.03,'8_2':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_7':0.0},(96,405):{'3_1':0.78,'5_1':0.03,'6_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(96,404):{'3_1':0.75,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(96,403):{'3_1':0.87,'6_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(96,402):{'3_1':0.78,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0,'8_13':0.0,'-3':0.0},(96,401):{'3_1':0.75,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'5_1':0.0,'-3':0.0},(96,400):{'3_1':0.81,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(96,399):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_13':0.0},(96,398):{'3_1':0.75,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(96,397):{'3_1':0.78,'5_2':0.0,'4_1':0.0,'5_1':0.0},(96,396):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0},(96,395):{'3_1':0.69,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_7':0.0},(96,394):{'3_1':0.63,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(96,393):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'-3':0.0},(96,392):{'3_1':0.66,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(96,391):{'3_1':0.54,'4_1':0.0,'5_1':0.0,'6_2':0.0},(96,390):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_19':0.0},(96,389):{'3_1':0.39,'6_2':0.06,'5_1':0.03,'4_1':0.0},(96,388):{'3_1':0.42,'4_1':0.03,'5_1':0.0},(96,387):{'3_1':0.3,'6_2':0.06,'4_1':0.03},(96,386):{'3_1':0.24,'5_2':0.0,'6_2':0.0,'5_1':0.0,'4_1':0.0},(96,385):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0},(96,384):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_2':0.0},(96,383):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(96,382):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(96,381):{'4_1':0.06,'6_1':0.03,'3_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(96,380):{'3_1':0.09,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(96,379):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(96,378):{'4_1':0.06,'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_8':0.0},(96,377):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(96,376):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(96,375):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(96,374):{'3_1':0.06,'4_1':0.0},(96,373):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(96,372):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(96,371):{'3_1':0.03},(96,370):{'3_1':0.06},(96,369):{'3_1':0.03,'4_1':0.0,'-3':0.0},(96,368):{'3_1':0.03,'5_2':0.0},(96,367):{'3_1':0.0,'4_1':0.0},(96,366):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(96,365):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(96,364):{'3_1':0.03,'4_1':0.0},(96,363):{'3_1':0.09,'5_2':0.0},(96,362):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(96,361):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(96,360):{'3_1':0.0,'4_1':0.0},(96,359):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(96,358):{'3_1':0.06},(96,357):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(96,356):{'3_1':0.06,'8_21|3_1#4_1':0.0},(96,355):{'3_1':0.03,'4_1':0.0},(96,354):{'3_1':0.03},(96,353):{'3_1':0.0,'4_1':0.0},(96,352):{'3_1':0.03,'4_1':0.0},(96,351):{'3_1':0.03},(96,350):{'3_1':0.03},(96,349):{'3_1':0.06},(96,348):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(96,347):{'3_1':0.0},(96,346):{'3_1':0.03},(96,345):{'3_1':0.0,'4_1':0.0},(96,344):{'3_1':0.03,'5_2':0.0},(96,343):{'3_1':0.03,'5_2':0.0},(96,342):{'3_1':0.0},(96,341):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(96,340):{'3_1':0.03,'5_2':0.0},(96,339):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(96,338):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(96,337):{'3_1':0.06,'4_1':0.0},(96,336):{'3_1':0.03,'4_1':0.0},(96,335):{'3_1':0.03,'4_1':0.0},(96,334):{'3_1':0.03,'4_1':0.0},(96,333):{'3_1':0.03,'5_2':0.0},(96,332):{'3_1':0.03,'5_2':0.0},(96,331):{'3_1':0.03},(96,330):{'3_1':0.06,'4_1':0.0},(96,329):{'3_1':0.03,'4_1':0.0},(96,328):{'3_1':0.0,'5_2':0.0},(96,327):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(96,326):{'3_1':0.0,'4_1':0.0},(96,325):{'3_1':0.0,'5_2':0.0},(96,324):{'3_1':0.0},(96,323):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(96,322):{'3_1':0.03,'6_3':0.0},(96,321):{'3_1':0.03,'5_2':0.0},(96,320):{'5_2':0.0,'3_1':0.0},(96,319):{'3_1':0.03,'4_1':0.0},(96,318):{'3_1':0.0},(96,317):{'3_1':0.0},(96,316):{'3_1':0.0,'4_1':0.0},(96,315):{'3_1':0.03},(96,314):{'3_1':0.06,'4_1':0.0},(96,313):{'3_1':0.0},(96,312):{'3_1':0.03},(96,311):{'3_1':0.0,'4_1':0.0},(96,310):{'3_1':0.03},(96,309):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(96,308):{'3_1':0.06},(96,307):{'3_1':0.03,'4_1':0.0},(96,306):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(96,305):{'3_1':0.03},(96,304):{'3_1':0.0,'5_2':0.0},(96,303):{'3_1':0.06,'4_1':0.0},(96,302):{'3_1':0.03,'5_2':0.0},(96,301):{'3_1':0.03,'7_5':0.0},(96,300):{'3_1':0.06,'5_1':0.0},(96,299):{'3_1':0.03,'5_2':0.0,'-3':0.0},(96,298):{'3_1':0.0,'7_1':0.0,'7_5':0.0},(96,297):{'3_1':0.0},(96,296):{'3_1':0.0},(96,295):{'3_1':0.03},(96,294):{'3_1':0.03},(96,293):{'3_1':0.03,'4_1':0.0},(96,292):{'3_1':0.0,'4_1':0.0},(96,291):{'3_1':0.0,'5_2':0.0},(96,290):{'3_1':0.03},(96,289):{'3_1':0.0},(96,288):{'3_1':0.03},(96,287):{'3_1':0.03,'4_1':0.0},(96,286):{'3_1':0.03,'4_1':0.0},(96,285):{'3_1':0.0},(96,284):{'3_1':0.0},(96,283):{'3_1':0.0,'6_3':0.0},(96,282):{'3_1':0.0},(96,281):{'3_1':0.0,'5_2':0.0},(96,280):{'3_1':0.0},(96,279):{'3_1':0.03,'4_1':0.0},(96,278):{'3_1':0.0},(96,277):{'3_1':0.0},(96,276):{'3_1':0.0},(96,275):{'3_1':0.0,'4_1':0.0},(96,274):{'3_1':0.03},(96,273):{'3_1':0.03},(96,272):{'3_1':0.0},(96,271):{'3_1':0.03,'4_1':0.0},(96,270):{'3_1':0.0},(96,269):{'3_1':0.0},(96,268):{'3_1':0.03},(96,267):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(96,266):{'3_1':0.03,'4_1':0.0},(96,265):{'3_1':0.03,'4_1':0.0},(96,264):{'4_1':0.0},(96,263):{'3_1':0.09,'4_1':0.0},(96,262):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(96,261):{'3_1':0.03,'4_1':0.0},(96,260):{'3_1':0.0,'6_2':0.0},(96,259):{'3_1':0.03,'5_1':0.0},(96,258):{'3_1':0.03},(96,257):{'3_1':0.0,'4_1':0.0},(96,256):{'4_1':0.0,'3_1':0.0},(96,255):{'3_1':0.0,'4_1':0.0},(96,254):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(96,252):{'3_1':0.0,'5_1':0.0,'6_3':0.0},(96,250):{'3_1':0.03},(96,248):{'3_1':0.0},(96,247):{'3_1':0.0},(96,244):{'3_1':0.0},(96,243):{'3_1':0.03},(96,242):{'3_1':0.0},(96,241):{'3_1':0.0},(96,240):{'3_1':0.0},(96,239):{'3_1':0.0},(96,238):{'3_1':0.0},(96,237):{'3_1':0.03,'5_1':0.0},(96,236):{'3_1':0.0},(96,235):{'3_1':0.0},(96,234):{'3_1':0.0},(96,232):{'3_1':0.0},(96,231):{'3_1':0.0},(96,230):{'3_1':0.0},(96,229):{'3_1':0.0},(96,228):{'3_1':0.0},(96,227):{'3_1':0.0},(96,226):{'3_1':0.0},(96,225):{'3_1':0.0},(96,224):{'3_1':0.03},(96,223):{'3_1':0.03},(96,222):{'3_1':0.0},(96,221):{'3_1':0.06},(96,220):{'3_1':0.0,'4_1':0.0},(96,219):{'3_1':0.06},(96,218):{'3_1':0.03},(96,217):{'3_1':0.03},(96,216):{'3_1':0.0},(96,215):{'3_1':0.0,'4_1':0.0},(96,214):{'3_1':0.0,'4_1':0.0},(96,213):{'4_1':0.0},(96,212):{'3_1':0.0,'4_1':0.0},(96,211):{'3_1':0.0},(96,210):{'3_1':0.03},(96,209):{'3_1':0.06},(96,208):{'3_1':0.03},(96,207):{'3_1':0.0},(96,206):{'3_1':0.03},(96,205):{'3_1':0.03},(96,204):{'3_1':0.0},(96,203):{'3_1':0.0},(96,202):{'3_1':0.0},(96,201):{'3_1':0.0},(96,200):{'3_1':0.0},(96,199):{'3_1':0.0},(96,198):{'3_1':0.0},(96,197):{'3_1':0.0},(96,196):{'3_1':0.0},(96,195):{'3_1':0.0},(96,194):{'3_1':0.0},(96,193):{'3_1':0.0},(96,192):{'3_1':0.0},(96,191):{'3_1':0.0},(96,189):{'3_1':0.0},(96,187):{'3_1':0.0},(96,186):{'3_1':0.0},(96,185):{'3_1':0.0},(96,184):{'3_1':0.03},(96,183):{'3_1':0.0,'4_1':0.0},(96,181):{'3_1':0.0},(96,180):{'3_1':0.0},(96,171):{'3_1':0.0},(96,169):{'3_1':0.0},(96,168):{'3_1':0.0},(96,167):{'4_1':0.0},(96,166):{'3_1':0.0},(96,165):{'3_1':0.0},(96,162):{'3_1':0.0},(96,159):{'3_1':0.0,'4_1':0.0},(96,157):{'3_1':0.0},(96,155):{'3_1':0.0},(96,154):{'3_1':0.0},(96,152):{'3_1':0.0},(96,149):{'3_1':0.0},(96,148):{'3_1':0.0},(96,146):{'3_1':0.0},(97,459):{'3_1':0.87,'5_2':0.0,'6_1':0.0,'6_2':0.0},(97,458):{'3_1':0.9,'4_1':0.0,'6_1':0.0},(97,457):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_1':0.0},(97,456):{'3_1':0.9,'5_1':0.0},(97,455):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0},(97,454):{'3_1':0.87,'4_1':0.0,'5_2':0.0},(97,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0},(97,452):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0},(97,451):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(97,450):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(97,449):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(97,448):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(97,447):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(97,446):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(97,445):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(97,444):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'8_2':0.0,'6_1':0.0},(97,443):{'3_1':0.87,'5_1':0.0,'8_2':0.0},(97,442):{'3_1':0.87,'6_2':0.03,'4_1':0.0,'8_2':0.0},(97,441):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(97,440):{'3_1':0.9,'6_2':0.0,'8_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(97,439):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(97,438):{'3_1':0.78,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(97,437):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(97,436):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(97,435):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(97,434):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_1':0.0},(97,433):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(97,432):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_2':0.0,'8_5':0.0},(97,431):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0},(97,430):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(97,429):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0},(97,428):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'7_3':0.0},(97,427):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(97,426):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(97,425):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(97,424):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(97,423):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'7_3':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0},(97,422):{'3_1':0.69,'5_1':0.06,'8_2':0.03,'5_2':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0},(97,421):{'3_1':0.69,'5_1':0.12,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(97,420):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_2':0.0},(97,419):{'3_1':0.75,'8_2':0.06,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(97,418):{'3_1':0.72,'6_2':0.06,'5_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0},(97,417):{'3_1':0.78,'5_1':0.06,'7_3':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_10':0.0},(97,416):{'3_1':0.72,'5_1':0.09,'4_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0},(97,415):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'-3':0.0,'6_2':0.0,'4_1':0.0,'7_2':0.0,'8_2':0.0,'8_11':0.0},(97,414):{'3_1':0.6,'5_1':0.21,'5_2':0.03,'8_2':0.0,'4_1':0.0,'8_4':0.0,'8_19':0.0,'-3':0.0},(97,413):{'3_1':0.6,'5_1':0.12,'5_2':0.06,'8_2':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(97,412):{'3_1':0.66,'5_1':0.12,'6_2':0.03,'5_2':0.0,'8_2':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(97,411):{'3_1':0.57,'5_1':0.15,'5_2':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'1':-0.03},(97,410):{'3_1':0.69,'5_1':0.06,'8_2':0.03,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0},(97,409):{'3_1':0.57,'5_1':0.15,'5_2':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0},(97,408):{'3_1':0.6,'5_1':0.12,'5_2':0.06,'6_2':0.06,'8_2':0.0,'7_3':0.0,'7_1':0.0,'-3':0.0},(97,407):{'3_1':0.69,'5_2':0.03,'5_1':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_1':0.0},(97,406):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(97,405):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'4_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(97,404):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'8_11':0.0},(97,403):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(97,402):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0},(97,401):{'3_1':0.78,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(97,400):{'3_1':0.78,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(97,399):{'3_1':0.75,'5_2':0.0,'5_1':0.0,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(97,398):{'3_1':0.78,'4_1':0.0,'6_2':0.0,'7_6':0.0},(97,397):{'3_1':0.72,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(97,396):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(97,395):{'3_1':0.78,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_14':0.0},(97,394):{'3_1':0.72,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(97,393):{'3_1':0.63,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0},(97,392):{'3_1':0.63,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'6_2':0.0,'6_3':0.0,'8_4':0.0},(97,391):{'3_1':0.66,'5_1':0.0,'5_2':0.0,'6_1':0.0},(97,390):{'3_1':0.51,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(97,389):{'3_1':0.48,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0},(97,388):{'3_1':0.39,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0},(97,387):{'3_1':0.36,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_9':0.0,'-3':0.0},(97,386):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(97,385):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(97,384):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_7':0.0,'-3':0.0},(97,383):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(97,382):{'3_1':0.06,'4_1':0.03},(97,381):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_6':0.0,'-3':0.0},(97,380):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(97,379):{'3_1':0.06,'5_2':0.0},(97,378):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(97,377):{'3_1':0.03,'4_1':0.0},(97,376):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(97,375):{'3_1':0.06,'4_1':0.0},(97,374):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(97,373):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(97,372):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(97,371):{'3_1':0.09,'5_2':0.0},(97,370):{'3_1':0.06},(97,369):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(97,368):{'3_1':0.03,'5_2':0.0},(97,367):{'3_1':0.06,'4_1':0.0},(97,366):{'3_1':0.0},(97,365):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(97,364):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(97,363):{'3_1':0.09,'5_2':0.0,'7_5':0.0},(97,362):{'3_1':0.06,'4_1':0.0},(97,361):{'3_1':0.09},(97,360):{'3_1':0.0,'5_2':0.0},(97,359):{'3_1':0.0,'4_1':0.0},(97,358):{'3_1':0.03,'5_2':0.0},(97,357):{'3_1':0.0,'4_1':0.0},(97,356):{'3_1':0.03},(97,355):{'3_1':0.03,'5_2':0.0},(97,354):{'3_1':0.0,'4_1':0.0},(97,353):{'3_1':0.03,'5_2':0.0},(97,352):{'3_1':0.06},(97,351):{'3_1':0.03,'4_1':0.0},(97,350):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(97,349):{'3_1':0.03,'5_2':0.0},(97,348):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(97,347):{'3_1':0.03,'5_2':0.0},(97,346):{'3_1':0.03},(97,345):{'3_1':0.03},(97,344):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(97,343):{'3_1':0.03},(97,342):{'3_1':0.06,'5_2':0.0},(97,341):{'3_1':0.0,'5_2':0.0},(97,340):{'3_1':0.03},(97,339):{'3_1':0.06,'4_1':0.0},(97,338):{'3_1':0.09,'4_1':0.0},(97,337):{'3_1':0.0,'4_1':0.0},(97,336):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(97,335):{'3_1':0.06,'4_1':0.0},(97,334):{'3_1':0.06},(97,333):{'3_1':0.03,'5_2':0.0},(97,332):{'3_1':0.06,'4_1':0.0},(97,331):{'3_1':0.06},(97,330):{'3_1':0.03},(97,329):{'3_1':0.03},(97,328):{'3_1':0.0,'4_1':0.0},(97,327):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(97,326):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(97,325):{'3_1':0.03,'5_2':0.0},(97,324):{'3_1':0.0},(97,323):{'3_1':0.03,'4_1':0.0},(97,322):{'3_1':0.0},(97,321):{'3_1':0.03},(97,320):{'3_1':0.0},(97,319):{'3_1':0.03},(97,318):{'3_1':0.06},(97,317):{'3_1':0.0},(97,316):{'3_1':0.0},(97,315):{'3_1':0.03},(97,314):{'3_1':0.03,'4_1':0.0},(97,313):{'3_1':0.03},(97,312):{'3_1':0.03,'4_1':0.0},(97,311):{'3_1':0.03,'5_2':0.0},(97,310):{'3_1':0.0},(97,309):{'3_1':0.03,'5_2':0.0,'8_16':0.0},(97,308):{'3_1':0.03},(97,307):{'3_1':0.03},(97,306):{'3_1':0.03,'4_1':0.0},(97,305):{'3_1':0.0,'4_1':0.0},(97,304):{'3_1':0.03},(97,303):{'3_1':0.06,'4_1':0.0},(97,302):{'3_1':0.06},(97,301):{'3_1':0.03},(97,300):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(97,299):{'3_1':0.03,'4_1':0.0},(97,298):{'3_1':0.0},(97,297):{'3_1':0.0},(97,296):{'3_1':0.0},(97,295):{'3_1':0.03},(97,293):{'3_1':0.06},(97,292):{'3_1':0.0},(97,291):{'3_1':0.06},(97,290):{'3_1':0.03,'4_1':0.0},(97,289):{'3_1':0.0},(97,288):{'3_1':0.03},(97,287):{'3_1':0.03,'4_1':0.0},(97,286):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(97,285):{'3_1':0.0,'5_1':0.0},(97,284):{'3_1':0.0},(97,283):{'3_1':0.0},(97,282):{'3_1':0.0},(97,281):{'3_1':0.03,'5_1':0.0},(97,280):{'3_1':0.0},(97,279):{'3_1':0.0,'5_2':0.0},(97,278):{'3_1':0.0},(97,277):{'3_1':0.0},(97,276):{'3_1':0.0},(97,275):{'3_1':0.0},(97,274):{'3_1':0.03},(97,273):{'3_1':0.0},(97,272):{'3_1':0.0},(97,271):{'3_1':0.09,'4_1':0.0},(97,270):{'3_1':0.0,'5_1':0.0},(97,269):{'3_1':0.03},(97,268):{'3_1':0.03,'5_2':0.0},(97,267):{'3_1':0.06},(97,266):{'3_1':0.06,'4_1':0.0},(97,265):{'3_1':0.0},(97,264):{'3_1':0.06,'5_1':0.0},(97,263):{'3_1':0.03,'4_1':0.0},(97,262):{'3_1':0.0},(97,261):{'3_1':0.06,'5_1':0.0},(97,260):{'3_1':0.06},(97,259):{'3_1':0.0},(97,258):{'3_1':0.03},(97,257):{'3_1':0.03,'5_1':0.0},(97,256):{'3_1':0.03,'4_1':0.0},(97,255):{'3_1':0.0,'5_1':0.0},(97,254):{'3_1':0.0,'5_1':0.0},(97,253):{'3_1':0.0},(97,252):{'3_1':0.0},(97,251):{'3_1':0.03},(97,250):{'3_1':0.06},(97,249):{'3_1':0.0},(97,248):{'3_1':0.0},(97,247):{'3_1':0.0},(97,246):{'3_1':0.0},(97,245):{'3_1':0.03},(97,244):{'3_1':0.0},(97,243):{'3_1':0.0},(97,242):{'3_1':0.0},(97,241):{'3_1':0.03},(97,240):{'3_1':0.0},(97,238):{'3_1':0.0},(97,237):{'3_1':0.0},(97,236):{'3_1':0.0},(97,235):{'3_1':0.0},(97,234):{'3_1':0.0},(97,233):{'3_1':0.0},(97,232):{'3_1':0.0},(97,231):{'3_1':0.0,'4_1':0.0},(97,230):{'3_1':0.0},(97,229):{'3_1':0.0},(97,227):{'3_1':0.03},(97,226):{'3_1':0.0},(97,225):{'3_1':0.0},(97,224):{'3_1':0.0,'4_1':0.0},(97,223):{'3_1':0.09},(97,222):{'3_1':0.03},(97,221):{'3_1':0.06},(97,220):{'3_1':0.0,'5_2':0.0},(97,219):{'3_1':0.0},(97,218):{'3_1':0.0},(97,217):{'3_1':0.0},(97,216):{'3_1':0.06},(97,215):{'3_1':0.03},(97,214):{'3_1':0.0,'4_1':0.0},(97,213):{'3_1':0.0},(97,212):{'3_1':0.0},(97,211):{'3_1':0.06},(97,210):{'3_1':0.03},(97,209):{'3_1':0.03,'4_1':0.0},(97,208):{'3_1':0.0,'4_1':0.0},(97,207):{'3_1':0.06},(97,206):{'3_1':0.03},(97,205):{'3_1':0.0},(97,204):{'3_1':0.0},(97,203):{'3_1':0.0},(97,202):{'3_1':0.0},(97,201):{'3_1':0.0},(97,200):{'3_1':0.0},(97,199):{'3_1':0.0},(97,198):{'3_1':0.03},(97,197):{'3_1':0.0},(97,196):{'3_1':0.0},(97,195):{'3_1':0.0},(97,194):{'3_1':0.03},(97,193):{'3_1':0.0},(97,192):{'3_1':0.0},(97,191):{'3_1':0.0},(97,190):{'3_1':0.0},(97,188):{'3_1':0.0},(97,187):{'3_1':0.0},(97,186):{'3_1':0.0},(97,185):{'3_1':0.03},(97,184):{'3_1':0.0},(97,183):{'3_1':0.0},(97,181):{'3_1':0.03},(97,180):{'3_1':0.0},(97,177):{'4_1':0.0},(97,176):{'3_1':0.0},(97,175):{'4_1':0.0},(97,169):{'3_1':0.0},(97,166):{'4_1':0.0},(97,159):{'4_1':0.0},(97,154):{'3_1':0.0},(97,153):{'4_1':0.0},(97,152):{'3_1':0.0},(97,151):{'3_1':0.0},(97,149):{'3_1':0.0,'4_1':0.0},(97,147):{'3_1':0.0},(97,138):{'3_1':0.0},(97,136):{'3_1':0.0},(97,135):{'3_1':0.0},(97,134):{'3_1':0.0},(97,133):{'3_1':0.0},(98,459):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'6_2':0.0},(98,458):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0},(98,457):{'3_1':0.87,'4_1':0.03,'5_2':0.0,'6_1':0.0},(98,456):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(98,455):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0},(98,454):{'3_1':0.84,'7_1':0.0,'4_1':0.0,'5_1':0.0},(98,453):{'3_1':0.9,'4_1':0.0,'5_1':0.0},(98,452):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0},(98,451):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(98,450):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0},(98,449):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(98,448):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'4_1':0.0},(98,447):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0},(98,446):{'3_1':0.87,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_1':0.0},(98,445):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'4_1':0.0},(98,444):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(98,443):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(98,442):{'3_1':0.84,'6_2':0.0,'4_1':0.0,'5_1':0.0},(98,441):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(98,440):{'3_1':0.84,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0},(98,439):{'3_1':0.81,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(98,438):{'3_1':0.78,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(98,437):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(98,436):{'3_1':0.78,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(98,435):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(98,434):{'3_1':0.84,'5_2':0.03,'8_2':0.0,'4_1':0.0,'5_1':0.0,'8_4':0.0,'-3':0.0},(98,433):{'3_1':0.72,'5_1':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0},(98,432):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(98,431):{'3_1':0.87,'5_1':0.0,'5_2':0.0},(98,430):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_2':0.0,'8_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(98,429):{'3_1':0.9,'5_1':0.03,'6_2':0.0},(98,428):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'4_1':0.0},(98,427):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(98,426):{'3_1':0.81,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(98,425):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(98,424):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'5_2':0.0,'7_1':0.0},(98,423):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'8_4':0.0},(98,422):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(98,421):{'3_1':0.75,'5_1':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(98,420):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(98,419):{'3_1':0.81,'5_1':0.06,'7_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(98,418):{'3_1':0.81,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(98,417):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(98,416):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_2':0.0,'-3':0.0,'8_4':0.0,'3_1#5_1':0.0},(98,415):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_2':0.0,'8_7':0.0},(98,414):{'3_1':0.66,'5_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0},(98,413):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'4_1':0.03,'8_2':0.03,'6_2':0.0,'7_3':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(98,412):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_3':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0,'2':-0.03},(98,411):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'8_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(98,410):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'8_2':0.03,'4_1':0.0,'-3':0.0,'8_4':0.0,'8_9':0.0,'8_18':0.0},(98,409):{'3_1':0.6,'5_1':0.15,'5_2':0.06,'8_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(98,408):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_4':0.0,'8_9':0.0,'4_1':0.0,'-3':0.0},(98,407):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(98,406):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_2':0.0,'8_6':0.0},(98,405):{'3_1':0.66,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(98,404):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_16':0.0,'-3':0.0},(98,403):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0},(98,402):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(98,401):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(98,400):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(98,399):{'3_1':0.75,'5_1':0.0,'6_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_13':0.0},(98,398):{'3_1':0.84,'5_2':0.0,'6_1':0.0,'-3':0.0},(98,397):{'3_1':0.66,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0},(98,396):{'3_1':0.63,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(98,395):{'3_1':0.63,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_19':0.0},(98,394):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(98,393):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(98,392):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(98,391):{'3_1':0.6,'5_1':0.06,'4_1':0.03,'-3':0.0,'5_2':0.0,'6_1':0.0},(98,390):{'3_1':0.51,'5_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(98,389):{'3_1':0.42,'4_1':0.06,'5_1':0.0,'6_2':0.0},(98,388):{'3_1':0.42,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(98,387):{'3_1':0.3,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(98,386):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(98,385):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(98,384):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(98,383):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(98,382):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(98,381):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(98,380):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(98,379):{'4_1':0.03,'3_1':0.03,'7_6':0.0},(98,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(98,377):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(98,376):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(98,375):{'4_1':0.03,'3_1':0.03,'6_2':0.0},(98,374):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(98,373):{'3_1':0.03,'4_1':0.0},(98,372):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(98,371):{'3_1':0.03},(98,370):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(98,369):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(98,368):{'3_1':0.06,'6_3':0.0},(98,367):{'3_1':0.09,'4_1':0.0},(98,366):{'3_1':0.09},(98,365):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(98,364):{'3_1':0.06,'4_1':0.0},(98,363):{'3_1':0.03,'5_1':0.0},(98,362):{'3_1':0.03},(98,361):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(98,360):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(98,359):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(98,358):{'3_1':0.06,'5_2':0.0},(98,357):{'3_1':0.03,'7_5':0.0},(98,356):{'3_1':0.03,'5_2':0.0},(98,355):{'3_1':0.03,'5_2':0.0},(98,354):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(98,353):{'3_1':0.0,'5_2':0.0},(98,352):{'3_1':0.03},(98,351):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(98,350):{'3_1':0.0,'6_3':0.0},(98,349):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(98,348):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(98,346):{'3_1':0.06,'4_1':0.0},(98,345):{'3_1':0.06,'5_2':0.0},(98,344):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(98,343):{'3_1':0.03,'4_1':0.0},(98,342):{'3_1':0.0,'5_1':0.0},(98,341):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(98,340):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(98,339):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(98,338):{'3_1':0.06,'5_2':0.0},(98,337):{'3_1':0.06,'5_2':0.0},(98,336):{'3_1':0.03,'4_1':0.0},(98,335):{'3_1':0.03,'8_20|3_1#3_1':0.0},(98,334):{'3_1':0.03,'4_1':0.0},(98,333):{'3_1':0.03},(98,332):{'3_1':0.03,'4_1':0.0},(98,331):{'3_1':0.06,'5_2':0.0},(98,330):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(98,329):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(98,328):{'3_1':0.03,'4_1':0.0},(98,327):{'3_1':0.03,'4_1':0.0},(98,326):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(98,325):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(98,324):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(98,323):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(98,322):{'3_1':0.0,'4_1':0.0},(98,321):{'3_1':0.03,'5_2':0.0},(98,320):{'3_1':0.0},(98,319):{'3_1':0.0},(98,318):{'3_1':0.03},(98,317):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(98,316):{'3_1':0.0,'8_21|3_1#4_1':0.0},(98,315):{'3_1':0.03,'8_20|3_1#3_1':0.0},(98,314):{'3_1':0.0},(98,313):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(98,312):{'3_1':0.03},(98,311):{'3_1':0.0},(98,310):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(98,309):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(98,308):{'3_1':0.0,'8_20|3_1#3_1':0.0},(98,307):{'3_1':0.0},(98,306):{'3_1':0.0,'5_2':0.0},(98,305):{'3_1':0.03,'5_2':0.0},(98,304):{'3_1':0.03,'5_2':0.0,'7_6':0.0},(98,303):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(98,302):{'3_1':0.03,'4_1':0.0},(98,301):{'3_1':0.06,'4_1':0.0},(98,300):{'3_1':0.03,'4_1':0.0},(98,299):{'3_1':0.03,'4_1':0.0},(98,298):{'3_1':0.06},(98,297):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(98,296):{'3_1':0.03,'5_2':0.0},(98,295):{'3_1':0.03},(98,294):{'3_1':0.0},(98,293):{'3_1':0.03},(98,292):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(98,291):{'3_1':0.03},(98,290):{'3_1':0.03},(98,289):{'3_1':0.0,'5_2':0.0},(98,288):{'3_1':0.0,'4_1':0.0},(98,287):{'3_1':0.06,'8_20|3_1#3_1':0.0},(98,286):{'3_1':0.0},(98,285):{'3_1':0.0},(98,284):{'3_1':0.0,'4_1':0.0},(98,283):{'3_1':0.0},(98,282):{'3_1':0.03},(98,281):{'3_1':0.0},(98,280):{'3_1':0.03,'5_2':0.0},(98,279):{'3_1':0.0},(98,278):{'3_1':0.03},(98,277):{'3_1':0.0},(98,276):{'3_1':0.03,'6_2':0.0},(98,275):{'3_1':0.0},(98,274):{'3_1':0.03,'4_1':0.0},(98,273):{'3_1':0.06},(98,272):{'3_1':0.03},(98,271):{'3_1':0.06},(98,270):{'3_1':0.03,'4_1':0.0},(98,269):{'3_1':0.03},(98,268):{'3_1':0.06,'5_2':0.0},(98,267):{'3_1':0.06},(98,266):{'3_1':0.09,'4_1':0.0},(98,265):{'3_1':0.06},(98,264):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(98,263):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(98,262):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(98,261):{'3_1':0.03,'5_2':0.0},(98,260):{'3_1':0.03},(98,259):{'3_1':0.06},(98,258):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(98,257):{'3_1':0.03,'4_1':0.0},(98,256):{'3_1':0.0,'6_1':0.0},(98,255):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(98,254):{'3_1':0.0},(98,253):{'3_1':0.03},(98,252):{'3_1':0.03},(98,251):{'3_1':0.03},(98,250):{'3_1':0.0},(98,248):{'3_1':0.03},(98,247):{'3_1':0.0},(98,246):{'3_1':0.03},(98,245):{'3_1':0.0},(98,244):{'3_1':0.03},(98,242):{'3_1':0.0},(98,241):{'3_1':0.0},(98,240):{'3_1':0.0,'4_1':0.0},(98,239):{'3_1':0.03},(98,238):{'3_1':0.0},(98,237):{'3_1':0.0},(98,236):{'3_1':0.03},(98,235):{'3_1':0.0},(98,234):{'3_1':0.0},(98,233):{'3_1':0.0},(98,232):{'3_1':0.0},(98,231):{'3_1':0.0},(98,230):{'3_1':0.0},(98,229):{'3_1':0.0},(98,228):{'3_1':0.0},(98,227):{'3_1':0.0},(98,226):{'3_1':0.03},(98,225):{'3_1':0.0},(98,224):{'3_1':0.0,'5_1':0.0},(98,223):{'3_1':0.03},(98,222):{'3_1':0.03},(98,221):{'3_1':0.06,'5_1':0.0},(98,220):{'3_1':0.0},(98,219):{'3_1':0.03},(98,218):{'3_1':0.03,'4_1':0.0},(98,217):{'3_1':0.0},(98,216):{'3_1':0.06},(98,215):{'3_1':0.06},(98,214):{'3_1':0.06},(98,213):{'3_1':0.06},(98,212):{'3_1':0.03},(98,211):{'3_1':0.03},(98,210):{'3_1':0.06},(98,209):{'3_1':0.06,'4_1':0.0},(98,208):{'3_1':0.06,'5_1':0.0},(98,207):{'3_1':0.03},(98,206):{'3_1':0.06},(98,205):{'3_1':0.03},(98,204):{'3_1':0.0},(98,203):{'3_1':0.03},(98,202):{'3_1':0.0},(98,201):{'3_1':0.0},(98,200):{'3_1':0.03},(98,198):{'3_1':0.0},(98,197):{'3_1':0.0},(98,196):{'3_1':0.0},(98,195):{'3_1':0.0},(98,194):{'3_1':0.03},(98,193):{'3_1':0.03},(98,192):{'3_1':0.0},(98,191):{'5_1':0.0},(98,190):{'3_1':0.0},(98,189):{'3_1':0.0},(98,187):{'3_1':0.0},(98,186):{'3_1':0.0},(98,185):{'3_1':0.0},(98,184):{'3_1':0.03},(98,183):{'3_1':0.0},(98,182):{'3_1':0.0,'4_1':0.0},(98,181):{'3_1':0.0},(98,180):{'3_1':0.0},(98,173):{'4_1':0.0},(98,166):{'4_1':0.0},(98,162):{'3_1':0.0,'4_1':0.0},(98,160):{'3_1':0.0},(98,158):{'4_1':0.0},(98,155):{'4_1':0.0},(98,153):{'3_1':0.0},(98,152):{'3_1':0.0},(98,151):{'3_1':0.0},(98,150):{'3_1':0.0},(98,138):{'3_1':0.0},(98,135):{'3_1':0.0},(98,133):{'3_1':0.0},(99,459):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(99,458):{'3_1':0.9,'5_1':0.0},(99,457):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_5':0.0},(99,456):{'3_1':0.87,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(99,455):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0},(99,454):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(99,453):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(99,452):{'3_1':0.9,'4_1':0.0,'5_1':0.0},(99,451):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'6_1':0.0},(99,450):{'3_1':0.81,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0},(99,449):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0},(99,448):{'3_1':0.9,'5_2':0.0},(99,447):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(99,446):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(99,445):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(99,444):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(99,443):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(99,442):{'3_1':0.78,'4_1':0.03,'5_1':0.0,'7_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0},(99,441):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0},(99,440):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_4':0.0},(99,439):{'3_1':0.84,'5_1':0.03,'7_1':0.0,'4_1':0.0,'5_2':0.0},(99,438):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'4_1':0.0},(99,437):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(99,436):{'3_1':0.84,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(99,435):{'3_1':0.81,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0},(99,434):{'3_1':0.81,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0},(99,433):{'3_1':0.81,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(99,432):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(99,431):{'3_1':0.78,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0},(99,430):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_5':0.0,'-3':0.0},(99,429):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0},(99,428):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(99,427):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_2':0.0,'8_2':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(99,426):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_2':0.0,'6_2':0.0,'-3':0.0},(99,425):{'3_1':0.78,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0,'-3':0.0},(99,424):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'8_2':0.0,'8_19':0.0},(99,423):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0},(99,422):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(99,421):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(99,420):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'7_3':0.0,'8_2':0.0},(99,419):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'-3':0.0},(99,418):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(99,417):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0},(99,416):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0},(99,415):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(99,414):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'7_3':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'-3':0.0},(99,413):{'3_1':0.57,'5_1':0.15,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_4':0.0},(99,412):{'3_1':0.57,'5_1':0.12,'8_2':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(99,411):{'3_1':0.6,'5_2':0.12,'5_1':0.09,'6_2':0.0,'7_5':0.0,'8_2':0.0,'4_1':0.0,'-3':0.0},(99,410):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(99,409):{'3_1':0.6,'5_1':0.12,'5_2':0.06,'6_2':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'8_7':0.0},(99,408):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_3':0.0,'8_2':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(99,407):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'8_2':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(99,406):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(99,405):{'3_1':0.66,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(99,404):{'3_1':0.75,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(99,403):{'3_1':0.72,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0},(99,402):{'3_1':0.78,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_7':0.0,'8_11':0.0},(99,401):{'3_1':0.72,'5_2':0.03,'6_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(99,400):{'3_1':0.75,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_7':0.0},(99,399):{'3_1':0.72,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_3':0.0},(99,398):{'3_1':0.72,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(99,397):{'3_1':0.63,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(99,396):{'3_1':0.72,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(99,395):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0},(99,394):{'3_1':0.69,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'9_1':0.0},(99,393):{'3_1':0.63,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(99,392):{'3_1':0.57,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(99,391):{'3_1':0.6,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(99,390):{'3_1':0.48,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0},(99,389):{'3_1':0.39,'4_1':0.03,'6_2':0.03,'5_2':0.0,'6_3':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_17':0.0},(99,388):{'3_1':0.33,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(99,387):{'3_1':0.24,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(99,386):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(99,385):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0},(99,384):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(99,383):{'3_1':0.09,'6_2':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(99,382):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(99,381):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0},(99,380):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(99,379):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(99,378):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(99,377):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(99,376):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(99,375):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(99,374):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(99,373):{'3_1':0.03,'4_1':0.0},(99,372):{'3_1':0.09},(99,371):{'3_1':0.03,'5_1':0.0},(99,370):{'3_1':0.03,'4_1':0.0},(99,369):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(99,368):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(99,367):{'3_1':0.0,'5_1':0.0},(99,366):{'3_1':0.09,'5_2':0.0},(99,365):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(99,364):{'3_1':0.03},(99,363):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(99,362):{'3_1':0.0},(99,361):{'3_1':0.06,'5_1':0.0},(99,360):{'3_1':0.03},(99,359):{'3_1':0.03},(99,358):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(99,357):{'3_1':0.03,'6_2':0.0},(99,356):{'3_1':0.06,'4_1':0.0},(99,355):{'3_1':0.03,'7_6':0.0},(99,354):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(99,353):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(99,352):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(99,351):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(99,350):{'3_1':0.0},(99,349):{'3_1':0.06,'6_3':0.0},(99,348):{'3_1':0.03},(99,347):{'3_1':0.0},(99,346):{'3_1':0.0,'4_1':0.0},(99,345):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(99,344):{'3_1':0.03},(99,343):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(99,342):{'3_1':0.03},(99,341):{'3_1':0.03,'4_1':0.0},(99,340):{'3_1':0.06},(99,339):{'3_1':0.03,'6_2':0.0},(99,338):{'3_1':0.06,'6_1':0.0},(99,337):{'3_1':0.06,'4_1':0.0},(99,336):{'3_1':0.03},(99,335):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(99,334):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(99,333):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(99,332):{'3_1':0.03,'4_1':0.0},(99,331):{'3_1':0.09,'5_2':0.0},(99,330):{'3_1':0.09,'4_1':0.0},(99,329):{'3_1':0.09,'4_1':0.0},(99,328):{'3_1':0.03,'4_1':0.0},(99,327):{'3_1':0.03,'4_1':0.0},(99,326):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(99,325):{'3_1':0.03,'6_2':0.0,'7_2':0.0},(99,324):{'3_1':0.03,'4_1':0.0},(99,323):{'3_1':0.0},(99,322):{'5_2':0.0},(99,321):{'3_1':0.06,'4_1':0.0},(99,320):{'3_1':0.0,'5_2':0.0},(99,319):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(99,318):{'3_1':0.03,'5_2':0.0},(99,317):{'3_1':0.03},(99,316):{'3_1':0.03},(99,315):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(99,314):{'3_1':0.03},(99,313):{'3_1':0.0},(99,312):{'3_1':0.06},(99,311):{'3_1':0.03},(99,310):{'3_1':0.03},(99,309):{'3_1':0.0},(99,308):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(99,307):{'3_1':0.06},(99,306):{'3_1':0.03,'4_1':0.0},(99,305):{'3_1':0.03,'5_2':0.0},(99,304):{'3_1':0.03},(99,303):{'3_1':0.0},(99,302):{'3_1':0.03},(99,301):{'3_1':0.06},(99,300):{'3_1':0.03,'5_2':0.0},(99,299):{'3_1':0.09,'8_20|3_1#3_1':0.0},(99,298):{'3_1':0.03,'5_2':0.0},(99,297):{'3_1':0.03,'4_1':0.0},(99,296):{'3_1':0.03,'4_1':0.0},(99,295):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(99,294):{'3_1':0.03},(99,293):{'3_1':0.06},(99,292):{'3_1':0.0,'4_1':0.0},(99,291):{'3_1':0.03,'6_3':0.0},(99,290):{'3_1':0.03,'4_1':0.0},(99,289):{'3_1':0.03,'4_1':0.0},(99,288):{'3_1':0.0},(99,287):{'3_1':0.0},(99,286):{'3_1':0.03},(99,285):{'3_1':0.03},(99,284):{'3_1':0.06},(99,283):{'3_1':0.0},(99,282):{'3_1':0.06},(99,281):{'3_1':0.03},(99,280):{'3_1':0.0},(99,279):{'3_1':0.0},(99,278):{'3_1':0.06},(99,277):{'3_1':0.03},(99,276):{'3_1':0.03},(99,275):{'3_1':0.06},(99,274):{'3_1':0.03},(99,273):{'3_1':0.0,'5_1':0.0},(99,272):{'3_1':0.03},(99,271):{'3_1':0.06,'7_1':0.0},(99,270):{'3_1':0.03},(99,269):{'3_1':0.03},(99,268):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(99,267):{'3_1':0.03},(99,266):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(99,265):{'3_1':0.06,'5_2':0.0},(99,264):{'3_1':0.03},(99,263):{'3_1':0.03},(99,262):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(99,261):{'3_1':0.06},(99,260):{'3_1':0.0},(99,259):{'3_1':0.03,'4_1':0.0},(99,258):{'3_1':0.03,'5_1':0.0},(99,257):{'3_1':0.0},(99,256):{'3_1':0.03,'5_1':0.0},(99,255):{'3_1':0.03},(99,254):{'3_1':0.06},(99,253):{'3_1':0.03},(99,252):{'3_1':0.03},(99,251):{'3_1':0.03},(99,250):{'3_1':0.0},(99,249):{'3_1':0.03},(99,248):{'3_1':0.03},(99,247):{'3_1':0.03},(99,246):{'3_1':0.06},(99,245):{'3_1':0.0},(99,244):{'3_1':0.0,'4_1':0.0},(99,243):{'3_1':0.0},(99,242):{'3_1':0.0},(99,241):{'3_1':0.0},(99,240):{'3_1':0.0},(99,239):{'3_1':0.03},(99,238):{'3_1':0.0},(99,237):{'3_1':0.0},(99,236):{'3_1':0.0},(99,235):{'3_1':0.06,'5_2':0.0},(99,234):{'3_1':0.03},(99,233):{'3_1':0.0},(99,232):{'3_1':0.0},(99,231):{'3_1':0.0},(99,230):{'3_1':0.0},(99,229):{'3_1':0.0},(99,228):{'3_1':0.0},(99,227):{'3_1':0.03},(99,226):{'3_1':0.0,'4_1':0.0},(99,225):{'3_1':0.03},(99,224):{'3_1':0.03},(99,223):{'3_1':0.06},(99,222):{'3_1':0.03,'5_1':0.0},(99,221):{'3_1':0.03},(99,220):{'3_1':0.09},(99,219):{'3_1':0.06},(99,218):{'3_1':0.03},(99,217):{'3_1':0.06,'4_1':0.0},(99,216):{'3_1':0.03,'5_1':0.0},(99,215):{'3_1':0.03,'4_1':0.0},(99,214):{'3_1':0.03,'5_1':0.0},(99,213):{'3_1':0.03},(99,212):{'3_1':0.03},(99,211):{'3_1':0.03,'5_1':0.0},(99,210):{'3_1':0.06},(99,209):{'3_1':0.0},(99,208):{'3_1':0.0},(99,207):{'3_1':0.03},(99,206):{'3_1':0.06},(99,205):{'3_1':0.0},(99,204):{'3_1':0.03},(99,203):{'3_1':0.03},(99,202):{'3_1':0.0},(99,201):{'3_1':0.0},(99,200):{'3_1':0.0},(99,199):{'3_1':0.0},(99,198):{'3_1':0.03},(99,197):{'3_1':0.03},(99,196):{'3_1':0.0},(99,195):{'3_1':0.0},(99,194):{'3_1':0.03},(99,193):{'3_1':0.0},(99,192):{'3_1':0.0},(99,191):{'3_1':0.0},(99,190):{'3_1':0.0},(99,189):{'3_1':0.03},(99,188):{'3_1':0.0},(99,187):{'3_1':0.0},(99,186):{'3_1':0.0},(99,185):{'3_1':0.0},(99,183):{'3_1':0.0},(99,182):{'3_1':0.03},(99,181):{'3_1':0.0},(99,180):{'3_1':0.0},(99,179):{'3_1':0.0},(99,177):{'3_1':0.0},(99,169):{'3_1':0.0},(99,168):{'3_1':0.0},(99,167):{'3_1':0.0},(99,165):{'3_1':0.0},(99,161):{'3_1':0.0},(99,160):{'3_1':0.0},(99,152):{'3_1':0.0},(99,151):{'3_1':0.0},(99,149):{'3_1':0.0},(99,137):{'3_1':0.0},(99,136):{'3_1':0.0},(99,134):{'3_1':0.0},(100,459):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(100,458):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'6_1':0.0},(100,457):{'3_1':0.9,'5_1':0.0},(100,456):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(100,455):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(100,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(100,453):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'7_1':0.0},(100,452):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(100,451):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(100,450):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(100,449):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'6_2':0.0},(100,448):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_2':0.0},(100,447):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(100,446):{'3_1':0.81,'5_1':0.03,'7_1':0.0,'7_5':0.0},(100,445):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(100,444):{'3_1':0.84,'5_1':0.03,'5_2':0.0},(100,443):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0},(100,442):{'3_1':0.87,'6_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(100,441):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(100,440):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(100,439):{'3_1':0.84,'5_1':0.06,'4_1':0.03},(100,438):{'3_1':0.84,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(100,437):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(100,436):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(100,435):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_2':0.0,'-3':0.0},(100,434):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0},(100,433):{'3_1':0.75,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0},(100,432):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(100,431):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_10':0.0,'-3':0.0},(100,430):{'3_1':0.81,'5_2':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0},(100,429):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(100,428):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0,'8_19':0.0},(100,427):{'3_1':0.69,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_2':0.0},(100,426):{'3_1':0.78,'5_2':0.06,'5_1':0.03,'7_1':0.0},(100,425):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(100,424):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0},(100,423):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_5':0.0},(100,422):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'4_1':0.0,'8_9':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(100,421):{'3_1':0.66,'5_1':0.06,'5_2':0.06,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(100,420):{'3_1':0.78,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0},(100,419):{'3_1':0.69,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0,'-3':0.0},(100,418):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(100,417):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'4_1':0.0,'8_2':0.0,'7_5':0.0,'-3':0.0},(100,416):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'8_4':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0},(100,415):{'3_1':0.63,'5_1':0.09,'-3':0.03,'6_2':0.0,'7_1':0.0,'5_2':0.0,'8_2':0.0,'8_10':0.0},(100,414):{'3_1':0.6,'5_1':0.12,'8_2':0.03,'7_3':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_4':0.0,'8_6':0.0},(100,413):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'4_1':0.03,'6_2':0.0,'8_2':0.0},(100,412):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(100,411):{'3_1':0.63,'5_1':0.12,'5_2':0.06,'8_2':0.03,'6_2':0.03},(100,410):{'3_1':0.6,'5_2':0.06,'8_2':0.06,'5_1':0.03,'6_2':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0},(100,409):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0,'7_3':0.0},(100,408):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'6_2':0.03,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_9':0.0},(100,407):{'3_1':0.66,'5_1':0.06,'5_2':0.06,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(100,406):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(100,405):{'3_1':0.66,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_5':0.0},(100,404):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(100,403):{'3_1':0.75,'5_2':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(100,402):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_1':0.0,'4_1':0.0,'8_3':0.0},(100,401):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0},(100,400):{'3_1':0.72,'4_1':0.03,'5_2':0.0,'6_2':0.0},(100,399):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(100,398):{'3_1':0.72,'5_2':0.06,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(100,397):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(100,396):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_10':0.0},(100,395):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'7_6':0.0,'6_2':0.0,'6_3':0.0,'8_10':0.0},(100,394):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(100,393):{'3_1':0.57,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0},(100,392):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'5_2':0.0},(100,391):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0},(100,390):{'3_1':0.48,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(100,389):{'3_1':0.51,'6_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(100,388):{'3_1':0.39,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_19':0.0,'-3':0.0},(100,387):{'3_1':0.39,'4_1':0.03,'5_1':0.0,'6_2':0.0},(100,386):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0},(100,385):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0},(100,384):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0},(100,383):{'3_1':0.06,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_11':0.0},(100,382):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(100,381):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(100,380):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'8_16':0.0,'-3':0.0},(100,379):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(100,378):{'3_1':0.03,'5_2':0.03,'6_3':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(100,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(100,376):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(100,375):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(100,374):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'8_8':0.0},(100,373):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(100,372):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(100,371):{'3_1':0.06,'5_1':0.0},(100,370):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(100,369):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(100,368):{'3_1':0.06,'4_1':0.0},(100,367):{'3_1':0.06,'4_1':0.0},(100,366):{'3_1':0.06},(100,365):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(100,364):{'3_1':0.09,'7_6':0.0},(100,363):{'3_1':0.03},(100,362):{'3_1':0.03,'5_2':0.0},(100,361):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(100,360):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(100,359):{'3_1':0.06,'5_2':0.0},(100,358):{'3_1':0.06},(100,357):{'3_1':0.0,'4_1':0.0},(100,356):{'3_1':0.06,'5_1':0.0},(100,355):{'3_1':0.06},(100,354):{'3_1':0.03},(100,353):{'3_1':0.0},(100,352):{'3_1':0.03},(100,351):{'3_1':0.03,'4_1':0.0},(100,350):{'3_1':0.06,'6_3':0.0},(100,349):{'3_1':0.03,'5_2':0.0},(100,348):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(100,347):{'3_1':0.0,'5_1':0.0},(100,346):{'3_1':0.03,'4_1':0.0},(100,345):{'3_1':0.0},(100,344):{'3_1':0.0},(100,343):{'3_1':0.03,'5_2':0.0},(100,342):{'3_1':0.0,'4_1':0.0},(100,341):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(100,340):{'3_1':0.06},(100,339):{'3_1':0.06},(100,338):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(100,337):{'3_1':0.03},(100,336):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(100,335):{'3_1':0.12,'6_3':0.0},(100,334):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(100,333):{'3_1':0.03},(100,332):{'3_1':0.06},(100,331):{'3_1':0.03,'5_2':0.0},(100,330):{'3_1':0.03},(100,329):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(100,328):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(100,327):{'3_1':0.0},(100,326):{'3_1':0.0,'4_1':0.0},(100,325):{'3_1':0.03,'4_1':0.0},(100,324):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(100,323):{'3_1':0.0,'6_2':0.0},(100,322):{'3_1':0.0},(100,321):{'3_1':0.0,'5_2':0.0},(100,320):{'3_1':0.06},(100,319):{'3_1':0.03,'4_1':0.0},(100,318):{'3_1':0.03,'8_7':0.0},(100,317):{'3_1':0.0,'6_3':0.0},(100,316):{'3_1':0.03},(100,315):{'3_1':0.0},(100,314):{'3_1':0.0,'8_10':0.0},(100,313):{'6_3':0.0},(100,312):{'3_1':0.03,'4_1':0.0},(100,311):{'3_1':0.03,'4_1':0.0},(100,310):{'3_1':0.06,'5_2':0.0},(100,309):{'3_1':0.06,'5_2':0.0},(100,308):{'3_1':0.0,'4_1':0.0,'8_2':0.0},(100,307):{'3_1':0.03,'4_1':0.0},(100,306):{'3_1':0.06},(100,305):{'3_1':0.0},(100,304):{'3_1':0.0},(100,303):{'3_1':0.06,'5_2':0.0},(100,302):{'3_1':0.03,'5_2':0.0},(100,301):{'3_1':0.06,'5_2':0.0},(100,300):{'3_1':0.0,'8_20|3_1#3_1':0.0},(100,299):{'3_1':0.03},(100,298):{'3_1':0.0},(100,297):{'3_1':0.0,'5_1':0.0,'7_5':0.0},(100,296):{'3_1':0.03,'5_2':0.0},(100,295):{'3_1':0.0,'4_1':0.0},(100,294):{'3_1':0.0},(100,293):{'3_1':0.0},(100,292):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(100,291):{'3_1':0.0},(100,290):{'3_1':0.03,'4_1':0.0},(100,289):{'3_1':0.06},(100,288):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(100,287):{'3_1':0.0},(100,286):{'3_1':0.03},(100,285):{'3_1':0.03},(100,284):{'3_1':0.0,'4_1':0.0},(100,283):{'3_1':0.0,'4_1':0.0},(100,282):{'3_1':0.0},(100,281):{'3_1':0.03},(100,280):{'3_1':0.0},(100,279):{'3_1':0.03,'4_1':0.0},(100,278):{'3_1':0.0},(100,277):{'3_1':0.0,'4_1':0.0},(100,276):{'3_1':0.03,'5_2':0.0},(100,275):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(100,274):{'3_1':0.06},(100,273):{'3_1':0.0},(100,272):{'3_1':0.06,'5_2':0.0},(100,271):{'3_1':0.06,'4_1':0.0},(100,270):{'3_1':0.03},(100,269):{'3_1':0.03},(100,268):{'3_1':0.09},(100,267):{'3_1':0.03,'4_1':0.0},(100,266):{'3_1':0.06,'6_1':0.0},(100,265):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(100,264):{'3_1':0.09,'4_1':0.0},(100,263):{'3_1':0.06,'5_1':0.0},(100,262):{'3_1':0.09,'4_1':0.0},(100,261):{'3_1':0.18},(100,260):{'3_1':0.09},(100,259):{'3_1':0.03},(100,258):{'3_1':0.06},(100,257):{'3_1':0.12},(100,256):{'3_1':0.03,'4_1':0.0},(100,255):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(100,254):{'3_1':0.0},(100,253):{'3_1':0.06,'5_2':0.0},(100,252):{'3_1':0.03},(100,251):{'3_1':0.03},(100,250):{'3_1':0.0},(100,249):{'3_1':0.0},(100,248):{'3_1':0.03},(100,247):{'3_1':0.0},(100,246):{'3_1':0.03},(100,245):{'3_1':0.0},(100,244):{'3_1':0.0},(100,243):{'3_1':0.0},(100,242):{'3_1':0.03},(100,241):{'3_1':0.0},(100,240):{'3_1':0.0},(100,239):{'3_1':0.0,'5_2':0.0},(100,238):{'3_1':0.0},(100,237):{'3_1':0.0},(100,236):{'3_1':0.03,'5_2':0.0},(100,235):{'3_1':0.0},(100,234):{'3_1':0.0},(100,233):{'3_1':0.0},(100,232):{'3_1':0.0},(100,231):{'3_1':0.0},(100,230):{'3_1':0.0},(100,229):{'3_1':0.03},(100,228):{'3_1':0.0},(100,227):{'3_1':0.0},(100,226):{'3_1':0.03},(100,225):{'3_1':0.0},(100,224):{'3_1':0.03},(100,223):{'3_1':0.03},(100,222):{'3_1':0.03},(100,221):{'3_1':0.12},(100,220):{'3_1':0.03},(100,219):{'3_1':0.09},(100,218):{'3_1':0.03},(100,217):{'3_1':0.03},(100,216):{'3_1':0.03},(100,215):{'3_1':0.06},(100,214):{'3_1':0.03},(100,213):{'3_1':0.12},(100,212):{'3_1':0.03},(100,211):{'3_1':0.03},(100,210):{'3_1':0.09},(100,209):{'3_1':0.03},(100,208):{'3_1':0.03},(100,207):{'3_1':0.0,'5_1':0.0},(100,206):{'3_1':0.09},(100,205):{'3_1':0.03},(100,204):{'3_1':0.03,'5_1':0.0},(100,203):{'3_1':0.03},(100,202):{'3_1':0.0},(100,201):{'3_1':0.03},(100,200):{'3_1':0.06},(100,199):{'3_1':0.03},(100,198):{'3_1':0.03},(100,197):{'3_1':0.0},(100,196):{'3_1':0.0},(100,194):{'3_1':0.0},(100,193):{'3_1':0.06},(100,192):{'3_1':0.0},(100,191):{'3_1':0.0},(100,190):{'3_1':0.0},(100,189):{'3_1':0.0},(100,188):{'3_1':0.03},(100,187):{'3_1':0.0,'5_1':0.0},(100,186):{'3_1':0.0},(100,185):{'3_1':0.0},(100,184):{'3_1':0.03},(100,183):{'3_1':0.0},(100,182):{'3_1':0.0},(100,181):{'3_1':0.0},(100,179):{'3_1':0.0},(100,169):{'3_1':0.0},(100,168):{'3_1':0.0},(100,160):{'4_1':0.0},(100,156):{'3_1':0.0},(100,152):{'3_1':0.0},(100,151):{'3_1':0.0},(100,150):{'3_1':0.0},(100,149):{'3_1':0.0},(100,138):{'3_1':0.0},(100,132):{'3_1':0.0},(101,459):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(101,458):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'8_2':0.0},(101,457):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_5':0.0},(101,456):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(101,455):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(101,454):{'3_1':0.81,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(101,453):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_2':0.0},(101,452):{'3_1':0.9,'5_2':0.0},(101,451):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'4_1':0.0},(101,450):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'4_1':0.0},(101,449):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0},(101,448):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_2':0.0},(101,447):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'5_2':0.0},(101,446):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(101,445):{'3_1':0.84,'6_2':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(101,444):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(101,443):{'3_1':0.78,'5_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(101,442):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(101,441):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(101,440):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(101,439):{'3_1':0.81,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0},(101,438):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(101,437):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0},(101,436):{'3_1':0.78,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(101,435):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(101,434):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(101,433):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0,'8_7':0.0},(101,432):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(101,431):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0},(101,430):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'7_5':0.0,'-3':0.0},(101,429):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0},(101,428):{'3_1':0.75,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(101,427):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0},(101,426):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0},(101,425):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0},(101,424):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0},(101,423):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0},(101,422):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0},(101,421):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(101,420):{'3_1':0.72,'5_1':0.06,'8_2':0.0,'6_2':0.0,'5_2':0.0,'7_2':0.0,'8_4':0.0},(101,419):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0},(101,418):{'3_1':0.66,'5_1':0.06,'5_2':0.06,'8_2':0.0,'4_1':0.0,'-3':0.0},(101,417):{'3_1':0.72,'5_1':0.09,'8_2':0.03,'5_2':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0},(101,416):{'3_1':0.66,'5_1':0.12,'5_2':0.06,'8_2':0.03,'6_2':0.0,'7_5':0.0},(101,415):{'3_1':0.63,'5_1':0.12,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_9':0.0,'-3':0.0},(101,414):{'3_1':0.69,'5_1':0.12,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_3':0.0},(101,413):{'3_1':0.6,'5_1':0.15,'8_2':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_6':0.0},(101,412):{'3_1':0.6,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0,'8_2':0.0,'8_7':0.0},(101,411):{'3_1':0.63,'5_1':0.12,'6_2':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(101,410):{'3_1':0.57,'5_1':0.15,'6_2':0.03,'5_2':0.03,'8_2':0.03,'7_5':0.0,'-3':0.0},(101,409):{'3_1':0.66,'5_1':0.15,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(101,408):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'8_2':0.03,'4_1':0.0},(101,407):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(101,406):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'-3':0.0},(101,405):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0},(101,404):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.03,'6_1':0.0,'4_1':0.0},(101,403):{'3_1':0.75,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_2':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(101,402):{'3_1':0.69,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_11':0.0},(101,401):{'3_1':0.75,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(101,400):{'3_1':0.75,'5_2':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0},(101,399):{'3_1':0.75,'5_1':0.03,'6_1':0.0},(101,398):{'3_1':0.69,'5_1':0.0,'7_6':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(101,397):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0},(101,396):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(101,395):{'3_1':0.66,'5_1':0.0,'5_2':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(101,394):{'3_1':0.54,'5_1':0.09,'5_2':0.03,'6_2':0.0,'6_3':0.0},(101,393):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'6_2':0.0},(101,392):{'3_1':0.6,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0},(101,391):{'3_1':0.54,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(101,390):{'3_1':0.51,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(101,389):{'3_1':0.42,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_6':0.0},(101,388):{'3_1':0.45,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(101,387):{'3_1':0.27,'4_1':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(101,386):{'3_1':0.24,'5_1':0.06,'6_2':0.03,'5_2':0.0,'7_6':0.0,'4_1':0.0,'8_11':0.0},(101,385):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_4':0.0,'8_17':0.0},(101,384):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'8_6':0.0,'-3':0.0},(101,383):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'6_2':0.0,'6_1':0.0},(101,382):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(101,381):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_1':0.0},(101,380):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_6':0.0,'8_19':0.0},(101,379):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(101,378):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'8_14':0.0},(101,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(101,376):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(101,375):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(101,374):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(101,373):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(101,372):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(101,371):{'3_1':0.06,'6_1':0.0},(101,370):{'3_1':0.06},(101,369):{'3_1':0.0,'4_1':0.0},(101,368):{'3_1':0.09,'4_1':0.0},(101,367):{'3_1':0.06},(101,366):{'3_1':0.12,'6_3':0.0},(101,365):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(101,364):{'3_1':0.03,'4_1':0.0},(101,363):{'3_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(101,362):{'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(101,361):{'3_1':0.03,'4_1':0.0},(101,360):{'3_1':0.0,'4_1':0.0},(101,359):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(101,358):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(101,357):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(101,356):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(101,355):{'3_1':0.06,'4_1':0.0},(101,354):{'3_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(101,353):{'3_1':0.0},(101,352):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(101,351):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(101,350):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(101,349):{'3_1':0.03,'6_1':0.0},(101,348):{'3_1':0.03,'4_1':0.0},(101,347):{'3_1':0.0},(101,346):{'3_1':0.03},(101,345):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0},(101,344):{'3_1':0.0},(101,343):{'3_1':0.03},(101,342):{'3_1':0.03},(101,341):{'3_1':0.0,'4_1':0.0},(101,340):{'3_1':0.03,'4_1':0.0},(101,339):{'3_1':0.03,'5_1':0.0},(101,338):{'3_1':0.03},(101,337):{'3_1':0.09,'4_1':0.0},(101,336):{'3_1':0.06,'4_1':0.0},(101,335):{'3_1':0.03},(101,334):{'3_1':0.03,'4_1':0.0},(101,333):{'3_1':0.03},(101,332):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(101,331):{'3_1':0.06,'4_1':0.0},(101,330):{'3_1':0.06,'4_1':0.0},(101,329):{'3_1':0.09,'6_1':0.0},(101,328):{'3_1':0.03},(101,327):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(101,326):{'3_1':0.0,'4_1':0.0},(101,325):{'3_1':0.0},(101,324):{'3_1':0.03},(101,323):{'3_1':0.0,'4_1':0.0},(101,322):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(101,321):{'4_1':0.0,'3_1':0.0},(101,320):{'3_1':0.03,'4_1':0.0},(101,319):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(101,318):{'3_1':0.0,'4_1':0.0},(101,317):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(101,316):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(101,315):{'3_1':0.03},(101,314):{'3_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(101,313):{'3_1':0.12,'4_1':0.0},(101,312):{'3_1':0.0,'8_20|3_1#3_1':0.0},(101,311):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(101,310):{'3_1':0.0},(101,309):{'3_1':0.03,'4_1':0.0},(101,308):{'3_1':0.06,'4_1':0.0},(101,307):{'3_1':0.12,'5_2':0.0},(101,306):{'3_1':0.03,'5_2':0.0},(101,305):{'3_1':0.03},(101,304):{'3_1':0.03},(101,303):{'3_1':0.0},(101,302):{'3_1':0.06,'5_2':0.0},(101,301):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(101,300):{'3_1':0.09},(101,299):{'3_1':0.0},(101,298):{'3_1':0.03},(101,297):{'3_1':0.03},(101,296):{'3_1':0.03,'4_1':0.0},(101,295):{'3_1':0.03},(101,294):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(101,293):{'3_1':0.06},(101,292):{'3_1':0.0,'5_1':0.0},(101,291):{'3_1':0.03},(101,290):{'3_1':0.03},(101,289):{'3_1':0.0},(101,288):{'3_1':0.03,'4_1':0.0},(101,287):{'3_1':0.03},(101,286):{'3_1':0.03,'4_1':0.0},(101,285):{'3_1':0.0},(101,284):{'3_1':0.03,'4_1':0.0},(101,283):{'3_1':0.03,'4_1':0.0},(101,282):{'3_1':0.0,'8_20|3_1#3_1':0.0},(101,281):{'3_1':0.03},(101,280):{'3_1':0.0},(101,279):{'3_1':0.0,'4_1':0.0},(101,278):{'3_1':0.0,'4_1':0.0},(101,277):{'3_1':0.03},(101,276):{'3_1':0.03},(101,275):{'3_1':0.06},(101,274):{'3_1':0.06},(101,273):{'3_1':0.03},(101,272):{'3_1':0.0,'4_1':0.0},(101,271):{'3_1':0.09},(101,270):{'3_1':0.03},(101,269):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(101,268):{'3_1':0.0},(101,267):{'3_1':0.03,'5_2':0.0},(101,266):{'3_1':0.06,'5_2':0.0},(101,265):{'3_1':0.06,'4_1':0.0},(101,264):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(101,263):{'3_1':0.06},(101,262):{'3_1':0.06,'4_1':0.0},(101,261):{'3_1':0.06,'5_1':0.0},(101,260):{'3_1':0.06,'4_1':0.0},(101,259):{'3_1':0.06,'5_1':0.0},(101,258):{'3_1':0.09,'4_1':0.0},(101,257):{'3_1':0.09,'4_1':0.0},(101,256):{'3_1':0.0},(101,255):{'3_1':0.06,'4_1':0.0},(101,254):{'3_1':0.03,'5_1':0.0},(101,253):{'3_1':0.0,'4_1':0.0},(101,252):{'3_1':0.0},(101,251):{'3_1':0.03},(101,250):{'3_1':0.0},(101,249):{'3_1':0.0},(101,248):{'3_1':0.0},(101,247):{'3_1':0.0,'5_1':0.0},(101,246):{'3_1':0.0},(101,245):{'3_1':0.03},(101,244):{'3_1':0.0},(101,242):{'3_1':0.0},(101,241):{'3_1':0.03,'5_2':0.0},(101,240):{'3_1':0.0},(101,239):{'3_1':0.0},(101,238):{'3_1':0.03},(101,237):{'3_1':0.0},(101,236):{'3_1':0.0},(101,235):{'3_1':0.03},(101,234):{'3_1':0.0},(101,233):{'3_1':0.0},(101,232):{'4_1':0.0,'3_1':0.0},(101,231):{'3_1':0.03},(101,230):{'3_1':0.0},(101,229):{'3_1':0.0},(101,228):{'3_1':0.0,'4_1':0.0},(101,227):{'3_1':0.03},(101,226):{'3_1':0.03,'5_2':0.0},(101,225):{'3_1':0.0},(101,224):{'3_1':0.03,'5_1':0.0},(101,223):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(101,222):{'3_1':0.03},(101,221):{'3_1':0.03},(101,220):{'3_1':0.03,'4_1':0.0},(101,219):{'3_1':0.0},(101,218):{'3_1':0.0},(101,217):{'3_1':0.03},(101,216):{'3_1':0.06},(101,215):{'3_1':0.03},(101,214):{'3_1':0.06},(101,213):{'3_1':0.03},(101,212):{'3_1':0.06},(101,211):{'3_1':0.03},(101,210):{'3_1':0.06},(101,209):{'3_1':0.06},(101,208):{'3_1':0.03,'5_1':0.0},(101,207):{'3_1':0.03},(101,206):{'3_1':0.06},(101,205):{'3_1':0.0},(101,204):{'3_1':0.06},(101,203):{'3_1':0.0},(101,202):{'3_1':0.0},(101,201):{'3_1':0.0},(101,200):{'3_1':0.03},(101,199):{'3_1':0.03},(101,198):{'3_1':0.03},(101,197):{'3_1':0.0},(101,196):{'3_1':0.03},(101,195):{'3_1':0.0},(101,194):{'3_1':0.0},(101,193):{'3_1':0.03},(101,192):{'3_1':0.0},(101,191):{'3_1':0.03},(101,190):{'3_1':0.03},(101,189):{'3_1':0.0},(101,188):{'3_1':0.0},(101,187):{'3_1':0.03,'5_1':0.0},(101,186):{'3_1':0.0,'5_1':0.0},(101,185):{'3_1':0.0,'5_1':0.0},(101,183):{'3_1':0.0},(101,182):{'3_1':0.0},(101,181):{'3_1':0.0},(101,179):{'3_1':0.0,'4_1':0.0},(101,178):{'3_1':0.0},(101,176):{'3_1':0.0},(101,175):{'4_1':0.0},(101,156):{'3_1':0.0},(101,155):{'3_1':0.0},(101,154):{'3_1':0.0},(101,153):{'3_1':0.0},(101,152):{'3_1':0.0},(101,151):{'3_1':0.0},(101,138):{'3_1':0.0},(101,134):{'3_1':0.0},(101,133):{'3_1':0.0},(101,130):{'3_1':0.0},(102,459):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(102,458):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(102,457):{'3_1':0.84,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_2':0.0},(102,456):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0},(102,455):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0},(102,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(102,453):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(102,452):{'3_1':0.87,'5_1':0.0},(102,451):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0},(102,450):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(102,449):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(102,448):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0},(102,447):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(102,446):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(102,445):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(102,444):{'3_1':0.78,'5_1':0.03,'6_2':0.03,'8_2':0.0,'5_2':0.0,'7_3':0.0},(102,443):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(102,442):{'3_1':0.78,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0},(102,441):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(102,440):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(102,439):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0},(102,438):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(102,437):{'3_1':0.72,'5_2':0.03,'5_1':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(102,436):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_21|3_1#4_1':0.0},(102,435):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'5_2':0.0,'7_5':0.0},(102,434):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(102,433):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(102,432):{'3_1':0.75,'5_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0},(102,431):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(102,430):{'3_1':0.75,'5_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(102,429):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(102,428):{'3_1':0.69,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_1':0.0},(102,427):{'3_1':0.69,'5_2':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0},(102,426):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'8_2':0.0},(102,425):{'3_1':0.72,'5_2':0.06,'5_1':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_2':0.0},(102,424):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(102,423):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0},(102,422):{'3_1':0.66,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(102,421):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(102,420):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0},(102,419):{'3_1':0.72,'5_1':0.06,'-3':0.03,'5_2':0.03,'8_2':0.0,'7_1':0.0,'7_2':0.0,'8_7':0.0},(102,418):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_6':0.0,'8_11':0.0,'-3':0.0},(102,417):{'3_1':0.66,'5_2':0.06,'5_1':0.03,'6_2':0.0,'4_1':0.0,'-3':0.0},(102,416):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0},(102,415):{'3_1':0.57,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.03,'8_21|3_1#4_1':0.0},(102,414):{'3_1':0.66,'5_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(102,413):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(102,412):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(102,411):{'3_1':0.6,'5_1':0.12,'8_2':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_5':0.0,'8_6':0.0},(102,410):{'3_1':0.63,'5_1':0.12,'8_2':0.03,'5_2':0.03,'6_2':0.03,'7_1':0.0},(102,409):{'3_1':0.6,'5_1':0.09,'5_2':0.09,'6_2':0.03,'8_2':0.0,'7_1':0.0,'8_4':0.0,'-3':0.0},(102,408):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'-3':0.0},(102,407):{'3_1':0.72,'5_2':0.03,'5_1':0.03,'8_2':0.0,'6_2':0.0,'8_9':0.0,'-3':0.0},(102,406):{'3_1':0.72,'5_1':0.06,'6_2':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(102,405):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0},(102,404):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0},(102,403):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(102,402):{'3_1':0.75,'5_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(102,401):{'3_1':0.69,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_4':0.0},(102,400):{'3_1':0.69,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(102,399):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0},(102,398):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0},(102,397):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'-3':0.0},(102,396):{'3_1':0.66,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(102,395):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'7_6':0.0},(102,394):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_11':0.0},(102,393):{'3_1':0.57,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_18':0.0,'8_19':0.0},(102,392):{'3_1':0.39,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(102,391):{'3_1':0.54,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(102,390):{'3_1':0.51,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(102,389):{'3_1':0.48,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(102,388):{'3_1':0.3,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_16':0.0},(102,387):{'3_1':0.33,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(102,386):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0},(102,385):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'7_7':0.0,'5_1':0.0,'-3':0.0},(102,384):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(102,383):{'3_1':0.12,'6_2':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(102,382):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(102,381):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(102,380):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(102,379):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(102,378):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(102,377):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(102,376):{'3_1':0.09,'4_1':0.0,'8_16':0.0,'6_3':0.0},(102,375):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(102,374):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(102,373):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(102,372):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(102,371):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(102,370):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(102,369):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(102,368):{'3_1':0.06,'5_2':0.0},(102,367):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(102,366):{'3_1':0.09,'5_2':0.0},(102,365):{'3_1':0.09,'4_1':0.0},(102,364):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(102,363):{'3_1':0.06,'4_1':0.0},(102,362):{'3_1':0.06},(102,361):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(102,360):{'3_1':0.06,'4_1':0.0},(102,359):{'3_1':0.03,'4_1':0.0},(102,358):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(102,357):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(102,356):{'3_1':0.03,'4_1':0.0},(102,355):{'3_1':0.06,'4_1':0.0},(102,354):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(102,353):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(102,352):{'3_1':0.06},(102,351):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(102,350):{'3_1':0.0,'4_1':0.0},(102,349):{'3_1':0.06,'4_1':0.0},(102,348):{'3_1':0.03},(102,347):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(102,346):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(102,345):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(102,344):{'3_1':0.03,'4_1':0.03},(102,343):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(102,342):{'3_1':0.06},(102,341):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(102,340):{'3_1':0.06},(102,339):{'3_1':0.06,'4_1':0.0},(102,338):{'3_1':0.06,'4_1':0.0},(102,337):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(102,336):{'3_1':0.06,'4_1':0.0},(102,335):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(102,334):{'3_1':0.0},(102,333):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(102,332):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(102,331):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(102,330):{'3_1':0.03,'4_1':0.0},(102,329):{'3_1':0.06,'4_1':0.0},(102,328):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(102,327):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(102,326):{'3_1':0.03,'4_1':0.0},(102,325):{'3_1':0.0},(102,324):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(102,323):{'3_1':0.03},(102,322):{'3_1':0.0,'4_1':0.0},(102,321):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(102,320):{'3_1':0.0,'4_1':0.0},(102,319):{'3_1':0.03},(102,318):{'3_1':0.03,'5_1':0.0},(102,317):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(102,316):{'3_1':0.03,'4_1':0.0},(102,315):{'3_1':0.06,'4_1':0.0},(102,314):{'3_1':0.0,'4_1':0.0},(102,313):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(102,312):{'3_1':0.03},(102,311):{'4_1':0.0,'3_1':0.0},(102,310):{'3_1':0.0,'4_1':0.0},(102,309):{'3_1':0.06,'4_1':0.0},(102,308):{'3_1':0.03,'6_2':0.0},(102,307):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(102,306):{'3_1':0.0},(102,305):{'3_1':0.0},(102,304):{'3_1':0.03,'4_1':0.0},(102,303):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(102,302):{'3_1':0.06,'5_2':0.0},(102,301):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(102,300):{'3_1':0.03},(102,299):{'3_1':0.03},(102,298):{'3_1':0.03,'4_1':0.0},(102,297):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(102,296):{'3_1':0.03},(102,295):{'3_1':0.03,'5_2':0.0},(102,294):{'3_1':0.0,'4_1':0.0},(102,293):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(102,292):{'3_1':0.06,'6_3':0.0},(102,291):{'3_1':0.03,'4_1':0.0},(102,290):{'3_1':0.0,'4_1':0.0},(102,289):{'3_1':0.0},(102,288):{'3_1':0.06},(102,287):{'3_1':0.03},(102,286):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(102,285):{'3_1':0.03,'4_1':0.0},(102,284):{'3_1':0.03},(102,283):{'3_1':0.03,'4_1':0.0},(102,282):{'3_1':0.03,'4_1':0.0},(102,281):{'3_1':0.03},(102,279):{'3_1':0.0,'4_1':0.0},(102,278):{'3_1':0.03},(102,277):{'3_1':0.03},(102,276):{'3_1':0.03,'4_1':0.0},(102,275):{'3_1':0.03},(102,274):{'3_1':0.06},(102,273):{'3_1':0.06},(102,272):{'3_1':0.03},(102,271):{'3_1':0.06,'4_1':0.0},(102,270):{'3_1':0.03},(102,269):{'3_1':0.03,'4_1':0.0},(102,268):{'3_1':0.06,'4_1':0.0},(102,267):{'3_1':0.03,'5_2':0.0},(102,266):{'3_1':0.06,'6_1':0.0},(102,265):{'3_1':0.03},(102,264):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(102,263):{'3_1':0.12,'5_2':0.0},(102,262):{'3_1':0.06,'5_1':0.0},(102,261):{'3_1':0.06,'5_1':0.0},(102,260):{'3_1':0.06},(102,259):{'3_1':0.09},(102,258):{'3_1':0.06},(102,257):{'3_1':0.06},(102,256):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(102,255):{'3_1':0.06},(102,254):{'3_1':0.03},(102,253):{'3_1':0.0},(102,252):{'3_1':0.03},(102,251):{'3_1':0.06},(102,250):{'3_1':0.0},(102,249):{'3_1':0.0},(102,248):{'3_1':0.03},(102,247):{'3_1':0.06},(102,246):{'3_1':0.03},(102,245):{'3_1':0.03},(102,243):{'3_1':0.03},(102,242):{'3_1':0.0,'4_1':0.0},(102,241):{'3_1':0.0,'4_1':0.0},(102,240):{'3_1':0.0},(102,239):{'3_1':0.03},(102,238):{'3_1':0.06,'4_1':0.0},(102,237):{'3_1':0.0},(102,236):{'3_1':0.0},(102,235):{'3_1':0.0,'4_1':0.0},(102,234):{'3_1':0.03},(102,233):{'3_1':0.03},(102,232):{'3_1':0.0},(102,231):{'3_1':0.03},(102,230):{'3_1':0.03},(102,229):{'3_1':0.0},(102,228):{'3_1':0.03},(102,227):{'3_1':0.03},(102,226):{'3_1':0.03},(102,225):{'3_1':0.0,'5_1':0.0},(102,224):{'3_1':0.0},(102,223):{'3_1':0.06,'5_1':0.0},(102,222):{'3_1':0.0,'4_1':0.0},(102,221):{'3_1':0.06},(102,220):{'3_1':0.06,'4_1':0.0},(102,219):{'3_1':0.0},(102,218):{'3_1':0.06},(102,217):{'3_1':0.0},(102,216):{'3_1':0.03},(102,215):{'3_1':0.03},(102,214):{'3_1':0.06},(102,213):{'3_1':0.03},(102,212):{'3_1':0.0},(102,211):{'3_1':0.0,'5_1':0.0},(102,210):{'3_1':0.0},(102,209):{'3_1':0.03,'5_1':0.0},(102,208):{'3_1':0.03},(102,207):{'3_1':0.03},(102,206):{'3_1':0.06},(102,205):{'3_1':0.03},(102,204):{'3_1':0.0},(102,202):{'3_1':0.0},(102,201):{'3_1':0.0},(102,200):{'3_1':0.06,'5_1':0.0},(102,199):{'3_1':0.0},(102,198):{'3_1':0.06},(102,197):{'3_1':0.0},(102,196):{'3_1':0.03},(102,195):{'3_1':0.0},(102,194):{'3_1':0.03},(102,193):{'3_1':0.0},(102,192):{'3_1':0.0},(102,190):{'3_1':0.0},(102,188):{'3_1':0.0},(102,187):{'3_1':0.0},(102,186):{'3_1':0.0},(102,185):{'3_1':0.0},(102,184):{'3_1':0.03,'5_1':0.0},(102,183):{'3_1':0.03},(102,182):{'3_1':0.0},(102,181):{'3_1':0.0},(102,180):{'3_1':0.0},(102,179):{'3_1':0.0},(102,178):{'4_1':0.0},(102,172):{'4_1':0.0},(102,167):{'3_1':0.0},(102,166):{'3_1':0.0},(102,163):{'3_1':0.0},(102,162):{'3_1':0.0,'4_1':0.0},(102,161):{'4_1':0.0},(102,158):{'3_1':0.0},(102,154):{'3_1':0.0,'4_1':0.0},(102,153):{'3_1':0.0},(102,152):{'3_1':0.0},(102,151):{'3_1':0.0},(102,150):{'3_1':0.0},(102,149):{'3_1':0.0},(102,145):{'3_1':0.0},(102,141):{'3_1':0.0},(102,139):{'3_1':0.0},(102,137):{'3_1':0.0},(102,130):{'3_1':0.0},(103,459):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(103,458):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'4_1':0.0},(103,457):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'4_1':0.0},(103,456):{'3_1':0.87,'5_1':0.0,'5_2':0.0},(103,455):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(103,454):{'3_1':0.84,'5_2':0.03,'5_1':0.03,'6_2':0.0},(103,453):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0},(103,452):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(103,451):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'7_2':0.0},(103,450):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0},(103,449):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'5_2':0.0},(103,448):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0},(103,447):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'5_2':0.0},(103,446):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'-3':0.0},(103,445):{'3_1':0.78,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(103,444):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_2':0.0},(103,443):{'3_1':0.75,'5_1':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0},(103,442):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(103,441):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(103,440):{'3_1':0.78,'5_1':0.03,'4_1':0.03,'7_1':0.0,'6_2':0.0,'8_2':0.0},(103,439):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(103,438):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0},(103,437):{'3_1':0.84,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(103,436):{'3_1':0.75,'5_1':0.06,'7_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(103,435):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(103,434):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(103,433):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0},(103,432):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(103,431):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(103,430):{'3_1':0.69,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0},(103,429):{'3_1':0.78,'5_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(103,428):{'3_1':0.72,'5_1':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'4_1':0.0,'8_7':0.0},(103,427):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'4_1':0.0,'8_2':0.0},(103,426):{'3_1':0.75,'5_1':0.09,'8_2':0.0},(103,425):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(103,424):{'3_1':0.72,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(103,423):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(103,422):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.03,'8_4':0.0},(103,421):{'3_1':0.69,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'6_1':0.0,'8_2':0.0,'8_6':0.0},(103,420):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'4_1':0.0},(103,419):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(103,418):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(103,417):{'3_1':0.72,'5_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(103,416):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'4_1':0.0,'8_2':0.0,'6_2':0.0,'-3':0.0},(103,415):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.0,'8_2':0.0,'8_6':0.0,'8_9':0.0,'-3':0.0},(103,414):{'3_1':0.72,'5_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(103,413):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(103,412):{'3_1':0.57,'5_1':0.12,'5_2':0.09,'8_2':0.03,'6_2':0.0,'8_9':0.0,'-3':0.0},(103,411):{'3_1':0.54,'5_1':0.15,'5_2':0.03,'7_1':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(103,410):{'3_1':0.66,'5_1':0.09,'8_2':0.03,'7_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0},(103,409):{'3_1':0.6,'5_1':0.15,'5_2':0.06,'6_2':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(103,408):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'6_2':0.03,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0},(103,407):{'3_1':0.72,'4_1':0.03,'5_1':0.03,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(103,406):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0},(103,405):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0},(103,404):{'3_1':0.69,'5_1':0.06,'6_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(103,403):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_1':0.0,'4_1':0.0,'6_2':0.0,'8_3':0.0},(103,402):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(103,401):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(103,400):{'3_1':0.72,'5_2':0.03,'6_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(103,399):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(103,398):{'3_1':0.72,'5_1':0.03,'6_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(103,397):{'3_1':0.66,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(103,396):{'3_1':0.69,'5_2':0.03,'8_14':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(103,395):{'3_1':0.6,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_6':0.0},(103,394):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(103,393):{'3_1':0.57,'5_2':0.06,'5_1':0.06,'6_2':0.0,'4_1':0.0,'7_6':0.0},(103,392):{'3_1':0.57,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(103,391):{'3_1':0.51,'5_1':0.06,'4_1':0.03,'5_2':0.0,'8_11':0.0,'-3':0.0},(103,390):{'3_1':0.57,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(103,389):{'3_1':0.45,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'6_1':0.0,'7_7':0.0},(103,388):{'3_1':0.42,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_4':0.0,'8_9':0.0},(103,387):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_3':0.0,'-3':0.0},(103,386):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(103,385):{'3_1':0.24,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0},(103,384):{'3_1':0.12,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(103,383):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(103,382):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(103,381):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_14':0.0},(103,380):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(103,379):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_3':0.0},(103,378):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(103,377):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0},(103,376):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(103,375):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(103,374):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_16':0.0},(103,373):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(103,372):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_6':0.0},(103,371):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(103,370):{'3_1':0.15,'5_1':0.0,'8_21|3_1#4_1':0.0},(103,369):{'3_1':0.09,'5_2':0.0},(103,368):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(103,367):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(103,366):{'3_1':0.12,'5_2':0.0},(103,365):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(103,364):{'3_1':0.12,'4_1':0.0},(103,363):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(103,362):{'3_1':0.06},(103,361):{'3_1':0.03,'6_3':0.0},(103,360):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(103,359):{'3_1':0.03},(103,358):{'3_1':0.03},(103,357):{'3_1':0.06,'4_1':0.0},(103,356):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(103,355):{'3_1':0.03},(103,354):{'3_1':0.06,'4_1':0.0},(103,353):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(103,352):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(103,351):{'3_1':0.06,'4_1':0.0},(103,350):{'3_1':0.0},(103,349):{'3_1':0.03},(103,348):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(103,347):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(103,346):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(103,345):{'3_1':0.03},(103,344):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(103,343):{'3_1':0.06},(103,342):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(103,341):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(103,340):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(103,339):{'3_1':0.09,'4_1':0.03,'8_1':0.0},(103,338):{'3_1':0.06,'6_2':0.0},(103,337):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(103,336):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(103,335):{'4_1':0.03,'3_1':0.03},(103,334):{'3_1':0.03,'4_1':0.0},(103,333):{'3_1':0.03,'4_1':0.0},(103,332):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(103,331):{'3_1':0.0},(103,330):{'3_1':0.03,'4_1':0.0},(103,329):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(103,328):{'3_1':0.03,'4_1':0.0},(103,327):{'3_1':0.03,'4_1':0.03},(103,326):{'3_1':0.09,'5_2':0.0},(103,325):{'3_1':0.06},(103,324):{'3_1':0.03},(103,323):{'3_1':0.0},(103,322):{'3_1':0.0,'4_1':0.0},(103,321):{'4_1':0.0},(103,320):{'3_1':0.0},(103,319):{'3_1':0.0,'4_1':0.0},(103,318):{'6_3':0.0,'3_1':0.0,'4_1':0.0,'5_2':0.0},(103,317):{'3_1':0.0,'4_1':0.0},(103,316):{'3_1':0.03,'4_1':0.0},(103,315):{'3_1':0.03,'4_1':0.0},(103,314):{'3_1':0.06},(103,313):{'4_1':0.0,'3_1':0.0},(103,312):{'3_1':0.03,'6_3':0.0},(103,311):{'3_1':0.03},(103,310):{'3_1':0.06,'4_1':0.0},(103,309):{'3_1':0.0,'4_1':0.0,'3_1#5_2':0.0},(103,308):{'3_1':0.03},(103,307):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(103,306):{'3_1':0.06},(103,305):{'5_2':0.0,'3_1':0.0},(103,304):{'3_1':0.0,'5_2':0.0},(103,303):{'3_1':0.03,'5_2':0.0},(103,302):{'3_1':0.06,'8_19':0.0},(103,301):{'3_1':0.03,'5_2':0.0},(103,300):{'3_1':0.03},(103,299):{'3_1':0.06,'6_2':0.0},(103,298):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(103,297):{'3_1':0.0},(103,296):{'3_1':0.03,'5_2':0.0},(103,295):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(103,294):{'3_1':0.03},(103,293):{'3_1':0.03},(103,292):{'3_1':0.0,'4_1':0.0},(103,291):{'3_1':0.0,'5_2':0.0},(103,290):{'3_1':0.0},(103,289):{'3_1':0.03},(103,288):{'3_1':0.03,'4_1':0.0},(103,287):{'3_1':0.03},(103,286):{'3_1':0.03,'5_2':0.0},(103,285):{'3_1':0.0},(103,284):{'3_1':0.03},(103,283):{'3_1':0.0},(103,282):{'4_1':0.0,'3_1':0.0},(103,281):{'3_1':0.0},(103,280):{'3_1':0.03,'4_1':0.0},(103,279):{'3_1':0.03},(103,278):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(103,277):{'3_1':0.0},(103,276):{'3_1':0.03},(103,275):{'3_1':0.06},(103,274):{'3_1':0.06},(103,273):{'3_1':0.03},(103,272):{'3_1':0.03},(103,271):{'3_1':0.06},(103,270):{'3_1':0.03},(103,269):{'3_1':0.03,'4_1':0.0},(103,268):{'3_1':0.03},(103,267):{'3_1':0.09,'4_1':0.0},(103,266):{'3_1':0.09},(103,265):{'3_1':0.09},(103,264):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(103,263):{'3_1':0.03,'4_1':0.0},(103,262):{'3_1':0.0,'4_1':0.0},(103,261):{'3_1':0.09,'5_2':0.0},(103,260):{'3_1':0.03,'4_1':0.0},(103,259):{'3_1':0.09},(103,258):{'3_1':0.06},(103,257):{'3_1':0.03,'4_1':0.0},(103,256):{'3_1':0.06},(103,255):{'3_1':0.09,'4_1':0.0},(103,254):{'3_1':0.03},(103,253):{'3_1':0.03},(103,252):{'3_1':0.06},(103,251):{'3_1':0.03},(103,250):{'3_1':0.0},(103,249):{'3_1':0.03},(103,248):{'3_1':0.03},(103,247):{'3_1':0.03},(103,246):{'3_1':0.06},(103,244):{'3_1':0.0},(103,243):{'3_1':0.0},(103,242):{'3_1':0.0,'4_1':0.0},(103,241):{'3_1':0.0},(103,240):{'3_1':0.0,'4_1':0.0},(103,239):{'3_1':0.0,'4_1':0.0},(103,238):{'3_1':0.03},(103,237):{'3_1':0.0},(103,236):{'3_1':0.0},(103,235):{'3_1':0.0},(103,234):{'3_1':0.0},(103,233):{'3_1':0.03},(103,232):{'3_1':0.03},(103,231):{'3_1':0.03},(103,230):{'3_1':0.0},(103,229):{'3_1':0.0},(103,228):{'3_1':0.0,'4_1':0.0},(103,227):{'3_1':0.03},(103,226):{'3_1':0.03},(103,225):{'3_1':0.06,'5_1':0.0},(103,224):{'3_1':0.03},(103,223):{'3_1':0.0},(103,222):{'3_1':0.06,'4_1':0.0},(103,221):{'3_1':0.06,'5_1':0.0},(103,220):{'3_1':0.06},(103,219):{'3_1':0.03},(103,218):{'3_1':0.03,'4_1':0.0},(103,217):{'3_1':0.03},(103,216):{'5_1':0.0},(103,215):{'3_1':0.0},(103,214):{'3_1':0.06},(103,213):{'3_1':0.03},(103,212):{'3_1':0.03},(103,211):{'3_1':0.0},(103,210):{'3_1':0.03},(103,209):{'3_1':0.03},(103,208):{'3_1':0.0},(103,207):{'3_1':0.03},(103,206):{'3_1':0.0},(103,205):{'3_1':0.03},(103,204):{'3_1':0.0},(103,203):{'3_1':0.0},(103,202):{'3_1':0.03},(103,201):{'3_1':0.03},(103,200):{'3_1':0.06},(103,199):{'3_1':0.0},(103,198):{'3_1':0.06},(103,197):{'3_1':0.03},(103,196):{'3_1':0.06,'4_1':0.0},(103,195):{'3_1':0.03},(103,194):{'3_1':0.0},(103,193):{'3_1':0.03},(103,192):{'3_1':0.03},(103,191):{'3_1':0.03},(103,190):{'3_1':0.0},(103,189):{'3_1':0.0},(103,188):{'3_1':0.0},(103,187):{'5_1':0.0},(103,186):{'3_1':0.0},(103,185):{'3_1':0.0},(103,184):{'3_1':0.0},(103,183):{'3_1':0.03},(103,182):{'3_1':0.0},(103,181):{'3_1':0.0},(103,179):{'3_1':0.0},(103,176):{'4_1':0.0},(103,175):{'3_1':0.0},(103,171):{'3_1':0.0},(103,166):{'3_1':0.0},(103,165):{'3_1':0.0},(103,162):{'3_1':0.0},(103,160):{'4_1':0.0},(103,159):{'3_1':0.0},(103,157):{'4_1':0.0},(103,156):{'3_1':0.0},(103,154):{'4_1':0.0},(103,153):{'3_1':0.0},(103,152):{'3_1':0.0},(103,151):{'3_1':0.0},(103,150):{'3_1':0.0},(103,148):{'3_1':0.0},(103,147):{'3_1':0.0},(103,146):{'3_1':0.0},(103,141):{'3_1':0.0},(103,138):{'3_1':0.0,'4_1':0.0},(103,137):{'3_1':0.0},(103,136):{'3_1':0.0},(103,135):{'3_1':0.0},(103,132):{'3_1':0.0},(103,131):{'3_1':0.0},(104,459):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0,'-3':0.0},(104,458):{'3_1':0.78,'5_1':0.03,'8_2':0.0,'8_4':0.0},(104,457):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_2':0.0},(104,456):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(104,455):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_4':0.0},(104,454):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(104,453):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0},(104,452):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_5':0.0},(104,451):{'3_1':0.78,'5_1':0.09,'5_2':0.0},(104,450):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0},(104,449):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(104,448):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(104,447):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(104,446):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_5':0.0,'-3':0.0},(104,445):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_5':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(104,444):{'3_1':0.69,'5_1':0.06,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(104,443):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0},(104,442):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(104,441):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(104,440):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'-3':0.0},(104,439):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0},(104,438):{'3_1':0.72,'5_2':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(104,437):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'8_2':0.0},(104,436):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(104,435):{'3_1':0.81,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(104,434):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'1':-0.03},(104,433):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(104,432):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_14':0.0},(104,431):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'4_1':0.0,'7_2':0.0,'8_2':0.0,'8_4':0.0},(104,430):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(104,429):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'7_2':0.0},(104,428):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_2':0.0,'8_4':0.0},(104,427):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0},(104,426):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'8_2':0.0},(104,425):{'3_1':0.69,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_2':0.0,'8_4':0.0},(104,424):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'7_1':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0},(104,423):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(104,422):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_6':0.0},(104,421):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_2':0.0,'7_1':0.0,'3_1#5_1':0.0},(104,420):{'3_1':0.66,'5_1':0.06,'8_2':0.03,'5_2':0.03,'4_1':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(104,419):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0,'1':-0.03},(104,418):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'8_2':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_6':0.0},(104,417):{'3_1':0.75,'5_1':0.03,'8_2':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0},(104,416):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_2':0.0,'8_6':0.0},(104,415):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'8_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(104,414):{'3_1':0.66,'5_1':0.12,'5_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(104,413):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(104,412):{'3_1':0.66,'5_1':0.18,'6_2':0.0,'8_2':0.0,'4_1':0.0},(104,411):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'8_2':0.03,'-3':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0},(104,410):{'3_1':0.54,'5_1':0.18,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'9_1':0.0,'-3':0.0},(104,409):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_4':0.0,'8_10':0.0,'-3':0.0},(104,408):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(104,407):{'3_1':0.63,'5_1':0.06,'5_2':0.06,'6_2':0.0,'7_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(104,406):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'7_2':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0,'8_2':0.0},(104,405):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_4':0.0},(104,404):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_2':0.0},(104,403):{'3_1':0.66,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_4':0.0},(104,402):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_7':0.0,'8_9':0.0,'-3':0.0},(104,401):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_4':0.0},(104,400):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(104,399):{'3_1':0.78,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0},(104,398):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0},(104,397):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0},(104,396):{'3_1':0.72,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(104,395):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(104,394):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0},(104,393):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_2':0.0},(104,392):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_2':0.0},(104,391):{'3_1':0.51,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_3':0.0,'-3':0.0},(104,390):{'3_1':0.54,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(104,389):{'3_1':0.39,'5_1':0.06,'6_2':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_4':0.0},(104,388):{'3_1':0.39,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0},(104,387):{'3_1':0.24,'5_1':0.03,'6_2':0.03,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(104,386):{'3_1':0.3,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_6':0.0,'6_2':0.0,'8_16':0.0},(104,385):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(104,384):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(104,383):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(104,382):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0},(104,381):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'6_2':0.0,'5_2':0.0,'5_1':0.0,'7_6':0.0},(104,380):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(104,379):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'4_1':0.0},(104,378):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(104,377):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'-3':0.0},(104,376):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(104,375):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_3':0.0},(104,374):{'3_1':0.09,'4_1':0.0},(104,373):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(104,372):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(104,371):{'3_1':0.06,'4_1':0.0},(104,370):{'3_1':0.09,'6_3':0.0},(104,369):{'3_1':0.09},(104,368):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(104,367):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(104,366):{'3_1':0.09,'4_1':0.0},(104,365):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(104,364):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(104,363):{'3_1':0.06,'6_1':0.0,'5_2':0.0},(104,362):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(104,361):{'3_1':0.06,'6_2':0.0,'4_1':0.0},(104,360):{'3_1':0.03,'4_1':0.0},(104,359):{'3_1':0.03,'4_1':0.0},(104,358):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_3':0.0},(104,357):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(104,356):{'3_1':0.06,'4_1':0.0},(104,355):{'3_1':0.06},(104,354):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_3':0.0},(104,353):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(104,352):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(104,351):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(104,350):{'3_1':0.0},(104,349):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(104,348):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(104,347):{'3_1':0.03,'4_1':0.0},(104,346):{'3_1':0.03,'4_1':0.03},(104,345):{'3_1':0.06,'4_1':0.0},(104,344):{'3_1':0.06,'4_1':0.0},(104,343):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(104,342):{'4_1':0.03,'3_1':0.0},(104,341):{'3_1':0.09,'4_1':0.03},(104,340):{'3_1':0.03,'4_1':0.03},(104,339):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(104,338):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(104,337):{'3_1':0.06,'4_1':0.03},(104,336):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(104,335):{'3_1':0.06,'4_1':0.0},(104,334):{'3_1':0.03},(104,333):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(104,332):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(104,331):{'3_1':0.0},(104,330):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(104,329):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(104,328):{'4_1':0.03,'3_1':0.03},(104,327):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(104,326):{'3_1':0.06,'6_1':0.0,'6_2':0.0},(104,325):{'3_1':0.03,'4_1':0.0},(104,324):{'3_1':0.03},(104,323):{'3_1':0.06,'4_1':0.0},(104,322):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(104,321):{'3_1':0.03,'4_1':0.0},(104,320):{'3_1':0.03,'4_1':0.0},(104,319):{'3_1':0.03},(104,318):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(104,317):{'3_1':0.0,'6_3':0.0},(104,316):{'3_1':0.0,'4_1':0.0},(104,315):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(104,314):{'3_1':0.09,'4_1':0.0},(104,313):{'3_1':0.06,'4_1':0.03},(104,312):{'3_1':0.03,'4_1':0.0},(104,311):{'3_1':0.0,'4_1':0.0},(104,310):{'3_1':0.03},(104,309):{'3_1':0.0,'4_1':0.0},(104,308):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(104,307):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(104,306):{'3_1':0.03},(104,305):{'3_1':0.03,'5_2':0.0},(104,304):{'3_1':0.03},(104,303):{'3_1':0.06,'4_1':0.0},(104,302):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(104,301):{'3_1':0.03,'5_2':0.0},(104,300):{'3_1':0.03,'4_1':0.0},(104,299):{'3_1':0.06,'4_1':0.0},(104,298):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(104,297):{'3_1':0.0},(104,296):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(104,295):{'3_1':0.0,'4_1':0.0},(104,294):{'3_1':0.0,'4_1':0.0},(104,293):{'3_1':0.06,'5_2':0.0},(104,292):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(104,291):{'3_1':0.0,'4_1':0.0},(104,290):{'3_1':0.03,'4_1':0.0},(104,289):{'3_1':0.03,'4_1':0.0},(104,288):{'3_1':0.03,'4_1':0.0},(104,287):{'3_1':0.06},(104,286):{'3_1':0.03,'4_1':0.0},(104,285):{'3_1':0.03,'4_1':0.0},(104,284):{'3_1':0.03},(104,283):{'3_1':0.03,'4_1':0.0},(104,282):{'3_1':0.06,'4_1':0.0},(104,281):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(104,280):{'3_1':0.03,'4_1':0.0},(104,279):{'4_1':0.0},(104,278):{'3_1':0.0,'5_2':0.0},(104,277):{'3_1':0.03,'4_1':0.0},(104,276):{'3_1':0.03},(104,275):{'3_1':0.0},(104,274):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(104,273):{'3_1':0.03},(104,272):{'3_1':0.03},(104,271):{'3_1':0.06},(104,270):{'3_1':0.03},(104,269):{'3_1':0.03,'4_1':0.0},(104,268):{'3_1':0.06,'5_2':0.0},(104,267):{'3_1':0.09},(104,266):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(104,265):{'3_1':0.0,'5_2':0.0},(104,264):{'3_1':0.09},(104,263):{'3_1':0.06,'4_1':0.0},(104,262):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(104,261):{'3_1':0.09,'4_1':0.0},(104,260):{'3_1':0.03},(104,259):{'3_1':0.06,'5_1':0.0},(104,258):{'3_1':0.06},(104,257):{'3_1':0.06},(104,256):{'3_1':0.03},(104,255):{'3_1':0.03,'4_1':0.0},(104,254):{'3_1':0.0},(104,253):{'3_1':0.0},(104,252):{'3_1':0.06,'4_1':0.0},(104,251):{'3_1':0.03},(104,250):{'3_1':0.0,'4_1':0.0},(104,249):{'3_1':0.0},(104,247):{'3_1':0.03},(104,246):{'3_1':0.0},(104,244):{'3_1':0.0,'4_1':0.0},(104,243):{'3_1':0.0},(104,242):{'3_1':0.0},(104,241):{'3_1':0.0},(104,240):{'3_1':0.0},(104,239):{'3_1':0.0},(104,237):{'3_1':0.0},(104,236):{'3_1':0.0},(104,235):{'3_1':0.0},(104,233):{'3_1':0.0},(104,232):{'3_1':0.0},(104,231):{'3_1':0.03},(104,230):{'3_1':0.0},(104,228):{'3_1':0.0},(104,227):{'3_1':0.03},(104,226):{'3_1':0.03},(104,225):{'3_1':0.03},(104,224):{'3_1':0.03},(104,223):{'3_1':0.03},(104,222):{'3_1':0.0,'4_1':0.0},(104,221):{'3_1':0.06},(104,220):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(104,219):{'3_1':0.03},(104,218):{'3_1':0.03},(104,217):{'3_1':0.0},(104,216):{'3_1':0.03},(104,215):{'3_1':0.0},(104,214):{'3_1':0.06},(104,213):{'3_1':0.03},(104,212):{'3_1':0.03,'5_1':0.0},(104,211):{'3_1':0.03},(104,210):{'3_1':0.0},(104,209):{'3_1':0.09},(104,208):{'3_1':0.03},(104,207):{'3_1':0.03},(104,206):{'3_1':0.0},(104,205):{'3_1':0.06},(104,204):{'3_1':0.03},(104,203):{'3_1':0.03},(104,202):{'3_1':0.0},(104,201):{'3_1':0.03},(104,200):{'3_1':0.0},(104,199):{'3_1':0.0},(104,198):{'3_1':0.0},(104,197):{'3_1':0.03},(104,196):{'3_1':0.03},(104,195):{'3_1':0.03},(104,194):{'3_1':0.03},(104,193):{'3_1':0.0},(104,192):{'3_1':0.0},(104,191):{'3_1':0.0},(104,190):{'3_1':0.0},(104,189):{'5_1':0.0},(104,186):{'3_1':0.0},(104,185):{'3_1':0.0},(104,184):{'3_1':0.03},(104,183):{'3_1':0.0,'4_1':0.0},(104,182):{'3_1':0.0,'4_1':0.0},(104,181):{'3_1':0.0},(104,168):{'3_1':0.0},(104,165):{'3_1':0.0},(104,163):{'3_1':0.0},(104,160):{'4_1':0.0},(104,159):{'3_1':0.0},(104,157):{'3_1':0.0},(104,156):{'3_1':0.0},(104,154):{'4_1':0.0},(104,153):{'3_1':0.0},(104,152):{'3_1':0.03},(104,150):{'4_1':0.0},(104,149):{'3_1':0.0},(104,148):{'3_1':0.0},(104,147):{'3_1':0.0},(104,146):{'3_1':0.0},(104,145):{'3_1':0.0},(104,141):{'3_1':0.0},(104,140):{'3_1':0.0},(104,139):{'3_1':0.0},(104,138):{'3_1':0.0},(104,132):{'3_1':0.0},(104,130):{'3_1':0.0},(105,459):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_1':0.0},(105,458):{'3_1':0.84,'5_2':0.0,'4_1':0.0,'5_1':0.0},(105,457):{'3_1':0.81,'5_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(105,456):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0},(105,455):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0},(105,454):{'3_1':0.75,'6_2':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(105,453):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0},(105,452):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'7_5':0.0},(105,451):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'6_1':0.0},(105,450):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(105,449):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'7_5':0.0,'5_2':0.0},(105,448):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(105,447):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(105,446):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(105,445):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(105,444):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'8_2':0.0,'5_2':0.0},(105,443):{'3_1':0.81,'5_1':0.0,'7_1':0.0,'6_2':0.0,'8_14':0.0},(105,442):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.03,'7_5':0.0,'8_2':0.0,'8_6':0.0,'-3':0.0},(105,441):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_10':0.0},(105,440):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(105,439):{'3_1':0.72,'5_1':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0,'8_6':0.0},(105,438):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.03,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(105,437):{'3_1':0.69,'5_1':0.09,'7_3':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(105,436):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(105,435):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0},(105,434):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(105,433):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0},(105,432):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(105,431):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(105,430):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(105,429):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(105,428):{'3_1':0.57,'5_1':0.15,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(105,427):{'3_1':0.69,'5_1':0.09,'4_1':0.0,'5_2':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0},(105,426):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0},(105,425):{'3_1':0.69,'5_1':0.06,'6_2':0.0,'5_2':0.0,'4_1':0.0,'-3':0.0},(105,424):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0,'8_2':0.0},(105,423):{'3_1':0.69,'5_1':0.06,'4_1':0.03,'5_2':0.03,'8_2':0.0},(105,422):{'3_1':0.69,'5_1':0.09,'6_2':0.0,'5_2':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(105,421):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'8_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0},(105,420):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(105,419):{'3_1':0.66,'5_1':0.06,'7_1':0.03,'4_1':0.03,'5_2':0.03,'7_3':0.0,'8_2':0.0,'-3':0.0},(105,418):{'3_1':0.66,'5_2':0.03,'5_1':0.03,'4_1':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_1':0.0,'-3':0.0},(105,417):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'4_1':0.0,'8_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_11':0.0,'-3':0.0,'1':-0.03},(105,416):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'4_1':0.0,'8_2':0.0,'8_7':0.0,'6_2':0.0,'7_2':0.0},(105,415):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0},(105,414):{'3_1':0.54,'5_1':0.18,'7_1':0.03,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(105,413):{'3_1':0.6,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0},(105,412):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(105,411):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'8_2':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(105,410):{'3_1':0.51,'5_1':0.09,'5_2':0.06,'6_2':0.06,'4_1':0.03,'8_2':0.0,'-3':0.0,'7_1':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(105,409):{'3_1':0.6,'5_1':0.06,'4_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'7_1':0.0,'8_7':0.0,'8_9':0.0},(105,408):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(105,407):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'4_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(105,406):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'4_1':0.0,'8_2':0.0,'6_1':0.0,'6_3':0.0,'8_6':0.0},(105,405):{'3_1':0.6,'5_1':0.09,'5_2':0.06,'4_1':0.03,'6_2':0.0,'6_1':0.0,'-3':0.0},(105,404):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0},(105,403):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0},(105,402):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(105,401):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(105,400):{'3_1':0.66,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_2':0.0},(105,399):{'3_1':0.72,'5_1':0.03,'5_2':0.03},(105,398):{'3_1':0.72,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_3':0.0},(105,397):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(105,396):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'6_2':0.0},(105,395):{'3_1':0.6,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_12':0.0},(105,394):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0},(105,393):{'3_1':0.54,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_19':0.0,'6_1':0.0,'-3':0.0},(105,392):{'3_1':0.51,'5_1':0.06,'5_2':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0},(105,391):{'3_1':0.51,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(105,390):{'3_1':0.51,'4_1':0.03,'5_1':0.03,'5_2':0.03},(105,389):{'3_1':0.48,'5_1':0.06,'6_2':0.06,'4_1':0.0,'5_2':0.0},(105,388):{'3_1':0.39,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_9':0.0,'8_19':0.0,'3_1#5_2':0.0},(105,387):{'3_1':0.33,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(105,386):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0},(105,385):{'3_1':0.21,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(105,384):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(105,383):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'6_1':0.0},(105,382):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(105,381):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0},(105,380):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_19':0.0,'-3':0.0},(105,379):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'-3':0.0},(105,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_6':0.0},(105,377):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(105,376):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_14':0.0},(105,375):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(105,374):{'3_1':0.09,'4_1':0.0},(105,373):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(105,372):{'3_1':0.09,'4_1':0.0},(105,371):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(105,370):{'3_1':0.12,'4_1':0.0},(105,369):{'3_1':0.09},(105,368):{'3_1':0.12,'4_1':0.0},(105,367):{'3_1':0.12,'4_1':0.0},(105,366):{'3_1':0.09,'5_2':0.0},(105,365):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0},(105,364):{'3_1':0.12,'5_1':0.0,'6_3':0.0},(105,363):{'3_1':0.06,'8_9':0.0},(105,362):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(105,361):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(105,360):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(105,359):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(105,358):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(105,357):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(105,356):{'3_1':0.09,'4_1':0.0},(105,355):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(105,354):{'3_1':0.06,'4_1':0.0},(105,353):{'3_1':0.03},(105,352):{'3_1':0.09,'4_1':0.0},(105,351):{'3_1':0.06},(105,350):{'3_1':0.03,'5_2':0.0},(105,349):{'3_1':0.06,'5_2':0.0},(105,348):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(105,347):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(105,346):{'3_1':0.03},(105,345):{'3_1':0.03,'4_1':0.0},(105,344):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(105,343):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(105,342):{'3_1':0.03,'6_2':0.0},(105,341):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(105,340):{'3_1':0.09,'4_1':0.0},(105,339):{'3_1':0.06,'4_1':0.0},(105,338):{'3_1':0.06,'4_1':0.0},(105,337):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(105,336):{'3_1':0.09,'4_1':0.03},(105,335):{'4_1':0.03,'3_1':0.0},(105,334):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(105,333):{'3_1':0.03,'4_1':0.0},(105,332):{'3_1':0.09,'4_1':0.0},(105,331):{'3_1':0.06},(105,330):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(105,329):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(105,328):{'4_1':0.03,'3_1':0.0},(105,327):{'3_1':0.06,'4_1':0.0},(105,326):{'3_1':0.03,'4_1':0.03},(105,325):{'3_1':0.03,'4_1':0.0},(105,324):{'3_1':0.03,'4_1':0.0},(105,323):{'3_1':0.0,'4_1':0.0},(105,322):{'3_1':0.06,'4_1':0.0},(105,321):{'3_1':0.03,'4_1':0.0},(105,320):{'3_1':0.03,'4_1':0.0},(105,319):{'3_1':0.03,'4_1':0.0},(105,318):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(105,317):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(105,316):{'3_1':0.06,'5_2':0.0},(105,315):{'3_1':0.0,'4_1':0.0},(105,314):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(105,313):{'3_1':0.06,'6_3':0.0},(105,312):{'3_1':0.06,'4_1':0.0},(105,311):{'3_1':0.03,'4_1':0.0},(105,310):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(105,309):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(105,308):{'3_1':0.03,'4_1':0.0},(105,307):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(105,306):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(105,305):{'4_1':0.0,'3_1':0.0},(105,304):{'3_1':0.03},(105,303):{'3_1':0.03,'5_2':0.0},(105,302):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(105,301):{'3_1':0.06,'4_1':0.0},(105,300):{'3_1':0.06,'5_1':0.0},(105,299):{'3_1':0.06,'4_1':0.0},(105,298):{'3_1':0.06},(105,297):{'3_1':0.03,'4_1':0.0},(105,296):{'3_1':0.06,'4_1':0.03},(105,295):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(105,294):{'3_1':0.09,'4_1':0.03},(105,293):{'3_1':0.03,'5_2':0.0},(105,292):{'3_1':0.0},(105,291):{'3_1':0.06},(105,290):{'3_1':0.06},(105,289):{'3_1':0.0},(105,288):{'3_1':0.03},(105,287):{'3_1':0.0,'4_1':0.0},(105,285):{'3_1':0.03,'4_1':0.0},(105,284):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(105,283):{'3_1':0.0,'5_2':0.0},(105,282):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(105,281):{'3_1':0.03,'4_1':0.0},(105,280):{'3_1':0.03,'4_1':0.0},(105,279):{'3_1':0.03},(105,278):{'3_1':0.0,'4_1':0.0},(105,277):{'3_1':0.03,'5_2':0.0},(105,276):{'3_1':0.06},(105,275):{'3_1':0.03},(105,274):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(105,273):{'3_1':0.03},(105,272):{'3_1':0.06},(105,271):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(105,270):{'3_1':0.06},(105,269):{'3_1':0.06},(105,268):{'3_1':0.06,'5_2':0.0},(105,267):{'3_1':0.06,'5_2':0.0},(105,266):{'3_1':0.06},(105,265):{'3_1':0.09},(105,264):{'3_1':0.06,'5_2':0.0},(105,263):{'3_1':0.09,'6_3':0.0},(105,262):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(105,261):{'3_1':0.09,'5_2':0.0},(105,260):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(105,259):{'3_1':0.06},(105,258):{'3_1':0.09},(105,257):{'3_1':0.03},(105,256):{'3_1':0.09},(105,255):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(105,254):{'3_1':0.09,'4_1':0.0},(105,253):{'3_1':0.0},(105,252):{'3_1':0.03},(105,251):{'3_1':0.03},(105,250):{'3_1':0.03},(105,249):{'3_1':0.03},(105,248):{'3_1':0.03},(105,247):{'3_1':0.0},(105,246):{'3_1':0.03,'4_1':0.0},(105,245):{'3_1':0.0},(105,244):{'3_1':0.0,'4_1':0.0},(105,243):{'3_1':0.03},(105,242):{'3_1':0.03},(105,241):{'3_1':0.03},(105,240):{'3_1':0.0,'4_1':0.0},(105,239):{'3_1':0.0,'4_1':0.0},(105,238):{'3_1':0.03},(105,237):{'3_1':0.0},(105,236):{'3_1':0.0},(105,235):{'3_1':0.03,'4_1':0.0},(105,234):{'3_1':0.0},(105,233):{'3_1':0.03},(105,232):{'3_1':0.03},(105,231):{'3_1':0.03},(105,230):{'3_1':0.03},(105,229):{'3_1':0.0},(105,228):{'3_1':0.0},(105,227):{'3_1':0.0},(105,226):{'3_1':0.06,'4_1':0.0},(105,225):{'3_1':0.03},(105,224):{'3_1':0.09},(105,223):{'3_1':0.03},(105,222):{'3_1':0.06,'4_1':0.0},(105,221):{'3_1':0.09},(105,220):{'3_1':0.0},(105,219):{'3_1':0.06},(105,218):{'3_1':0.03},(105,217):{'3_1':0.03},(105,216):{'3_1':0.06},(105,215):{'3_1':0.0},(105,214):{'3_1':0.03,'4_1':0.0},(105,213):{'3_1':0.03},(105,212):{'3_1':0.06,'5_1':0.0},(105,211):{'3_1':0.06},(105,210):{'3_1':0.06},(105,209):{'3_1':0.03},(105,208):{'3_1':0.09},(105,207):{'3_1':0.06},(105,206):{'3_1':0.03},(105,205):{'3_1':0.06},(105,204):{'3_1':0.09},(105,203):{'3_1':0.03},(105,202):{'3_1':0.0},(105,201):{'3_1':0.0},(105,200):{'3_1':0.0},(105,199):{'3_1':0.06},(105,198):{'3_1':0.03},(105,197):{'3_1':0.06},(105,196):{'3_1':0.0},(105,195):{'3_1':0.0},(105,194):{'3_1':0.0},(105,193):{'3_1':0.0},(105,192):{'3_1':0.03},(105,191):{'3_1':0.0},(105,190):{'3_1':0.0,'5_1':0.0},(105,189):{'3_1':0.0},(105,188):{'3_1':0.0},(105,187):{'3_1':0.0},(105,185):{'3_1':0.0},(105,183):{'3_1':0.0},(105,182):{'3_1':0.0},(105,179):{'3_1':0.0},(105,177):{'4_1':0.0},(105,175):{'3_1':0.0},(105,171):{'3_1':0.0},(105,169):{'3_1':0.0},(105,167):{'3_1':0.0,'4_1':0.0},(105,165):{'4_1':0.0},(105,161):{'4_1':0.0},(105,160):{'3_1':0.0,'4_1':0.0},(105,159):{'3_1':0.0},(105,158):{'3_1':0.0},(105,157):{'3_1':0.0},(105,156):{'3_1':0.0},(105,155):{'3_1':0.0},(105,153):{'3_1':0.0},(105,152):{'3_1':0.0},(105,151):{'3_1':0.03},(105,150):{'3_1':0.0},(105,149):{'3_1':0.0,'4_1':0.0},(105,148):{'3_1':0.0},(105,147):{'3_1':0.0},(105,146):{'3_1':0.0},(105,142):{'3_1':0.0},(105,141):{'3_1':0.0},(105,139):{'3_1':0.0},(106,459):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0},(106,458):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0},(106,457):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0},(106,456):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(106,455):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(106,454):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0},(106,453):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(106,452):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0},(106,451):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(106,450):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(106,449):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'4_1':0.0},(106,448):{'3_1':0.72,'5_1':0.06,'4_1':0.03,'6_2':0.0},(106,447):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(106,446):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(106,445):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0},(106,444):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(106,443):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(106,442):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0,'8_6':0.0},(106,441):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0},(106,440):{'3_1':0.69,'5_1':0.03,'6_2':0.0,'7_3':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(106,439):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0},(106,438):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(106,437):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(106,436):{'3_1':0.72,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_9':0.0,'-3':0.0},(106,435):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0},(106,434):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(106,433):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(106,432):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0},(106,431):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(106,430):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0},(106,429):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0},(106,428):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(106,427):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_2':0.0},(106,426):{'3_1':0.69,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0},(106,425):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(106,424):{'3_1':0.66,'5_1':0.06,'5_2':0.06,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(106,423):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(106,422):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0},(106,421):{'3_1':0.69,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(106,420):{'3_1':0.66,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(106,419):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0},(106,418):{'3_1':0.66,'5_1':0.15,'5_2':0.03,'8_2':0.0,'6_2':0.0,'-3':0.0},(106,417):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'-3':0.03,'4_1':0.0,'8_2':0.0},(106,416):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(106,415):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'6_2':0.0,'-3':0.0,'8_2':0.0,'8_9':0.0},(106,414):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'8_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(106,413):{'3_1':0.63,'5_1':0.12,'8_2':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(106,412):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0},(106,411):{'3_1':0.54,'5_1':0.12,'6_2':0.06,'8_2':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_6':0.0},(106,410):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.03,'7_1':0.0,'8_2':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_7':0.0},(106,409):{'3_1':0.48,'5_1':0.18,'5_2':0.09,'4_1':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'8_9':0.0,'-3':0.0},(106,408):{'3_1':0.54,'5_1':0.12,'5_2':0.06,'4_1':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0,'8_7':0.0},(106,407):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(106,406):{'3_1':0.72,'5_2':0.09,'5_1':0.03,'8_2':0.0,'4_1':0.0},(106,405):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0},(106,404):{'3_1':0.63,'5_1':0.09,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(106,403):{'3_1':0.63,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0,'6_1':0.0},(106,402):{'3_1':0.72,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_11':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0,'8_7':0.0,'-3':0.0},(106,401):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'6_3':0.0,'-3':0.0},(106,400):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(106,399):{'3_1':0.69,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(106,398):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'6_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(106,397):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(106,396):{'3_1':0.69,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0},(106,395):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(106,394):{'3_1':0.63,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(106,393):{'3_1':0.57,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(106,392):{'3_1':0.6,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0},(106,391):{'3_1':0.54,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(106,390):{'3_1':0.48,'5_1':0.09,'4_1':0.0,'5_2':0.0,'7_6':0.0,'6_2':0.0},(106,389):{'3_1':0.39,'4_1':0.03,'5_1':0.03,'6_1':0.03,'5_2':0.0,'7_6':0.0},(106,388):{'3_1':0.39,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_16':0.0},(106,387):{'3_1':0.3,'6_2':0.03,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0},(106,386):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(106,385):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0},(106,384):{'3_1':0.12,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0},(106,383):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_7':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(106,382):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_2':0.0},(106,381):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(106,380):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(106,379):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_6':0.0,'-3':0.0},(106,378):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'3_1#5_2':0.0},(106,377):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(106,376):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(106,375):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(106,374):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_6':0.0},(106,373):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'5_1':0.0},(106,372):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_13':0.0},(106,371):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(106,370):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(106,369):{'3_1':0.09,'4_1':0.0},(106,368):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(106,367):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(106,366):{'3_1':0.12,'6_3':0.0},(106,365):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(106,364):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(106,363):{'3_1':0.12,'4_1':0.0},(106,362):{'3_1':0.09,'4_1':0.0},(106,361):{'3_1':0.09},(106,360):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(106,359):{'3_1':0.09,'4_1':0.0},(106,358):{'3_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(106,357):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(106,356):{'3_1':0.12,'4_1':0.0},(106,355):{'3_1':0.09,'4_1':0.0},(106,354):{'3_1':0.09,'4_1':0.0},(106,353):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(106,352):{'3_1':0.09,'4_1':0.0},(106,351):{'3_1':0.09,'4_1':0.0},(106,350):{'3_1':0.03,'4_1':0.0},(106,349):{'3_1':0.03},(106,348):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(106,347):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(106,346):{'3_1':0.03,'4_1':0.03},(106,345):{'3_1':0.03,'4_1':0.03},(106,344):{'3_1':0.06,'4_1':0.0},(106,343):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(106,342):{'3_1':0.06,'4_1':0.0},(106,341):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(106,340):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(106,339):{'3_1':0.06},(106,338):{'3_1':0.09,'4_1':0.0},(106,337):{'3_1':0.03,'4_1':0.03},(106,336):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(106,335):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(106,334):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(106,333):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(106,332):{'3_1':0.06,'4_1':0.0},(106,331):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(106,330):{'3_1':0.06,'4_1':0.0},(106,329):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(106,328):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(106,327):{'3_1':0.06,'4_1':0.0},(106,326):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(106,325):{'3_1':0.03,'4_1':0.0},(106,324):{'3_1':0.0,'4_1':0.0},(106,323):{'3_1':0.0,'4_1':0.0},(106,322):{'3_1':0.03,'4_1':0.0},(106,321):{'3_1':0.03,'8_7':0.0},(106,320):{'3_1':0.03},(106,319):{'4_1':0.0,'3_1':0.0,'6_3':0.0,'8_3':0.0},(106,318):{'3_1':0.03,'4_1':0.0},(106,317):{'3_1':0.06,'4_1':0.0},(106,316):{'3_1':0.09,'4_1':0.0},(106,315):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(106,314):{'3_1':0.03,'4_1':0.0},(106,313):{'3_1':0.03,'4_1':0.0},(106,312):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(106,311):{'3_1':0.0,'4_1':0.0},(106,310):{'3_1':0.03,'4_1':0.0},(106,309):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(106,308):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(106,307):{'3_1':0.06},(106,306):{'3_1':0.03,'4_1':0.0},(106,305):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(106,304):{'3_1':0.0,'5_2':0.0},(106,303):{'3_1':0.0},(106,302):{'3_1':0.03,'4_1':0.0},(106,301):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(106,300):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(106,299):{'3_1':0.03,'4_1':0.0},(106,298):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(106,297):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(106,296):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(106,295):{'3_1':0.03,'4_1':0.0},(106,294):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(106,293):{'3_1':0.0,'4_1':0.0},(106,292):{'3_1':0.03},(106,291):{'3_1':0.06,'4_1':0.0},(106,290):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(106,289):{'3_1':0.03,'4_1':0.0},(106,288):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(106,287):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(106,286):{'3_1':0.0},(106,285):{'3_1':0.03,'4_1':0.0},(106,284):{'3_1':0.06,'4_1':0.0},(106,283):{'3_1':0.03},(106,282):{'3_1':0.03},(106,281):{'3_1':0.03,'4_1':0.0},(106,280):{'3_1':0.0},(106,279):{'3_1':0.0},(106,278):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(106,277):{'3_1':0.06,'4_1':0.0},(106,276):{'3_1':0.0},(106,275):{'3_1':0.03},(106,274):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(106,273):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(106,272):{'3_1':0.06,'5_2':0.0},(106,271):{'3_1':0.06},(106,270):{'3_1':0.06,'4_1':0.0},(106,269):{'3_1':0.09,'4_1':0.0},(106,268):{'3_1':0.06},(106,267):{'3_1':0.15,'4_1':0.0},(106,266):{'3_1':0.06,'5_2':0.0},(106,265):{'3_1':0.06,'4_1':0.0},(106,264):{'3_1':0.06,'4_1':0.0},(106,263):{'3_1':0.03,'5_1':0.0},(106,262):{'3_1':0.0},(106,261):{'3_1':0.06},(106,260):{'3_1':0.12,'5_1':0.0},(106,259):{'3_1':0.09,'5_1':0.0},(106,258):{'3_1':0.03},(106,257):{'3_1':0.03,'4_1':0.0},(106,256):{'3_1':0.06},(106,255):{'3_1':0.03},(106,254):{'3_1':0.0},(106,253):{'3_1':0.03},(106,252):{'3_1':0.09},(106,251):{'3_1':0.03,'4_1':0.0},(106,250):{'3_1':0.0},(106,249):{'3_1':0.03,'4_1':0.0},(106,248):{'3_1':0.0},(106,247):{'3_1':0.0},(106,246):{'3_1':0.03},(106,245):{'3_1':0.0},(106,244):{'3_1':0.0},(106,243):{'3_1':0.06},(106,242):{'3_1':0.0},(106,241):{'3_1':0.06},(106,240):{'3_1':0.0},(106,239):{'3_1':0.03},(106,238):{'3_1':0.0},(106,237):{'3_1':0.0},(106,236):{'3_1':0.06},(106,235):{'3_1':0.0},(106,233):{'3_1':0.0},(106,232):{'3_1':0.03},(106,231):{'3_1':0.0},(106,230):{'3_1':0.0},(106,229):{'3_1':0.0},(106,228):{'3_1':0.0},(106,227):{'3_1':0.03},(106,226):{'3_1':0.0,'6_2':0.0},(106,225):{'3_1':0.0},(106,224):{'3_1':0.0},(106,223):{'3_1':0.03},(106,222):{'3_1':0.03},(106,221):{'3_1':0.0},(106,220):{'3_1':0.03},(106,219):{'3_1':0.06},(106,218):{'3_1':0.03},(106,217):{'3_1':0.03,'4_1':0.0},(106,216):{'3_1':0.0},(106,215):{'3_1':0.0},(106,214):{'3_1':0.0},(106,213):{'3_1':0.03},(106,212):{'3_1':0.03},(106,211):{'3_1':0.03,'4_1':0.0},(106,210):{'3_1':0.0},(106,209):{'3_1':0.03},(106,208):{'3_1':0.09},(106,207):{'3_1':0.06},(106,206):{'3_1':0.03},(106,205):{'3_1':0.03},(106,204):{'3_1':0.06},(106,203):{'3_1':0.0},(106,202):{'3_1':0.03},(106,201):{'3_1':0.03},(106,200):{'3_1':0.03},(106,199):{'3_1':0.03},(106,198):{'3_1':0.03},(106,197):{'3_1':0.06},(106,196):{'3_1':0.03},(106,195):{'3_1':0.0},(106,194):{'3_1':0.0},(106,193):{'3_1':0.0},(106,192):{'3_1':0.0},(106,191):{'3_1':0.0},(106,190):{'3_1':0.0},(106,188):{'3_1':0.03,'5_1':0.0},(106,187):{'3_1':0.03},(106,186):{'3_1':0.0},(106,185):{'3_1':0.0},(106,184):{'3_1':0.0},(106,182):{'4_1':0.0},(106,181):{'3_1':0.0},(106,179):{'3_1':0.0},(106,178):{'3_1':0.0},(106,177):{'3_1':0.0},(106,176):{'4_1':0.0},(106,175):{'3_1':0.0},(106,169):{'4_1':0.0},(106,167):{'3_1':0.0},(106,165):{'3_1':0.0,'4_1':0.0},(106,161):{'3_1':0.0},(106,160):{'3_1':0.0},(106,159):{'3_1':0.0},(106,156):{'3_1':0.0},(106,155):{'3_1':0.0,'4_1':0.0},(106,154):{'3_1':0.0},(106,153):{'3_1':0.0},(106,152):{'3_1':0.0,'4_1':0.0},(106,151):{'3_1':0.03},(106,150):{'3_1':0.0},(106,149):{'4_1':0.0},(106,148):{'4_1':0.0},(106,147):{'3_1':0.0},(106,146):{'3_1':0.0},(106,142):{'3_1':0.0},(106,139):{'3_1':0.0},(106,138):{'3_1':0.0},(106,137):{'3_1':0.0},(106,136):{'3_1':0.0},(107,459):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.0},(107,458):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0},(107,457):{'3_1':0.63,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(107,456):{'3_1':0.63,'5_1':0.06,'5_2':0.06,'6_2':0.0,'4_1':0.0},(107,455):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(107,454):{'3_1':0.72,'5_1':0.06,'4_1':0.03,'5_2':0.0},(107,453):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(107,452):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(107,451):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0},(107,450):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0},(107,449):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0},(107,448):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_10':0.0},(107,447):{'3_1':0.66,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(107,446):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(107,445):{'3_1':0.72,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0},(107,444):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(107,443):{'3_1':0.63,'5_1':0.09,'5_2':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(107,442):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(107,441):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0},(107,440):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0},(107,439):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(107,438):{'3_1':0.57,'5_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0},(107,437):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(107,436):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(107,435):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.03,'7_1':0.0},(107,434):{'3_1':0.66,'5_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(107,433):{'3_1':0.6,'5_1':0.12,'5_2':0.06,'4_1':0.03,'6_2':0.0,'8_2':0.0},(107,432):{'3_1':0.57,'5_1':0.18,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0},(107,431):{'3_1':0.57,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0},(107,430):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'7_3':0.0,'8_2':0.0},(107,429):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'7_5':0.0},(107,428):{'3_1':0.6,'5_2':0.06,'5_1':0.03,'6_2':0.03,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_4':0.0},(107,427):{'3_1':0.69,'5_1':0.12,'4_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(107,426):{'3_1':0.63,'5_1':0.12,'6_2':0.03,'5_2':0.0,'4_1':0.0},(107,425):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0,'8_2':0.0},(107,424):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_2':0.0},(107,423):{'3_1':0.69,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(107,422):{'3_1':0.48,'5_1':0.18,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(107,421):{'3_1':0.63,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'5_2':0.0,'6_1':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0},(107,420):{'3_1':0.6,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(107,419):{'3_1':0.63,'5_1':0.12,'5_2':0.06,'4_1':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0,'-3':0.0},(107,418):{'3_1':0.54,'5_1':0.15,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'7_5':0.0},(107,417):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'-3':0.0},(107,416):{'3_1':0.54,'5_1':0.09,'5_2':0.09,'4_1':0.03,'8_2':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0,'8_4':0.0},(107,415):{'3_1':0.51,'5_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(107,414):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'7_3':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(107,413):{'3_1':0.6,'5_1':0.12,'6_2':0.0,'5_2':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(107,412):{'3_1':0.48,'5_1':0.18,'5_2':0.03,'7_1':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_2':0.0,'-3':0.0},(107,411):{'3_1':0.57,'5_1':0.12,'5_2':0.06,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_5':0.0},(107,410):{'3_1':0.45,'5_1':0.12,'5_2':0.09,'6_2':0.03,'7_1':0.03,'4_1':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0,'8_7':0.0},(107,409):{'3_1':0.51,'5_1':0.24,'7_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'8_2':0.0},(107,408):{'3_1':0.54,'5_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_7':0.0,'-3':0.0,'1':-0.03},(107,407):{'3_1':0.54,'5_1':0.18,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'8_2':0.0,'8_9':0.0},(107,406):{'3_1':0.51,'5_1':0.18,'5_2':0.03,'6_2':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'8_4':0.0,'-3':0.0},(107,405):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_2':0.0,'7_3':0.0},(107,404):{'3_1':0.69,'5_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(107,403):{'3_1':0.6,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(107,402):{'3_1':0.63,'5_1':0.06,'4_1':0.03,'6_2':0.0,'8_11':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(107,401):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_1':0.0,'7_1':0.0},(107,400):{'3_1':0.48,'5_1':0.09,'5_2':0.06,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(107,399):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(107,398):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0},(107,397):{'3_1':0.57,'5_1':0.09,'5_2':0.0,'4_1':0.0,'7_6':0.0},(107,396):{'3_1':0.63,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_16':0.0},(107,395):{'3_1':0.48,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0},(107,394):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_3':0.0},(107,393):{'3_1':0.51,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_7':0.0,'-3':0.0},(107,392):{'3_1':0.42,'5_1':0.06,'5_2':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(107,391):{'3_1':0.48,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_2':0.0,'4_1':0.0},(107,390):{'3_1':0.42,'5_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(107,389):{'3_1':0.39,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(107,388):{'3_1':0.33,'5_1':0.09,'4_1':0.06,'5_2':0.0,'8_16':0.0,'-3':0.0,'6_2':0.0},(107,387):{'3_1':0.24,'6_2':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'8_17':0.0,'-3':0.0},(107,386):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(107,385):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(107,384):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_11':0.0,'8_16':0.0,'-3':0.0},(107,383):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(107,382):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_17':0.0,'8_21|3_1#4_1':0.0},(107,381):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0,'-3':0.0},(107,380):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(107,379):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(107,378):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'-3':0.0},(107,377):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(107,376):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(107,375):{'3_1':0.18,'4_1':0.03},(107,374):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(107,373):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(107,372):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_3':0.0},(107,371):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(107,370):{'3_1':0.09,'4_1':0.0},(107,369):{'3_1':0.12,'4_1':0.0},(107,368):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(107,367):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(107,366):{'3_1':0.12,'5_1':0.0,'6_3':0.0,'4_1':0.0,'5_2':0.0},(107,365):{'3_1':0.18,'4_1':0.0},(107,364):{'3_1':0.09,'6_2':0.0,'8_9':0.0},(107,363):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(107,362):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(107,361):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(107,360):{'3_1':0.09,'4_1':0.0},(107,359):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(107,358):{'3_1':0.06,'4_1':0.0},(107,357):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(107,356):{'3_1':0.09,'4_1':0.0},(107,355):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(107,354):{'3_1':0.09,'4_1':0.0},(107,353):{'3_1':0.12,'4_1':0.0},(107,352):{'3_1':0.12,'4_1':0.0},(107,351):{'3_1':0.18,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(107,350):{'3_1':0.06,'4_1':0.0},(107,349):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(107,348):{'3_1':0.06,'4_1':0.0},(107,347):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(107,346):{'3_1':0.09,'4_1':0.0},(107,345):{'3_1':0.03,'4_1':0.0},(107,344):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(107,343):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(107,342):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(107,341):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(107,340):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(107,339):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(107,338):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(107,337):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(107,336):{'3_1':0.09,'4_1':0.0},(107,335):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(107,334):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(107,333):{'3_1':0.09,'4_1':0.0},(107,332):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(107,331):{'3_1':0.09,'4_1':0.0},(107,330):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(107,329):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(107,328):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(107,327):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(107,326):{'3_1':0.03,'4_1':0.0},(107,325):{'3_1':0.03,'4_1':0.0},(107,324):{'3_1':0.03,'4_1':0.0},(107,323):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(107,322):{'3_1':0.06},(107,321):{'3_1':0.06,'6_3':0.0},(107,320):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(107,319):{'3_1':0.06,'4_1':0.0},(107,318):{'3_1':0.06,'4_1':0.0},(107,317):{'3_1':0.06,'4_1':0.0},(107,316):{'3_1':0.06,'4_1':0.0},(107,315):{'3_1':0.03},(107,314):{'3_1':0.06,'4_1':0.0},(107,313):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(107,312):{'3_1':0.0,'4_1':0.0},(107,311):{'3_1':0.06,'4_1':0.0},(107,310):{'3_1':0.03},(107,309):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(107,308):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'6_3':0.0},(107,307):{'3_1':0.03},(107,306):{'3_1':0.03},(107,305):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(107,304):{'3_1':0.09},(107,303):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(107,302):{'3_1':0.06,'5_2':0.0},(107,301):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(107,300):{'3_1':0.06,'6_2':0.0},(107,299):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(107,298):{'3_1':0.03,'5_2':0.0},(107,297):{'3_1':0.06,'4_1':0.0},(107,296):{'3_1':0.03,'5_1':0.0},(107,295):{'3_1':0.09,'6_2':0.0},(107,294):{'3_1':0.15},(107,293):{'3_1':0.06},(107,292):{'3_1':0.09,'4_1':0.0},(107,291):{'3_1':0.03},(107,290):{'3_1':0.09},(107,289):{'3_1':0.06,'4_1':0.0},(107,288):{'3_1':0.06,'4_1':0.0},(107,287):{'3_1':0.06,'8_20|3_1#3_1':0.0},(107,286):{'3_1':0.06,'4_1':0.0},(107,285):{'3_1':0.03,'4_1':0.0},(107,284):{'3_1':0.09,'4_1':0.0},(107,283):{'3_1':0.06},(107,282):{'3_1':0.03,'8_20|3_1#3_1':0.0},(107,281):{'3_1':0.03,'4_1':0.0},(107,280):{'3_1':0.0},(107,279):{'3_1':0.03},(107,278):{'3_1':0.0},(107,277):{'3_1':0.06},(107,276):{'3_1':0.06,'4_1':0.0},(107,275):{'3_1':0.06,'4_1':0.0},(107,274):{'3_1':0.03,'4_1':0.0},(107,273):{'3_1':0.06},(107,272):{'3_1':0.09},(107,271):{'3_1':0.06},(107,270):{'3_1':0.06},(107,269):{'3_1':0.09,'4_1':0.0},(107,268):{'3_1':0.09,'5_2':0.0},(107,267):{'3_1':0.09},(107,266):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(107,265):{'3_1':0.12,'5_1':0.0,'6_1':0.0},(107,264):{'3_1':0.06},(107,263):{'3_1':0.18,'5_1':0.0},(107,262):{'3_1':0.12},(107,261):{'3_1':0.03,'5_2':0.0},(107,260):{'3_1':0.06},(107,259):{'3_1':0.03},(107,258):{'3_1':0.09},(107,257):{'3_1':0.12},(107,256):{'3_1':0.09},(107,255):{'3_1':0.06},(107,254):{'3_1':0.03},(107,253):{'3_1':0.06},(107,252):{'3_1':0.06},(107,251):{'3_1':0.03},(107,250):{'3_1':0.0},(107,249):{'3_1':0.03},(107,248):{'3_1':0.06},(107,247):{'3_1':0.03},(107,246):{'3_1':0.03,'4_1':0.0},(107,245):{'3_1':0.03},(107,244):{'3_1':0.03},(107,243):{'3_1':0.03},(107,242):{'3_1':0.03},(107,241):{'3_1':0.03,'4_1':0.0},(107,240):{'3_1':0.03},(107,239):{'3_1':0.09},(107,238):{'3_1':0.03},(107,237):{'3_1':0.0},(107,236):{'3_1':0.0,'4_1':0.0},(107,235):{'3_1':0.03},(107,234):{'3_1':0.0},(107,233):{'3_1':0.03},(107,232):{'3_1':0.0},(107,231):{'3_1':0.0},(107,230):{'3_1':0.03},(107,229):{'3_1':0.03},(107,228):{'3_1':0.03},(107,227):{'3_1':0.0,'4_1':0.0},(107,226):{'3_1':0.0},(107,225):{'3_1':0.06,'4_1':0.0},(107,224):{'3_1':0.06},(107,223):{'3_1':0.0,'5_1':0.0},(107,222):{'3_1':0.03},(107,221):{'3_1':0.0},(107,220):{'3_1':0.03,'5_1':0.0},(107,219):{'3_1':0.03},(107,218):{'3_1':0.03,'4_1':0.0},(107,217):{'3_1':0.03},(107,216):{'3_1':0.06},(107,215):{'3_1':0.06},(107,214):{'3_1':0.06},(107,213):{'3_1':0.0},(107,212):{'3_1':0.06},(107,211):{'3_1':0.06,'5_1':0.0},(107,210):{'3_1':0.03},(107,209):{'3_1':0.03},(107,208):{'3_1':0.06},(107,207):{'3_1':0.0},(107,206):{'3_1':0.0},(107,205):{'3_1':0.06},(107,204):{'3_1':0.03},(107,203):{'3_1':0.0},(107,202):{'3_1':0.03,'5_1':0.0},(107,201):{'3_1':0.06},(107,200):{'3_1':0.06},(107,199):{'3_1':0.06},(107,198):{'3_1':0.03},(107,197):{'3_1':0.0},(107,196):{'3_1':0.03},(107,195):{'3_1':0.03},(107,194):{'3_1':0.03},(107,192):{'3_1':0.0},(107,191):{'3_1':0.0},(107,190):{'3_1':0.0},(107,189):{'3_1':0.0},(107,186):{'3_1':0.0},(107,185):{'3_1':0.0},(107,184):{'3_1':0.0},(107,183):{'3_1':0.03},(107,180):{'3_1':0.0},(107,177):{'3_1':0.0},(107,176):{'3_1':0.0,'4_1':0.0},(107,172):{'3_1':0.0},(107,165):{'4_1':0.0},(107,160):{'3_1':0.0,'4_1':0.0},(107,159):{'3_1':0.0,'4_1':0.0},(107,157):{'4_1':0.0},(107,156):{'3_1':0.0},(107,155):{'3_1':0.0},(107,154):{'3_1':0.0,'4_1':0.0},(107,153):{'3_1':0.0},(107,152):{'3_1':0.0},(107,151):{'3_1':0.0},(107,150):{'3_1':0.0},(107,149):{'3_1':0.0},(107,148):{'3_1':0.0},(107,145):{'3_1':0.0},(107,141):{'3_1':0.0},(107,140):{'3_1':0.0},(107,138):{'3_1':0.0},(107,137):{'3_1':0.0},(108,459):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0},(108,458):{'3_1':0.66,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_6':0.0,'-3':0.0},(108,457):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0},(108,456):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_5':0.0},(108,455):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_10':0.0},(108,454):{'3_1':0.69,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0},(108,453):{'3_1':0.66,'5_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(108,452):{'3_1':0.69,'5_1':0.09,'4_1':0.0,'5_2':0.0},(108,451):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0},(108,450):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.0,'-3':0.0},(108,449):{'3_1':0.66,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(108,448):{'3_1':0.66,'5_1':0.15,'5_2':0.03,'6_2':0.0},(108,447):{'3_1':0.69,'5_1':0.09,'6_2':0.0,'7_1':0.0,'8_10':0.0,'9_1':0.0},(108,446):{'3_1':0.6,'5_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0},(108,445):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(108,444):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(108,443):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(108,442):{'3_1':0.69,'5_1':0.09,'6_2':0.0,'7_1':0.0},(108,441):{'3_1':0.66,'5_1':0.12,'6_2':0.03,'5_2':0.0},(108,440):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(108,439):{'3_1':0.72,'5_1':0.06,'-3':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(108,438):{'3_1':0.66,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(108,437):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(108,436):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_1':0.0,'4_1':0.0,'7_5':0.0},(108,435):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0},(108,434):{'3_1':0.69,'5_1':0.09,'5_2':0.0},(108,433):{'3_1':0.75,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(108,432):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'4_1':0.0,'8_2':0.0},(108,431):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0},(108,430):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(108,429):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(108,428):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(108,427):{'3_1':0.63,'5_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0},(108,426):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0},(108,425):{'3_1':0.6,'5_1':0.12,'4_1':0.03,'5_2':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(108,424):{'3_1':0.6,'5_1':0.09,'4_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(108,423):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0},(108,422):{'3_1':0.57,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'-3':0.0},(108,421):{'3_1':0.6,'5_1':0.09,'6_2':0.06,'5_2':0.03,'4_1':0.0,'8_2':0.0,'-3':0.0},(108,420):{'3_1':0.63,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(108,419):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'8_2':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(108,418):{'3_1':0.54,'5_1':0.09,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_5':0.0},(108,417):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'8_2':0.03,'7_1':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'3_1#5_1':0.0,'-3':0.0},(108,416):{'3_1':0.48,'5_1':0.18,'4_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'-3':0.0},(108,415):{'3_1':0.6,'5_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0,'-3':0.0},(108,414):{'3_1':0.57,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0,'-3':0.0,'7_5':0.0,'8_14':0.0},(108,413):{'3_1':0.54,'5_1':0.15,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0,'8_6':0.0,'8_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(108,412):{'3_1':0.45,'5_1':0.15,'4_1':0.03,'6_2':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(108,411):{'3_1':0.6,'5_1':0.12,'7_5':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(108,410):{'3_1':0.51,'5_1':0.12,'5_2':0.06,'4_1':0.03,'6_2':0.03,'8_2':0.0,'7_5':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(108,409):{'3_1':0.54,'5_1':0.18,'5_2':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0},(108,408):{'3_1':0.51,'5_1':0.12,'6_2':0.03,'5_2':0.03,'4_1':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0},(108,407):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(108,406):{'3_1':0.57,'5_1':0.09,'5_2':0.09,'6_2':0.03,'8_2':0.0,'4_1':0.0,'8_6':0.0,'-3':0.0},(108,405):{'3_1':0.6,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(108,404):{'3_1':0.57,'5_1':0.09,'6_2':0.03,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0},(108,403):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'6_2':0.0,'-3':0.0},(108,402):{'3_1':0.63,'5_1':0.09,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'8_3':0.0,'8_19':0.0},(108,401):{'3_1':0.6,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0},(108,400):{'3_1':0.6,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(108,399):{'3_1':0.6,'5_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'4_1':0.0,'8_4':0.0},(108,398):{'3_1':0.54,'5_1':0.18,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(108,397):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_2':0.0},(108,396):{'3_1':0.51,'5_1':0.09,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_6':0.0,'-3':0.0},(108,395):{'3_1':0.54,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_1':0.0},(108,394):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_16':0.0},(108,393):{'3_1':0.54,'5_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_19':0.0},(108,392):{'3_1':0.51,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(108,391):{'3_1':0.54,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(108,390):{'3_1':0.51,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(108,389):{'3_1':0.33,'4_1':0.06,'5_1':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0},(108,388):{'3_1':0.39,'5_1':0.03,'5_2':0.03,'6_2':0.03,'4_1':0.0,'-3':0.0},(108,387):{'3_1':0.33,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(108,386):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0},(108,385):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(108,384):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0},(108,383):{'3_1':0.06,'4_1':0.06,'5_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'7_6':0.0},(108,382):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_19':0.0},(108,381):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_3':0.0,'5_2':0.0},(108,380):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_6':0.0},(108,379):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(108,378):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_16':0.0},(108,377):{'3_1':0.18,'5_2':0.0,'6_3':0.0},(108,376):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(108,375):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(108,374):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(108,373):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_3':0.0},(108,372):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(108,371):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'5_1':0.0,'5_2':0.0},(108,370):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(108,369):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(108,368):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(108,367):{'3_1':0.18,'4_1':0.0},(108,366):{'3_1':0.18,'6_3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(108,365):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(108,364):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(108,363):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(108,362):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(108,361):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(108,360):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(108,359):{'3_1':0.12,'4_1':0.0},(108,358):{'3_1':0.06,'4_1':0.0},(108,357):{'3_1':0.06},(108,356):{'3_1':0.09,'6_2':0.0,'6_3':0.0},(108,355):{'3_1':0.09,'4_1':0.0},(108,354):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(108,353):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(108,352):{'3_1':0.06},(108,351):{'3_1':0.15,'5_2':0.0,'6_1':0.0,'6_2':0.0},(108,350):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(108,349):{'3_1':0.09,'4_1':0.0},(108,348):{'3_1':0.06},(108,347):{'3_1':0.06,'4_1':0.0},(108,346):{'3_1':0.09,'4_1':0.0},(108,345):{'3_1':0.0,'4_1':0.0},(108,344):{'3_1':0.09,'4_1':0.0},(108,343):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(108,342):{'3_1':0.03,'4_1':0.0},(108,341):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(108,340):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(108,339):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'6_2':0.0},(108,338):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(108,337):{'3_1':0.06},(108,336):{'3_1':0.09,'8_20|3_1#3_1':0.0},(108,335):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(108,334):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(108,333):{'3_1':0.06,'4_1':0.0},(108,332):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(108,331):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(108,330):{'3_1':0.09,'4_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(108,329):{'3_1':0.06},(108,328):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(108,327):{'3_1':0.06,'4_1':0.03},(108,326):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(108,325):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(108,324):{'3_1':0.0,'4_1':0.0},(108,323):{'3_1':0.03,'4_1':0.0},(108,322):{'3_1':0.09},(108,321):{'3_1':0.03},(108,320):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(108,319):{'3_1':0.0,'4_1':0.0},(108,318):{'3_1':0.06,'5_1':0.0},(108,317):{'3_1':0.0,'4_1':0.0},(108,316):{'3_1':0.03,'6_2':0.0},(108,315):{'3_1':0.06},(108,314):{'3_1':0.03,'4_1':0.0},(108,313):{'4_1':0.0,'3_1':0.0},(108,312):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(108,311):{'3_1':0.06},(108,310):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(108,309):{'3_1':0.06},(108,308):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(108,307):{'3_1':0.03,'8_20|3_1#3_1':0.0},(108,306):{'3_1':0.09,'6_2':0.0},(108,305):{'3_1':0.03,'4_1':0.0},(108,304):{'3_1':0.03,'4_1':0.0},(108,303):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(108,302):{'3_1':0.09},(108,301):{'3_1':0.03,'4_1':0.0},(108,300):{'3_1':0.03},(108,299):{'3_1':0.06,'4_1':0.0},(108,298):{'3_1':0.06,'6_2':0.0},(108,297):{'3_1':0.03,'4_1':0.0},(108,296):{'3_1':0.09},(108,295):{'3_1':0.03,'4_1':0.0},(108,294):{'3_1':0.03,'4_1':0.0},(108,293):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(108,292):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(108,291):{'3_1':0.06},(108,290):{'3_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0},(108,289):{'3_1':0.06,'4_1':0.0},(108,288):{'3_1':0.03,'4_1':0.0},(108,287):{'3_1':0.03,'4_1':0.0},(108,286):{'3_1':0.06},(108,285):{'3_1':0.03},(108,284):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(108,283):{'3_1':0.03},(108,282):{'3_1':0.06},(108,281):{'3_1':0.03,'4_1':0.0},(108,280):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(108,279):{'3_1':0.03},(108,278):{'3_1':0.03},(108,277):{'3_1':0.03,'4_1':0.0},(108,276):{'3_1':0.06,'4_1':0.0},(108,275):{'3_1':0.06,'5_2':0.0},(108,274):{'3_1':0.06},(108,273):{'3_1':0.06,'4_1':0.0},(108,272):{'3_1':0.03},(108,271):{'3_1':0.09},(108,270):{'3_1':0.06},(108,269):{'3_1':0.09},(108,268):{'3_1':0.09},(108,267):{'3_1':0.06,'4_1':0.0},(108,266):{'3_1':0.06},(108,265):{'3_1':0.03},(108,264):{'3_1':0.15,'4_1':0.0},(108,263):{'3_1':0.12},(108,262):{'3_1':0.09},(108,261):{'3_1':0.09,'4_1':0.0},(108,260):{'3_1':0.09},(108,259):{'3_1':0.03,'4_1':0.0},(108,258):{'3_1':0.06},(108,257):{'3_1':0.09},(108,256):{'3_1':0.06,'5_1':0.0},(108,255):{'3_1':0.03},(108,254):{'3_1':0.06},(108,253):{'3_1':0.06,'5_1':0.0},(108,252):{'3_1':0.0},(108,251):{'3_1':0.03},(108,250):{'3_1':0.03},(108,249):{'3_1':0.03,'4_1':0.0},(108,248):{'3_1':0.03},(108,247):{'3_1':0.0,'5_1':0.0},(108,246):{'3_1':0.03},(108,245):{'3_1':0.0},(108,244):{'3_1':0.0},(108,243):{'3_1':0.0},(108,242):{'3_1':0.0},(108,241):{'3_1':0.0},(108,240):{'3_1':0.03},(108,239):{'3_1':0.03},(108,238):{'3_1':0.0},(108,237):{'3_1':0.06},(108,236):{'3_1':0.0},(108,235):{'3_1':0.0},(108,234):{'3_1':0.03},(108,233):{'3_1':0.0},(108,232):{'3_1':0.0,'4_1':0.0},(108,231):{'3_1':0.03,'4_1':0.0},(108,230):{'3_1':0.0},(108,229):{'3_1':0.03,'4_1':0.0},(108,228):{'3_1':0.0},(108,227):{'3_1':0.03},(108,226):{'3_1':0.0},(108,225):{'3_1':0.06},(108,224):{'3_1':0.0},(108,223):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(108,222):{'3_1':0.06,'5_1':0.0},(108,221):{'3_1':0.03},(108,220):{'3_1':0.03,'5_2':0.0},(108,219):{'3_1':0.06},(108,218):{'3_1':0.03},(108,217):{'3_1':0.03},(108,216):{'3_1':0.0},(108,215):{'3_1':0.03},(108,214):{'3_1':0.03},(108,213):{'3_1':0.03},(108,212):{'3_1':0.0},(108,211):{'3_1':0.0,'5_1':0.0},(108,210):{'3_1':0.03},(108,209):{'3_1':0.03},(108,208):{'3_1':0.06},(108,207):{'3_1':0.0},(108,206):{'3_1':0.03},(108,205):{'3_1':0.06},(108,204):{'3_1':0.06},(108,203):{'3_1':0.03},(108,202):{'3_1':0.03},(108,201):{'3_1':0.06},(108,200):{'3_1':0.09},(108,199):{'3_1':0.0},(108,198):{'3_1':0.0},(108,197):{'3_1':0.03},(108,196):{'3_1':0.0},(108,195):{'3_1':0.03},(108,194):{'3_1':0.0},(108,192):{'3_1':0.0},(108,191):{'3_1':0.0},(108,190):{'3_1':0.0,'5_1':0.0},(108,189):{'3_1':0.03},(108,188):{'3_1':0.03},(108,187):{'3_1':0.0},(108,186):{'3_1':0.0},(108,185):{'3_1':0.0},(108,184):{'3_1':0.0},(108,183):{'3_1':0.0},(108,182):{'3_1':0.0},(108,179):{'3_1':0.0},(108,171):{'3_1':0.0},(108,170):{'3_1':0.0},(108,167):{'3_1':0.0},(108,166):{'3_1':0.0,'4_1':0.0},(108,162):{'3_1':0.0},(108,161):{'3_1':0.0,'4_1':0.0},(108,160):{'3_1':0.0},(108,159):{'3_1':0.0,'4_1':0.0},(108,158):{'3_1':0.0,'4_1':0.0},(108,157):{'3_1':0.0},(108,156):{'3_1':0.0},(108,155):{'3_1':0.0},(108,154):{'3_1':0.0},(108,153):{'3_1':0.0},(108,152):{'3_1':0.0},(108,151):{'3_1':0.0},(108,150):{'3_1':0.0},(108,149):{'3_1':0.0},(108,148):{'3_1':0.0},(108,147):{'3_1':0.0},(108,146):{'3_1':0.0},(108,145):{'3_1':0.0},(108,143):{'3_1':0.0},(108,142):{'3_1':0.0},(108,140):{'3_1':0.0},(108,139):{'3_1':0.0},(108,137):{'3_1':0.0},(108,136):{'3_1':0.0},(109,459):{'3_1':0.63,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(109,458):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0},(109,457):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0},(109,456):{'3_1':0.57,'5_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(109,455):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(109,454):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(109,453):{'3_1':0.78,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(109,452):{'3_1':0.63,'5_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0},(109,451):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0},(109,450):{'3_1':0.66,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0},(109,449):{'3_1':0.75,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(109,448):{'3_1':0.66,'5_1':0.06,'6_2':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(109,447):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(109,446):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_7':0.0},(109,445):{'3_1':0.6,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(109,444):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(109,443):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_7':0.0,'-3':0.0},(109,442):{'3_1':0.66,'5_1':0.03,'4_1':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0},(109,441):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(109,440):{'3_1':0.6,'5_1':0.09,'6_2':0.06,'4_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(109,439):{'3_1':0.63,'5_1':0.03,'6_2':0.03,'8_2':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_4':0.0},(109,438):{'3_1':0.6,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(109,437):{'3_1':0.63,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0},(109,436):{'3_1':0.54,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'8_2':0.0,'-3':0.0},(109,435):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_3':0.0},(109,434):{'3_1':0.63,'5_1':0.09,'4_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(109,433):{'3_1':0.66,'5_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(109,432):{'3_1':0.54,'5_1':0.09,'5_2':0.03,'6_2':0.03,'6_1':0.0,'8_2':0.0,'4_1':0.0,'8_4':0.0},(109,431):{'3_1':0.63,'5_1':0.12,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(109,430):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'8_6':0.0},(109,429):{'3_1':0.63,'5_1':0.12,'4_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0},(109,428):{'3_1':0.57,'5_1':0.09,'5_2':0.06,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(109,427):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_2':0.0},(109,426):{'3_1':0.6,'5_1':0.09,'5_2':0.0,'6_2':0.0,'6_1':0.0,'4_1':0.0,'8_4':0.0,'8_5':0.0},(109,425):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0,'8_1':0.0},(109,424):{'3_1':0.63,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(109,423):{'3_1':0.54,'5_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(109,422):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(109,421):{'3_1':0.6,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(109,420):{'3_1':0.6,'5_1':0.12,'4_1':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'5_2':0.0,'7_5':0.0,'8_14':0.0},(109,419):{'3_1':0.6,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_5':0.0,'8_7':0.0},(109,418):{'3_1':0.57,'5_1':0.12,'5_2':0.0,'4_1':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(109,417):{'3_1':0.57,'5_1':0.12,'5_2':0.06,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(109,416):{'3_1':0.54,'5_1':0.15,'5_2':0.03,'4_1':0.0,'8_2':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(109,415):{'3_1':0.54,'5_1':0.09,'5_2':0.03,'7_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(109,414):{'3_1':0.45,'5_1':0.15,'5_2':0.06,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(109,413):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(109,412):{'3_1':0.51,'5_1':0.15,'8_2':0.03,'6_2':0.03,'-3':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_7':0.0,'8_14':0.0},(109,411):{'3_1':0.42,'5_1':0.18,'8_2':0.03,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'8_7':0.0},(109,410):{'3_1':0.54,'5_1':0.12,'5_2':0.06,'6_2':0.06,'4_1':0.0,'7_5':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(109,409):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'7_1':0.03,'4_1':0.03,'6_2':0.03,'7_3':0.0,'-3':0.0,'7_5':0.0,'8_2':0.0},(109,408):{'3_1':0.51,'5_1':0.12,'6_2':0.06,'4_1':0.03,'7_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'8_6':0.0},(109,407):{'3_1':0.6,'5_1':0.09,'4_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0,'8_4':0.0},(109,406):{'3_1':0.54,'5_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.0,'-3':0.0,'7_5':0.0},(109,405):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0,'8_6':0.0,'-3':0.0},(109,404):{'3_1':0.66,'5_1':0.06,'4_1':0.06,'6_2':0.0,'-3':0.0},(109,403):{'3_1':0.54,'5_1':0.12,'5_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0},(109,402):{'3_1':0.57,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0},(109,401):{'3_1':0.54,'5_1':0.09,'4_1':0.03,'6_2':0.03,'5_2':0.0,'-3':0.0,'6_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(109,400):{'3_1':0.57,'5_1':0.06,'6_2':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'7_5':0.0},(109,399):{'3_1':0.6,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_7':0.0,'-3':0.0},(109,398):{'3_1':0.57,'5_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(109,397):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_1':0.0,'-3':0.0},(109,396):{'3_1':0.57,'5_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(109,395):{'3_1':0.57,'5_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_16':0.0},(109,394):{'3_1':0.63,'6_2':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0,'7_1':0.0},(109,393):{'3_1':0.51,'5_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0,'8_16':0.0},(109,392):{'3_1':0.45,'5_1':0.12,'5_2':0.03,'6_2':0.0,'-3':0.0},(109,391):{'3_1':0.51,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(109,390):{'3_1':0.42,'5_1':0.12,'4_1':0.03,'5_2':0.0,'8_16':0.0,'8_19':0.0},(109,389):{'3_1':0.36,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(109,388):{'3_1':0.39,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(109,387):{'3_1':0.36,'6_2':0.03,'5_1':0.0,'-3':0.0},(109,386):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'6_1':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(109,385):{'3_1':0.27,'5_1':0.03,'4_1':0.03,'5_2':0.0},(109,384):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(109,383):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(109,382):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0},(109,381):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'6_3':0.0,'-3':0.0},(109,380):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(109,379):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(109,378):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(109,377):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'6_3':0.0},(109,376):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(109,375):{'3_1':0.12,'5_2':0.0,'6_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(109,374):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(109,373):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(109,372):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(109,371):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(109,370):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(109,369):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(109,368):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(109,367):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(109,366):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(109,365):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0},(109,364):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(109,363):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_9':0.0},(109,362):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(109,361):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(109,360):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(109,359):{'3_1':0.12,'4_1':0.0},(109,358):{'3_1':0.21,'5_2':0.0},(109,357):{'3_1':0.09,'4_1':0.0},(109,356):{'3_1':0.12,'7_6':0.0},(109,355):{'3_1':0.15,'4_1':0.0,'8_17':0.0},(109,354):{'3_1':0.06,'5_2':0.0},(109,353):{'3_1':0.06,'4_1':0.0},(109,352):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(109,351):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(109,350):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(109,349):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(109,348):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(109,347):{'3_1':0.03,'4_1':0.0},(109,346):{'3_1':0.12,'4_1':0.0},(109,345):{'3_1':0.06,'4_1':0.03},(109,344):{'3_1':0.06},(109,343):{'3_1':0.06,'4_1':0.06},(109,342):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(109,341):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'5_2':0.0},(109,340):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(109,339):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(109,338):{'3_1':0.12,'4_1':0.03},(109,337):{'3_1':0.15,'4_1':0.0},(109,336):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(109,335):{'3_1':0.12,'6_1':0.0,'4_1':0.0},(109,334):{'3_1':0.15,'4_1':0.0},(109,333):{'3_1':0.12,'4_1':0.03},(109,332):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(109,331):{'3_1':0.15,'4_1':0.03},(109,330):{'3_1':0.06,'4_1':0.03},(109,329):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(109,328):{'3_1':0.03,'4_1':0.0},(109,327):{'3_1':0.06,'4_1':0.0},(109,326):{'3_1':0.06,'4_1':0.03},(109,325):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(109,324):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(109,323):{'3_1':0.06},(109,322):{'3_1':0.06,'4_1':0.0},(109,321):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(109,320):{'3_1':0.06,'4_1':0.0},(109,319):{'3_1':0.03,'4_1':0.0},(109,318):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(109,317):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(109,316):{'3_1':0.06,'4_1':0.0},(109,315):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(109,314):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(109,313):{'3_1':0.06,'4_1':0.03},(109,312):{'3_1':0.06,'6_3':0.0},(109,311):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(109,310):{'3_1':0.03,'4_1':0.03},(109,309):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(109,308):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(109,307):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(109,306):{'3_1':0.06},(109,305):{'3_1':0.06,'4_1':0.0},(109,304):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(109,303):{'3_1':0.03,'5_2':0.0},(109,302):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(109,301):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(109,300):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(109,299):{'3_1':0.06},(109,298):{'3_1':0.12,'4_1':0.0},(109,297):{'3_1':0.03,'4_1':0.0},(109,296):{'3_1':0.03,'4_1':0.0},(109,295):{'3_1':0.06},(109,294):{'3_1':0.03},(109,293):{'3_1':0.03,'4_1':0.0},(109,292):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(109,291):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(109,290):{'3_1':0.0,'6_2':0.0},(109,289):{'3_1':0.06,'8_20|3_1#3_1':0.0},(109,288):{'3_1':0.0},(109,287):{'3_1':0.06},(109,286):{'3_1':0.03},(109,285):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(109,284):{'3_1':0.06,'4_1':0.0},(109,283):{'3_1':0.03},(109,282):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(109,281):{'3_1':0.06,'4_1':0.0},(109,280):{'3_1':0.03},(109,279):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(109,278):{'3_1':0.03,'4_1':0.0},(109,277):{'3_1':0.06},(109,276):{'3_1':0.06},(109,275):{'3_1':0.06},(109,274):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(109,273):{'3_1':0.0},(109,272):{'3_1':0.06},(109,271):{'3_1':0.06},(109,270):{'3_1':0.09,'5_1':0.0},(109,269):{'3_1':0.09,'4_1':0.0},(109,268):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(109,267):{'3_1':0.09,'5_2':0.0},(109,266):{'3_1':0.09},(109,265):{'3_1':0.03},(109,264):{'3_1':0.06,'5_1':0.0},(109,263):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(109,262):{'3_1':0.09,'5_1':0.0},(109,261):{'3_1':0.06},(109,260):{'3_1':0.03},(109,259):{'3_1':0.12,'6_2':0.0},(109,258):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(109,257):{'3_1':0.06,'4_1':0.0},(109,256):{'3_1':0.03},(109,255):{'3_1':0.06},(109,254):{'3_1':0.06},(109,253):{'3_1':0.03},(109,252):{'3_1':0.06},(109,251):{'3_1':0.09},(109,250):{'3_1':0.03},(109,249):{'3_1':0.06},(109,248):{'3_1':0.03},(109,247):{'3_1':0.03},(109,246):{'3_1':0.0},(109,245):{'3_1':0.03},(109,244):{'3_1':0.03},(109,243):{'3_1':0.03},(109,242):{'3_1':0.03},(109,241):{'3_1':0.0},(109,240):{'3_1':0.03},(109,239):{'3_1':0.03},(109,238):{'3_1':0.03},(109,237):{'3_1':0.0},(109,236):{'3_1':0.0},(109,235):{'3_1':0.0},(109,234):{'3_1':0.0,'4_1':0.0},(109,233):{'3_1':0.0,'4_1':0.0},(109,232):{'3_1':0.0},(109,231):{'3_1':0.03,'4_1':0.0},(109,230):{'3_1':0.0},(109,229):{'4_1':0.0},(109,228):{'3_1':0.0},(109,227):{'3_1':0.0},(109,226):{'3_1':0.06},(109,225):{'3_1':0.0},(109,224):{'3_1':0.03},(109,223):{'3_1':0.03},(109,222):{'3_1':0.03},(109,221):{'3_1':0.03},(109,220):{'3_1':0.03,'4_1':0.0},(109,219):{'3_1':0.03},(109,218):{'3_1':0.03},(109,217):{'3_1':0.0},(109,216):{'3_1':0.03},(109,215):{'3_1':0.0},(109,214):{'3_1':0.06},(109,213):{'3_1':0.0},(109,212):{'3_1':0.03},(109,211):{'3_1':0.06},(109,210):{'3_1':0.0},(109,209):{'3_1':0.03},(109,208):{'3_1':0.03},(109,207):{'3_1':0.06},(109,206):{'3_1':0.06,'5_1':0.0},(109,205):{'3_1':0.0},(109,204):{'3_1':0.0},(109,203):{'3_1':0.03},(109,202):{'3_1':0.03,'5_1':0.0},(109,201):{'3_1':0.03},(109,200):{'3_1':0.06},(109,199):{'3_1':0.03},(109,198):{'3_1':0.0},(109,197):{'3_1':0.0},(109,196):{'3_1':0.0},(109,195):{'3_1':0.0},(109,194):{'3_1':0.0},(109,192):{'3_1':0.0},(109,191):{'3_1':0.0},(109,190):{'3_1':0.03},(109,189):{'3_1':0.0},(109,188):{'3_1':0.0},(109,187):{'3_1':0.0},(109,185):{'3_1':0.0},(109,183):{'3_1':0.0},(109,181):{'3_1':0.0},(109,180):{'3_1':0.0},(109,179):{'3_1':0.0},(109,172):{'3_1':0.0},(109,171):{'3_1':0.0},(109,170):{'3_1':0.0},(109,165):{'3_1':0.0},(109,163):{'3_1':0.0},(109,162):{'3_1':0.0},(109,161):{'3_1':0.0},(109,160):{'3_1':0.0},(109,158):{'3_1':0.0},(109,157):{'3_1':0.0},(109,155):{'3_1':0.03},(109,154):{'3_1':0.0,'4_1':0.0},(109,153):{'3_1':0.0},(109,152):{'3_1':0.0},(109,151):{'3_1':0.0},(109,150):{'3_1':0.03},(109,149):{'3_1':0.0},(109,148):{'3_1':0.0},(109,147):{'3_1':0.03},(109,145):{'3_1':0.0},(109,143):{'4_1':0.0},(109,142):{'3_1':0.0},(109,141):{'3_1':0.0},(109,140):{'3_1':0.0},(109,139):{'3_1':0.0},(109,137):{'3_1':0.0},(110,459):{'3_1':0.66,'5_2':0.03,'5_1':0.03,'6_2':0.0,'4_1':0.0},(110,458):{'3_1':0.57,'5_1':0.15,'4_1':0.0,'6_2':0.0,'8_2':0.0},(110,457):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0},(110,456):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0},(110,455):{'3_1':0.57,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(110,454):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(110,453):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(110,452):{'3_1':0.57,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_5':0.0},(110,451):{'3_1':0.66,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(110,450):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'6_2':0.0},(110,449):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0},(110,448):{'3_1':0.63,'5_1':0.09,'4_1':0.0,'5_2':0.0,'7_5':0.0},(110,447):{'3_1':0.54,'5_2':0.06,'5_1':0.06,'6_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(110,446):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0},(110,445):{'3_1':0.63,'5_1':0.12,'6_2':0.03,'5_2':0.0},(110,444):{'3_1':0.63,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0},(110,443):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(110,442):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.03,'4_1':0.0,'-3':0.0,'8_2':0.0},(110,441):{'3_1':0.63,'5_1':0.12,'6_2':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0},(110,440):{'3_1':0.63,'5_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(110,439):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'6_2':0.0},(110,438):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(110,437):{'3_1':0.6,'5_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(110,436):{'3_1':0.57,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0},(110,435):{'3_1':0.57,'5_1':0.12,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(110,434):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0},(110,433):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0},(110,432):{'3_1':0.54,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(110,431):{'3_1':0.57,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0,'7_5':0.0,'8_6':0.0},(110,430):{'3_1':0.48,'5_1':0.18,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(110,429):{'3_1':0.54,'5_1':0.12,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_4':0.0},(110,428):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0},(110,427):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'6_2':0.03,'4_1':0.0,'8_2':0.0},(110,426):{'3_1':0.51,'5_1':0.18,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_5':0.0,'8_7':0.0},(110,425):{'3_1':0.51,'5_1':0.15,'4_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0},(110,424):{'3_1':0.51,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(110,423):{'3_1':0.48,'5_1':0.18,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(110,422):{'3_1':0.54,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0,'8_2':0.0,'8_4':0.0,'8_5':0.0,'8_14':0.0},(110,421):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(110,420):{'3_1':0.45,'5_1':0.12,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0,'7_3':0.0},(110,419):{'3_1':0.51,'5_1':0.09,'5_2':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0,'8_7':0.0},(110,418):{'3_1':0.51,'5_1':0.12,'5_2':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0},(110,417):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'6_2':0.03,'-3':0.0,'4_1':0.0,'8_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(110,416):{'3_1':0.54,'5_1':0.12,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_4':0.0,'8_9':0.0,'-3':0.0},(110,415):{'3_1':0.51,'5_1':0.15,'6_2':0.06,'5_2':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'8_2':0.0},(110,414):{'3_1':0.48,'5_1':0.12,'5_2':0.03,'6_2':0.03,'8_2':0.03,'4_1':0.0,'7_1':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(110,413):{'3_1':0.45,'5_1':0.15,'4_1':0.03,'5_2':0.03,'6_2':0.03,'8_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(110,412):{'3_1':0.54,'5_1':0.12,'4_1':0.03,'5_2':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(110,411):{'3_1':0.42,'5_1':0.12,'5_2':0.03,'4_1':0.03,'6_2':0.03,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'8_4':0.0,'8_9':0.0},(110,410):{'3_1':0.48,'5_1':0.15,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_4':0.0,'8_5':0.0,'8_7':0.0},(110,409):{'3_1':0.48,'5_1':0.18,'4_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'8_18':0.0,'-3':0.0},(110,408):{'3_1':0.48,'5_1':0.15,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0,'7_3':0.0,'8_7':0.0},(110,407):{'3_1':0.6,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'8_7':0.0,'-3':0.0},(110,406):{'3_1':0.48,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0,'8_2':0.0,'8_4':0.0},(110,405):{'3_1':0.57,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(110,404):{'3_1':0.45,'5_1':0.12,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_4':0.0,'8_16':0.0},(110,403):{'3_1':0.54,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(110,402):{'3_1':0.57,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0},(110,401):{'3_1':0.57,'5_1':0.12,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(110,400):{'3_1':0.51,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0},(110,399):{'3_1':0.45,'5_1':0.06,'5_2':0.06,'6_2':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0},(110,398):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0},(110,397):{'3_1':0.51,'5_1':0.09,'5_2':0.06,'6_2':0.03,'6_1':0.0,'4_1':0.0,'7_6':0.0},(110,396):{'3_1':0.57,'5_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.0,'8_19':0.0},(110,395):{'3_1':0.48,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0},(110,394):{'3_1':0.42,'5_1':0.09,'5_2':0.03,'7_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(110,393):{'3_1':0.42,'5_1':0.09,'5_2':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'-3':0.0},(110,392):{'3_1':0.45,'5_1':0.06,'5_2':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_16':0.0,'-3':0.0},(110,391):{'3_1':0.51,'5_1':0.12,'5_2':0.06,'6_2':0.0,'6_3':0.0,'4_1':0.0},(110,390):{'3_1':0.36,'5_1':0.09,'4_1':0.06,'6_2':0.03,'5_2':0.0},(110,389):{'3_1':0.36,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_2':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0},(110,388):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_9':0.0,'-3':0.0},(110,387):{'3_1':0.33,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(110,386):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0,'8_13':0.0,'8_16':0.0},(110,385):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(110,384):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(110,383):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'5_2':0.0,'6_1':0.0},(110,382):{'3_1':0.21,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(110,381):{'3_1':0.12,'4_1':0.06,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_16':0.0},(110,380):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(110,379):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(110,378):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(110,377):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_13':0.0,'8_16':0.0},(110,376):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_16':0.0},(110,375):{'3_1':0.12,'4_1':0.06,'6_3':0.0,'5_2':0.0,'8_16':0.0},(110,374):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(110,373):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(110,372):{'3_1':0.21,'4_1':0.03,'6_3':0.0,'5_2':0.0},(110,371):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(110,370):{'3_1':0.12,'4_1':0.0},(110,369):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(110,368):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(110,367):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(110,366):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(110,365):{'3_1':0.15,'4_1':0.03},(110,364):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(110,363):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(110,362):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_3':0.0},(110,361):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(110,360):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(110,359):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(110,358):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(110,357):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0},(110,356):{'3_1':0.15,'4_1':0.0},(110,355):{'3_1':0.18,'4_1':0.0},(110,354):{'3_1':0.12,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(110,353):{'3_1':0.12,'4_1':0.0,'8_19':0.0},(110,352):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(110,351):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(110,350):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(110,349):{'3_1':0.09},(110,348):{'3_1':0.09,'4_1':0.0},(110,347):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(110,346):{'3_1':0.12,'4_1':0.0},(110,345):{'3_1':0.09},(110,344):{'3_1':0.09,'4_1':0.0},(110,343):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_3':0.0},(110,342):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(110,341):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(110,340):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(110,339):{'3_1':0.15,'4_1':0.0},(110,338):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_3':0.0},(110,337):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(110,336):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(110,335):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(110,334):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(110,333):{'3_1':0.09,'8_20|3_1#3_1':0.0},(110,332):{'3_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(110,331):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(110,330):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(110,329):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(110,328):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(110,327):{'3_1':0.06,'4_1':0.03},(110,326):{'3_1':0.06,'4_1':0.0},(110,325):{'3_1':0.06,'4_1':0.03},(110,324):{'3_1':0.0,'4_1':0.0},(110,323):{'3_1':0.06,'4_1':0.0},(110,322):{'3_1':0.06,'4_1':0.0},(110,321):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(110,320):{'3_1':0.06},(110,319):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(110,318):{'3_1':0.06,'4_1':0.0,'8_7':0.0},(110,317):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(110,316):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(110,315):{'3_1':0.06,'4_1':0.0},(110,314):{'3_1':0.06,'4_1':0.03,'8_21|3_1#4_1':0.0},(110,313):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(110,312):{'3_1':0.06,'4_1':0.0},(110,311):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(110,310):{'3_1':0.06,'4_1':0.0},(110,309):{'3_1':0.03,'4_1':0.0},(110,308):{'3_1':0.09},(110,307):{'3_1':0.09,'4_1':0.0},(110,306):{'3_1':0.06,'4_1':0.0},(110,305):{'3_1':0.09},(110,304):{'3_1':0.03,'4_1':0.0},(110,303):{'3_1':0.06,'6_2':0.0},(110,302):{'3_1':0.12},(110,301):{'3_1':0.09,'4_1':0.0},(110,300):{'3_1':0.09},(110,299):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(110,298):{'3_1':0.0},(110,297):{'3_1':0.06},(110,296):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(110,295):{'3_1':0.09,'6_2':0.0},(110,294):{'3_1':0.03,'4_1':0.03},(110,293):{'3_1':0.0},(110,292):{'3_1':0.06},(110,291):{'3_1':0.06},(110,290):{'3_1':0.06,'6_2':0.0},(110,289):{'3_1':0.06,'4_1':0.0},(110,288):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(110,287):{'3_1':0.09},(110,286):{'3_1':0.03,'4_1':0.0},(110,285):{'3_1':0.06},(110,284):{'3_1':0.03,'4_1':0.0},(110,283):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(110,282):{'3_1':0.06,'4_1':0.0},(110,281):{'3_1':0.03,'4_1':0.0},(110,280):{'3_1':0.06},(110,279):{'3_1':0.06},(110,278):{'3_1':0.03},(110,277):{'3_1':0.03,'5_2':0.0},(110,276):{'3_1':0.09,'4_1':0.0},(110,275):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(110,274):{'3_1':0.03,'5_2':0.0},(110,273):{'3_1':0.09,'5_2':0.0},(110,272):{'3_1':0.09,'4_1':0.0},(110,271):{'3_1':0.09},(110,270):{'3_1':0.09,'4_1':0.0},(110,269):{'3_1':0.06,'5_2':0.0},(110,268):{'3_1':0.06},(110,267):{'3_1':0.06},(110,266):{'3_1':0.09,'4_1':0.0},(110,265):{'3_1':0.09},(110,264):{'3_1':0.06},(110,263):{'3_1':0.09,'4_1':0.0},(110,262):{'3_1':0.03,'5_1':0.0},(110,261):{'3_1':0.03,'5_1':0.0},(110,260):{'3_1':0.06},(110,259):{'3_1':0.12},(110,258):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(110,257):{'3_1':0.06,'5_1':0.0},(110,256):{'3_1':0.03},(110,255):{'3_1':0.06},(110,254):{'3_1':0.06},(110,253):{'3_1':0.06},(110,252):{'3_1':0.03,'4_1':0.0},(110,251):{'3_1':0.06},(110,250):{'3_1':0.06},(110,249):{'3_1':0.03},(110,248):{'3_1':0.03,'4_1':0.0},(110,247):{'3_1':0.03},(110,246):{'3_1':0.0},(110,245):{'3_1':0.03},(110,244):{'3_1':0.06},(110,243):{'3_1':0.0},(110,242):{'3_1':0.0},(110,241):{'3_1':0.06},(110,240):{'3_1':0.0},(110,239):{'3_1':0.06},(110,238):{'3_1':0.03},(110,237):{'3_1':0.06},(110,236):{'3_1':0.03},(110,235):{'3_1':0.03,'4_1':0.0},(110,234):{'3_1':0.06},(110,233):{'3_1':0.0},(110,232):{'3_1':0.0},(110,231):{'3_1':0.03,'4_1':0.0},(110,230):{'3_1':0.06},(110,229):{'3_1':0.03},(110,228):{'3_1':0.03,'4_1':0.0},(110,227):{'3_1':0.09},(110,226):{'3_1':0.03},(110,225):{'3_1':0.0},(110,224):{'3_1':0.03},(110,223):{'3_1':0.06},(110,222):{'3_1':0.0},(110,221):{'3_1':0.06},(110,220):{'3_1':0.06},(110,219):{'3_1':0.09},(110,218):{'3_1':0.0},(110,217):{'3_1':0.03},(110,216):{'3_1':0.0},(110,215):{'3_1':0.0},(110,214):{'3_1':0.03},(110,213):{'3_1':0.03},(110,212):{'3_1':0.03,'5_2':0.0},(110,211):{'3_1':0.0},(110,210):{'3_1':0.03},(110,209):{'3_1':0.03},(110,208):{'3_1':0.0},(110,207):{'3_1':0.03},(110,206):{'3_1':0.03,'5_1':0.0},(110,205):{'3_1':0.03},(110,204):{'3_1':0.03},(110,203):{'3_1':0.06},(110,202):{'3_1':0.0},(110,201):{'3_1':0.03},(110,200):{'3_1':0.06},(110,199):{'3_1':0.03},(110,198):{'3_1':0.03},(110,197):{'3_1':0.0},(110,196):{'3_1':0.03},(110,195):{'3_1':0.03},(110,194):{'3_1':0.0},(110,193):{'3_1':0.03},(110,192):{'3_1':0.0},(110,191):{'3_1':0.03},(110,190):{'3_1':0.0},(110,189):{'3_1':0.0},(110,188):{'3_1':0.0},(110,187):{'3_1':0.0},(110,186):{'3_1':0.0},(110,185):{'3_1':0.0},(110,184):{'3_1':0.0},(110,183):{'3_1':0.0},(110,182):{'3_1':0.0},(110,180):{'3_1':0.0},(110,179):{'3_1':0.0},(110,178):{'3_1':0.0},(110,176):{'3_1':0.0},(110,175):{'3_1':0.0},(110,172):{'3_1':0.0},(110,170):{'3_1':0.0},(110,169):{'3_1':0.0},(110,166):{'3_1':0.0},(110,165):{'3_1':0.0},(110,163):{'3_1':0.0,'4_1':0.0},(110,161):{'3_1':0.0},(110,158):{'3_1':0.0},(110,157):{'3_1':0.0},(110,155):{'3_1':0.0},(110,154):{'3_1':0.0},(110,153):{'3_1':0.0},(110,152):{'3_1':0.0},(110,151):{'3_1':0.0},(110,149):{'3_1':0.0},(110,148):{'3_1':0.0},(110,143):{'3_1':0.0},(110,139):{'3_1':0.0,'4_1':0.0},(110,138):{'3_1':0.0},(110,136):{'3_1':0.0},(111,459):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0},(111,458):{'3_1':0.66,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0},(111,457):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(111,456):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0},(111,455):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0},(111,454):{'3_1':0.63,'5_1':0.09,'6_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(111,453):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0},(111,452):{'3_1':0.66,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(111,451):{'3_1':0.66,'5_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(111,450):{'3_1':0.63,'5_1':0.03,'6_2':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'8_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(111,449):{'3_1':0.57,'5_1':0.12,'6_2':0.03,'5_2':0.03,'4_1':0.0},(111,448):{'3_1':0.69,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(111,447):{'3_1':0.66,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_17':0.0,'8_20|3_1#3_1':0.0},(111,446):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(111,445):{'3_1':0.57,'5_1':0.06,'6_2':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_10':0.0,'-3':0.0},(111,444):{'3_1':0.6,'5_1':0.09,'6_2':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(111,443):{'3_1':0.6,'5_1':0.09,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_18':0.0},(111,442):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(111,441):{'3_1':0.63,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0},(111,440):{'3_1':0.51,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0,'-3':0.0},(111,439):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'8_2':0.0},(111,438):{'3_1':0.51,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_9':0.0,'8_14':0.0},(111,437):{'3_1':0.6,'5_1':0.12,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(111,436):{'3_1':0.57,'5_1':0.12,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0},(111,435):{'3_1':0.6,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(111,434):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'8_6':0.0},(111,433):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0},(111,432):{'3_1':0.63,'5_1':0.09,'6_2':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_2':0.0,'8_4':0.0},(111,431):{'3_1':0.57,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(111,430):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(111,429):{'3_1':0.6,'5_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(111,428):{'3_1':0.57,'5_1':0.09,'4_1':0.03,'6_2':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(111,427):{'3_1':0.54,'5_1':0.12,'6_2':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0},(111,426):{'3_1':0.51,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_3':0.0,'7_5':0.0},(111,425):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0},(111,424):{'3_1':0.51,'5_1':0.15,'5_2':0.06,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(111,423):{'3_1':0.6,'5_1':0.03,'6_2':0.03,'5_2':0.03,'8_2':0.03,'8_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(111,422):{'3_1':0.63,'5_1':0.12,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(111,421):{'3_1':0.48,'5_1':0.15,'5_2':0.09,'6_2':0.03,'8_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(111,420):{'3_1':0.54,'5_1':0.15,'6_2':0.06,'8_2':0.03,'5_2':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0},(111,419):{'3_1':0.57,'5_1':0.15,'5_2':0.06,'8_2':0.03,'6_2':0.0,'7_2':0.0,'-3':0.0},(111,418):{'3_1':0.6,'5_1':0.06,'5_2':0.06,'6_2':0.0,'-3':0.0,'4_1':0.0,'8_2':0.0,'8_7':0.0},(111,417):{'3_1':0.54,'5_1':0.12,'4_1':0.03,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_7':0.0,'-3':0.0},(111,416):{'3_1':0.48,'5_1':0.09,'5_2':0.06,'8_2':0.03,'6_2':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(111,415):{'3_1':0.45,'5_1':0.18,'6_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(111,414):{'3_1':0.51,'5_1':0.15,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(111,413):{'3_1':0.51,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'8_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(111,412):{'3_1':0.45,'5_1':0.15,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0,'-3':0.0,'8_2':0.0},(111,411):{'3_1':0.42,'5_1':0.12,'6_2':0.06,'5_2':0.03,'7_1':0.0,'7_3':0.0,'8_2':0.0,'4_1':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0},(111,410):{'3_1':0.51,'5_1':0.12,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'7_5':0.0,'8_2':0.0,'8_7':0.0},(111,409):{'3_1':0.51,'5_1':0.12,'5_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0},(111,408):{'3_1':0.45,'5_1':0.12,'5_2':0.06,'6_2':0.03,'4_1':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(111,407):{'3_1':0.39,'5_1':0.15,'5_2':0.06,'6_2':0.03,'7_1':0.0,'8_2':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(111,406):{'3_1':0.66,'4_1':0.03,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(111,405):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(111,404):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0,'8_9':0.0},(111,403):{'3_1':0.51,'5_1':0.09,'6_2':0.03,'5_2':0.0,'8_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(111,402):{'3_1':0.51,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0},(111,401):{'3_1':0.57,'5_1':0.06,'6_2':0.06,'5_2':0.03,'-3':0.0,'6_1':0.0,'4_1':0.0},(111,400):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.03,'8_9':0.0},(111,399):{'3_1':0.48,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(111,398):{'3_1':0.45,'5_1':0.12,'5_2':0.03,'6_2':0.03,'7_6':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(111,397):{'3_1':0.51,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_16':0.0,'-3':0.0},(111,396):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'4_1':0.03,'6_2':0.0,'8_10':0.0},(111,395):{'3_1':0.57,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(111,394):{'3_1':0.39,'5_1':0.15,'5_2':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0},(111,393):{'3_1':0.48,'5_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_6':0.0,'8_13':0.0,'-3':0.0},(111,392):{'3_1':0.54,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(111,391):{'3_1':0.57,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0},(111,390):{'3_1':0.36,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0},(111,389):{'3_1':0.3,'4_1':0.06,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(111,388):{'3_1':0.39,'4_1':0.09,'6_2':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_4':0.0},(111,387):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_16':0.0,'-3':0.0},(111,386):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(111,385):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_16':0.0},(111,384):{'3_1':0.15,'4_1':0.03,'-3':0.03,'6_2':0.0,'8_19':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(111,383):{'3_1':0.18,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0},(111,382):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(111,381):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(111,380):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_16':0.0,'-3':0.0},(111,379):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(111,378):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_3':0.0,'5_1':0.0,'6_2':0.0},(111,377):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0},(111,376):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'8_16':0.0},(111,375):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(111,374):{'3_1':0.12,'6_3':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(111,373):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(111,372):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_3':0.0},(111,371):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(111,370):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(111,369):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(111,368):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_5':0.0},(111,367):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(111,366):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0},(111,365):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(111,364):{'3_1':0.12,'4_1':0.03,'8_7':0.0,'8_21|3_1#4_1':0.0},(111,363):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(111,362):{'3_1':0.06,'4_1':0.03},(111,361):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(111,360):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(111,359):{'3_1':0.15,'4_1':0.03},(111,358):{'3_1':0.12,'4_1':0.0},(111,357):{'3_1':0.09,'4_1':0.0},(111,356):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(111,355):{'3_1':0.09,'4_1':0.0},(111,354):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(111,353):{'3_1':0.15,'4_1':0.06},(111,352):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(111,351):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'6_3':0.0},(111,350):{'3_1':0.0,'4_1':0.0},(111,349):{'3_1':0.06,'4_1':0.0},(111,348):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(111,347):{'3_1':0.06,'4_1':0.0},(111,346):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(111,345):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(111,344):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(111,343):{'3_1':0.09,'4_1':0.0},(111,342):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(111,341):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(111,340):{'3_1':0.09,'4_1':0.0},(111,339):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_1':0.0},(111,338):{'3_1':0.09,'4_1':0.0},(111,337):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(111,336):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0},(111,335):{'3_1':0.09,'4_1':0.0},(111,334):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0},(111,333):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(111,332):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(111,331):{'3_1':0.15,'4_1':0.0},(111,330):{'3_1':0.09,'4_1':0.0},(111,329):{'4_1':0.03,'3_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(111,328):{'3_1':0.09,'4_1':0.06},(111,327):{'3_1':0.06,'4_1':0.0},(111,326):{'3_1':0.06,'4_1':0.0},(111,325):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(111,324):{'3_1':0.09},(111,323):{'3_1':0.06,'4_1':0.0},(111,322):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(111,321):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(111,320):{'3_1':0.09,'4_1':0.0},(111,319):{'3_1':0.06},(111,318):{'3_1':0.06,'6_3':0.0},(111,317):{'3_1':0.06,'4_1':0.0},(111,316):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(111,315):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(111,314):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(111,313):{'3_1':0.06,'4_1':0.0},(111,312):{'3_1':0.09,'5_1':0.0},(111,311):{'3_1':0.03,'4_1':0.0},(111,310):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(111,309):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(111,308):{'3_1':0.06},(111,307):{'3_1':0.06,'4_1':0.0},(111,306):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(111,305):{'3_1':0.0,'4_1':0.0},(111,304):{'3_1':0.06},(111,303):{'3_1':0.03,'4_1':0.0},(111,302):{'3_1':0.03},(111,301):{'3_1':0.09,'5_2':0.0},(111,300):{'3_1':0.09},(111,299):{'3_1':0.06,'6_2':0.0},(111,298):{'3_1':0.06,'5_2':0.0},(111,297):{'3_1':0.03,'4_1':0.0},(111,296):{'3_1':0.03,'4_1':0.0},(111,295):{'3_1':0.09,'6_2':0.0},(111,294):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(111,293):{'3_1':0.06},(111,292):{'3_1':0.12},(111,291):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(111,290):{'3_1':0.03},(111,289):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(111,288):{'3_1':0.06,'4_1':0.0},(111,287):{'3_1':0.03,'4_1':0.0},(111,286):{'3_1':0.0},(111,285):{'3_1':0.06,'4_1':0.0},(111,284):{'3_1':0.03},(111,283):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(111,282):{'3_1':0.03},(111,281):{'3_1':0.06},(111,280):{'3_1':0.06},(111,279):{'3_1':0.03,'5_2':0.0},(111,278):{'3_1':0.06,'5_2':0.0},(111,277):{'3_1':0.06,'5_2':0.0},(111,276):{'3_1':0.09},(111,275):{'3_1':0.06},(111,274):{'3_1':0.09},(111,273):{'3_1':0.03},(111,272):{'3_1':0.06},(111,271):{'3_1':0.06},(111,270):{'3_1':0.09,'4_1':0.0},(111,269):{'3_1':0.06},(111,268):{'3_1':0.03},(111,267):{'3_1':0.09,'5_2':0.0},(111,266):{'3_1':0.09,'5_1':0.0},(111,265):{'3_1':0.06,'5_1':0.0},(111,264):{'3_1':0.15,'5_1':0.0},(111,263):{'3_1':0.12},(111,262):{'3_1':0.06},(111,261):{'3_1':0.06,'5_1':0.0},(111,260):{'3_1':0.06},(111,259):{'3_1':0.09,'5_2':0.0},(111,258):{'3_1':0.03},(111,257):{'3_1':0.09},(111,256):{'3_1':0.09,'5_1':0.0},(111,255):{'3_1':0.03,'4_1':0.0},(111,254):{'3_1':0.0},(111,253):{'3_1':0.03},(111,252):{'3_1':0.06,'4_1':0.0},(111,251):{'3_1':0.09},(111,250):{'3_1':0.06},(111,249):{'3_1':0.0},(111,248):{'3_1':0.03},(111,247):{'3_1':0.03},(111,246):{'3_1':0.09,'4_1':0.0},(111,245):{'3_1':0.06},(111,244):{'3_1':0.06},(111,243):{'3_1':0.03},(111,242):{'3_1':0.03},(111,241):{'3_1':0.06},(111,240):{'3_1':0.0},(111,239):{'3_1':0.06},(111,238):{'3_1':0.03},(111,237):{'3_1':0.0},(111,236):{'3_1':0.0},(111,235):{'3_1':0.0},(111,234):{'3_1':0.03},(111,233):{'3_1':0.0},(111,232):{'3_1':0.03},(111,231):{'3_1':0.06},(111,230):{'3_1':0.0},(111,229):{'3_1':0.03},(111,228):{'3_1':0.03},(111,227):{'3_1':0.03},(111,226):{'3_1':0.0},(111,225):{'3_1':0.0},(111,224):{'3_1':0.03,'5_2':0.0},(111,223):{'3_1':0.03},(111,222):{'3_1':0.03},(111,221):{'3_1':0.03},(111,220):{'3_1':0.03},(111,219):{'3_1':0.06},(111,218):{'3_1':0.03},(111,217):{'3_1':0.0},(111,216):{'3_1':0.06},(111,215):{'3_1':0.03},(111,214):{'3_1':0.0},(111,213):{'3_1':0.03},(111,212):{'3_1':0.03},(111,211):{'3_1':0.0},(111,210):{'3_1':0.09},(111,209):{'3_1':0.0},(111,208):{'3_1':0.06,'5_1':0.0},(111,207):{'3_1':0.09},(111,206):{'3_1':0.03},(111,205):{'3_1':0.03,'5_1':0.0},(111,204):{'3_1':0.0},(111,203):{'3_1':0.03},(111,202):{'3_1':0.06},(111,201):{'3_1':0.03},(111,200):{'3_1':0.0},(111,199):{'3_1':0.06},(111,198):{'3_1':0.03},(111,197):{'3_1':0.0},(111,196):{'3_1':0.0},(111,195):{'3_1':0.03},(111,194):{'3_1':0.0},(111,193):{'3_1':0.0},(111,192):{'3_1':0.03},(111,191):{'3_1':0.0},(111,190):{'3_1':0.0},(111,189):{'3_1':0.0},(111,188):{'3_1':0.0},(111,186):{'3_1':0.0},(111,184):{'3_1':0.0},(111,183):{'3_1':0.0},(111,182):{'3_1':0.0},(111,180):{'3_1':0.0},(111,179):{'3_1':0.0},(111,178):{'3_1':0.0},(111,177):{'3_1':0.0},(111,175):{'3_1':0.0},(111,160):{'3_1':0.0},(111,159):{'3_1':0.03},(111,158):{'3_1':0.03},(111,157):{'3_1':0.03},(111,156):{'3_1':0.0},(111,155):{'3_1':0.0},(111,154):{'3_1':0.0},(111,153):{'3_1':0.03},(111,152):{'3_1':0.03},(111,150):{'3_1':0.03},(111,149):{'3_1':0.0},(111,146):{'3_1':0.0},(111,145):{'3_1':0.0},(112,459):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(112,458):{'3_1':0.66,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(112,457):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'6_2':0.0},(112,456):{'3_1':0.75,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(112,455):{'3_1':0.63,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(112,454):{'3_1':0.69,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(112,453):{'3_1':0.66,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(112,452):{'3_1':0.69,'5_1':0.09,'4_1':0.03,'6_2':0.03,'6_1':0.0},(112,451):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(112,450):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(112,449):{'3_1':0.66,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(112,448):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'6_2':0.0},(112,447):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'4_1':0.0,'-3':0.0,'7_1':0.0},(112,446):{'3_1':0.69,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(112,445):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0},(112,444):{'3_1':0.69,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(112,443):{'3_1':0.57,'5_1':0.12,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(112,442):{'3_1':0.72,'5_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0},(112,441):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0},(112,440):{'3_1':0.69,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(112,439):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'7_1':0.0,'6_2':0.0},(112,438):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(112,437):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'6_2':0.0,'4_1':0.0},(112,436):{'3_1':0.66,'5_1':0.12,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(112,435):{'3_1':0.63,'5_1':0.06,'4_1':0.0,'8_2':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(112,434):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_1':0.0},(112,433):{'3_1':0.63,'5_2':0.03,'5_1':0.03,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0},(112,432):{'3_1':0.72,'5_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(112,431):{'3_1':0.66,'5_1':0.03,'6_2':0.03,'4_1':0.0,'8_6':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(112,430):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0},(112,429):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(112,428):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0},(112,427):{'3_1':0.63,'5_1':0.18,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0,'8_4':0.0,'8_9':0.0},(112,426):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(112,425):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'8_4':0.0,'-3':0.0},(112,424):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'4_1':0.03,'6_2':0.0,'-3':0.0},(112,423):{'3_1':0.66,'5_1':0.12,'4_1':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_6':0.0},(112,422):{'3_1':0.6,'5_1':0.12,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_5':0.0,'7_1':0.0,'-3':0.0},(112,421):{'3_1':0.57,'5_1':0.12,'4_1':0.0,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(112,420):{'3_1':0.66,'5_1':0.06,'8_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0},(112,419):{'3_1':0.54,'5_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(112,418):{'3_1':0.57,'5_1':0.15,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(112,417):{'3_1':0.51,'5_1':0.21,'5_2':0.03,'8_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0},(112,416):{'3_1':0.63,'5_1':0.12,'5_2':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0},(112,415):{'3_1':0.57,'5_1':0.15,'5_2':0.0,'6_2':0.0,'4_1':0.0,'-3':0.0,'8_11':0.0},(112,414):{'3_1':0.57,'5_1':0.15,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'-3':0.0},(112,413):{'3_1':0.6,'5_1':0.09,'5_2':0.06,'8_2':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_6':0.0,'8_9':0.0,'8_10':0.0},(112,412):{'3_1':0.54,'5_1':0.12,'5_2':0.06,'6_2':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0,'-3':0.0},(112,411):{'3_1':0.6,'5_1':0.15,'5_2':0.0,'8_2':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_7':0.0},(112,410):{'3_1':0.51,'5_1':0.18,'6_2':0.06,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(112,409):{'3_1':0.51,'5_1':0.12,'5_2':0.06,'6_2':0.03,'7_1':0.0,'8_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_6':0.0,'8_7':0.0,'-3':0.0},(112,408):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(112,407):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(112,406):{'3_1':0.72,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_4':0.0,'8_9':0.0,'-3':0.0},(112,405):{'3_1':0.6,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(112,404):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_9':0.0},(112,403):{'3_1':0.63,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(112,402):{'3_1':0.6,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_2':0.03,'6_1':0.0},(112,401):{'3_1':0.6,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0},(112,400):{'3_1':0.57,'5_2':0.03,'5_1':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(112,399):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(112,398):{'3_1':0.66,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(112,397):{'3_1':0.54,'5_1':0.09,'5_2':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(112,396):{'3_1':0.54,'5_1':0.06,'6_2':0.03,'4_1':0.03,'5_2':0.03,'7_1':0.0},(112,395):{'3_1':0.6,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_16':0.0,'-3':0.0},(112,394):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0},(112,393):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_6':0.0},(112,392):{'3_1':0.54,'6_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(112,391):{'3_1':0.48,'5_1':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_19':0.0},(112,390):{'3_1':0.48,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(112,389):{'3_1':0.39,'5_1':0.09,'6_2':0.06,'4_1':0.03,'5_2':0.0,'7_1':0.0},(112,388):{'3_1':0.36,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(112,387):{'3_1':0.3,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_6':0.0},(112,386):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(112,385):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(112,384):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_16':0.0,'-3':0.0},(112,383):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(112,382):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(112,381):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_6':0.0},(112,380):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_16':0.0},(112,379):{'3_1':0.15,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_6':0.0,'8_16':0.0,'8_19':0.0},(112,378):{'3_1':0.09,'5_2':0.03,'4_1':0.03},(112,377):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'6_3':0.0},(112,376):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(112,375):{'3_1':0.15,'4_1':0.03,'7_2':0.0},(112,374):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(112,373):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(112,372):{'3_1':0.18,'4_1':0.0},(112,371):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_3':0.0},(112,370):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(112,369):{'3_1':0.12,'4_1':0.0},(112,368):{'3_1':0.06,'4_1':0.03,'7_6':0.0},(112,367):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(112,366):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_8':0.0},(112,365):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(112,364):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(112,363):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(112,362):{'3_1':0.15,'6_2':0.0},(112,361):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(112,360):{'3_1':0.09},(112,359):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(112,358):{'3_1':0.12,'4_1':0.0},(112,357):{'3_1':0.06,'6_3':0.0},(112,356):{'3_1':0.06,'6_3':0.0},(112,355):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(112,354):{'3_1':0.09,'4_1':0.03},(112,353):{'3_1':0.09,'4_1':0.0},(112,352):{'4_1':0.06,'3_1':0.03,'6_3':0.0},(112,351):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(112,350):{'3_1':0.03,'6_2':0.0},(112,349):{'3_1':0.03,'4_1':0.0},(112,348):{'3_1':0.0,'4_1':0.0},(112,347):{'3_1':0.03,'4_1':0.0},(112,346):{'3_1':0.03,'4_1':0.0},(112,345):{'3_1':0.06,'4_1':0.0},(112,344):{'3_1':0.03,'4_1':0.0},(112,343):{'3_1':0.12,'4_1':0.0},(112,342):{'3_1':0.12,'4_1':0.0},(112,341):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(112,340):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(112,339):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(112,338):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0},(112,337):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(112,336):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(112,335):{'3_1':0.03,'4_1':0.03},(112,334):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(112,333):{'3_1':0.12,'4_1':0.0},(112,332):{'3_1':0.06},(112,331):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(112,330):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(112,329):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(112,328):{'3_1':0.06,'4_1':0.0},(112,327):{'3_1':0.03,'4_1':0.0},(112,326):{'3_1':0.03,'4_1':0.0},(112,325):{'3_1':0.03,'4_1':0.0},(112,324):{'3_1':0.03,'4_1':0.0},(112,323):{'3_1':0.06,'4_1':0.0},(112,322):{'3_1':0.03,'4_1':0.0},(112,321):{'3_1':0.06,'4_1':0.0},(112,320):{'3_1':0.0},(112,319):{'3_1':0.06},(112,318):{'3_1':0.09,'4_1':0.0},(112,317):{'3_1':0.0,'4_1':0.0},(112,316):{'3_1':0.0,'4_1':0.0},(112,315):{'3_1':0.0,'4_1':0.0,'8_2':0.0},(112,314):{'3_1':0.03,'4_1':0.0},(112,313):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(112,312):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(112,311):{'3_1':0.03,'4_1':0.0},(112,310):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(112,309):{'3_1':0.03},(112,308):{'3_1':0.0},(112,307):{'3_1':0.03,'4_1':0.0},(112,306):{'3_1':0.06,'4_1':0.0},(112,305):{'3_1':0.0},(112,304):{'3_1':0.0,'5_2':0.0},(112,303):{'3_1':0.03},(112,302):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(112,301):{'3_1':0.03,'4_1':0.0},(112,300):{'3_1':0.06},(112,299):{'3_1':0.06},(112,298):{'3_1':0.03},(112,297):{'3_1':0.03,'5_2':0.0},(112,296):{'3_1':0.06,'4_1':0.0},(112,295):{'3_1':0.0,'4_1':0.0},(112,294):{'3_1':0.03,'4_1':0.0},(112,293):{'3_1':0.03},(112,292):{'3_1':0.06},(112,291):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(112,290):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(112,289):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(112,288):{'3_1':0.06},(112,287):{'3_1':0.06,'6_2':0.0},(112,286):{'3_1':0.03,'5_1':0.0},(112,285):{'3_1':0.03},(112,284):{'3_1':0.03,'4_1':0.0},(112,283):{'3_1':0.0},(112,282):{'3_1':0.03},(112,281):{'3_1':0.03},(112,280):{'3_1':0.0},(112,279):{'3_1':0.03,'5_2':0.0},(112,278):{'3_1':0.06},(112,277):{'3_1':0.06,'4_1':0.0},(112,276):{'3_1':0.03,'5_2':0.0},(112,275):{'3_1':0.03},(112,274):{'3_1':0.06},(112,273):{'3_1':0.03,'5_2':0.0},(112,272):{'3_1':0.09},(112,271):{'3_1':0.06},(112,270):{'3_1':0.06},(112,269):{'3_1':0.03},(112,268):{'3_1':0.0},(112,267):{'3_1':0.03,'5_2':0.0},(112,266):{'3_1':0.03},(112,265):{'3_1':0.09},(112,264):{'3_1':0.15},(112,263):{'3_1':0.06,'4_1':0.0},(112,262):{'3_1':0.03,'4_1':0.0},(112,261):{'3_1':0.09},(112,260):{'3_1':0.03},(112,259):{'3_1':0.06},(112,258):{'3_1':0.06,'4_1':0.0},(112,257):{'3_1':0.09,'4_1':0.0},(112,256):{'3_1':0.03,'4_1':0.0},(112,255):{'3_1':0.06,'4_1':0.0},(112,254):{'3_1':0.06},(112,253):{'3_1':0.0},(112,252):{'3_1':0.0,'5_2':0.0},(112,251):{'3_1':0.06},(112,250):{'3_1':0.03},(112,249):{'3_1':0.0,'4_1':0.0},(112,248):{'3_1':0.0},(112,247):{'3_1':0.0},(112,246):{'3_1':0.0,'4_1':0.0},(112,245):{'3_1':0.03},(112,244):{'3_1':0.0},(112,243):{'3_1':0.0},(112,242):{'3_1':0.03,'4_1':0.0},(112,241):{'3_1':0.03,'5_2':0.0},(112,240):{'3_1':0.0},(112,239):{'3_1':0.0},(112,238):{'3_1':0.0},(112,237):{'3_1':0.03},(112,236):{'3_1':0.03},(112,235):{'3_1':0.0},(112,234):{'3_1':0.03},(112,233):{'3_1':0.0,'4_1':0.0},(112,232):{'3_1':0.0},(112,230):{'3_1':0.0},(112,229):{'3_1':0.03},(112,228):{'3_1':0.0},(112,227):{'3_1':0.0},(112,225):{'3_1':0.0,'5_1':0.0},(112,224):{'3_1':0.03,'5_2':0.0},(112,223):{'3_1':0.03},(112,222):{'5_1':0.0},(112,221):{'3_1':0.03},(112,220):{'3_1':0.03},(112,219):{'3_1':0.03},(112,218):{'3_1':0.03},(112,217):{'3_1':0.03},(112,216):{'3_1':0.03},(112,215):{'3_1':0.0},(112,214):{'3_1':0.0},(112,213):{'3_1':0.03,'5_1':0.0},(112,212):{'3_1':0.0},(112,211):{'3_1':0.03},(112,210):{'3_1':0.03},(112,209):{'3_1':0.0},(112,208):{'3_1':0.03},(112,207):{'3_1':0.03},(112,206):{'3_1':0.03},(112,205):{'3_1':0.06},(112,204):{'3_1':0.03},(112,203):{'3_1':0.03},(112,202):{'3_1':0.0},(112,201):{'3_1':0.0},(112,200):{'3_1':0.03},(112,199):{'3_1':0.0},(112,198):{'3_1':0.0},(112,197):{'3_1':0.0},(112,196):{'3_1':0.03},(112,195):{'3_1':0.0},(112,194):{'3_1':0.0},(112,193):{'3_1':0.03},(112,192):{'3_1':0.03},(112,191):{'3_1':0.0},(112,190):{'3_1':0.03},(112,189):{'3_1':0.0},(112,186):{'3_1':0.03},(112,185):{'3_1':0.0},(112,184):{'3_1':0.03},(112,183):{'3_1':0.0},(112,182):{'3_1':0.0},(112,179):{'3_1':0.0},(112,177):{'3_1':0.0},(112,165):{'3_1':0.0},(112,164):{'3_1':0.03},(112,162):{'3_1':0.0},(112,161):{'3_1':0.0},(112,160):{'3_1':0.0},(112,159):{'3_1':0.0},(112,157):{'3_1':0.03},(112,156):{'3_1':0.0},(112,155):{'3_1':0.0},(112,154):{'3_1':0.03},(112,153):{'3_1':0.0},(112,152):{'3_1':0.03},(112,151):{'3_1':0.03},(112,150):{'3_1':0.0},(112,149):{'3_1':0.0},(112,148):{'3_1':0.0},(112,147):{'3_1':0.03},(112,146):{'3_1':0.0},(112,145):{'3_1':0.0},(113,459):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(113,458):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'6_1':0.0},(113,457):{'3_1':0.81,'5_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(113,456):{'3_1':0.69,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_1':0.0},(113,455):{'3_1':0.75,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0},(113,454):{'3_1':0.75,'5_1':0.09,'6_1':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0},(113,453):{'3_1':0.78,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(113,452):{'3_1':0.75,'5_2':0.03,'6_2':0.03,'4_1':0.0,'5_1':0.0},(113,451):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(113,450):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0},(113,449):{'3_1':0.72,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(113,448):{'3_1':0.69,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_6':0.0},(113,447):{'3_1':0.69,'5_1':0.06,'6_2':0.03,'6_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(113,446):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(113,445):{'3_1':0.75,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0},(113,444):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(113,443):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(113,442):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'8_2':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(113,441):{'3_1':0.72,'5_2':0.03,'5_1':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_2':0.0},(113,440):{'3_1':0.72,'5_1':0.06,'6_2':0.0,'6_1':0.0,'4_1':0.0,'5_2':0.0},(113,439):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(113,438):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0},(113,437):{'3_1':0.69,'5_1':0.09,'4_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(113,436):{'3_1':0.78,'5_1':0.06,'-3':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0,'8_14':0.0},(113,435):{'3_1':0.63,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_1':0.0},(113,434):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0},(113,433):{'3_1':0.69,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(113,432):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'8_2':0.0,'7_3':0.0,'8_10':0.0,'-3':0.0},(113,431):{'3_1':0.69,'5_1':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0},(113,430):{'3_1':0.6,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0},(113,429):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(113,428):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(113,427):{'3_1':0.63,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0,'-3':0.0},(113,426):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(113,425):{'3_1':0.63,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0,'8_2':0.0,'-3':0.0},(113,424):{'3_1':0.63,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_7':0.0,'-3':0.0},(113,423):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(113,422):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_1':0.0,'8_9':0.0},(113,421):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0},(113,420):{'3_1':0.54,'5_1':0.15,'5_2':0.03,'8_2':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(113,419):{'3_1':0.66,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_3':0.0,'-3':0.0},(113,418):{'3_1':0.66,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0},(113,417):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0},(113,416):{'3_1':0.57,'5_1':0.18,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_6':0.0},(113,415):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'4_1':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_6':0.0,'8_11':0.0},(113,414):{'3_1':0.57,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0},(113,413):{'3_1':0.57,'5_1':0.15,'5_2':0.03,'8_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_9':0.0},(113,412):{'3_1':0.63,'5_1':0.09,'6_2':0.03,'-3':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(113,411):{'3_1':0.54,'5_1':0.12,'5_2':0.06,'8_2':0.03,'6_2':0.0,'6_1':0.0,'7_1':0.0,'4_1':0.0,'8_4':0.0,'8_6':0.0},(113,410):{'3_1':0.45,'5_1':0.15,'8_2':0.06,'6_2':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_3':0.0,'-3':0.0},(113,409):{'3_1':0.54,'5_1':0.15,'5_2':0.03,'6_2':0.03,'7_1':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0},(113,408):{'3_1':0.57,'5_1':0.06,'5_2':0.06,'6_2':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0},(113,407):{'3_1':0.6,'5_1':0.15,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'8_2':0.0,'8_16':0.0,'-3':0.0},(113,406):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(113,405):{'3_1':0.63,'5_1':0.12,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_11':0.0,'8_4':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(113,404):{'3_1':0.66,'5_1':0.09,'-3':0.0,'4_1':0.0,'6_1':0.0},(113,403):{'3_1':0.66,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(113,402):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0},(113,401):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0},(113,400):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'6_3':0.0,'8_11':0.0},(113,399):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'4_1':0.0},(113,398):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'6_2':0.0,'6_3':0.0},(113,397):{'3_1':0.66,'5_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0},(113,396):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'8_19':0.0},(113,395):{'3_1':0.63,'5_1':0.03,'7_6':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(113,394):{'3_1':0.57,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_7':0.0},(113,393):{'3_1':0.48,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(113,392):{'3_1':0.54,'5_1':0.09,'6_2':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(113,391):{'3_1':0.42,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(113,390):{'3_1':0.48,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(113,389):{'3_1':0.39,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_16':0.0},(113,388):{'3_1':0.36,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'8_17':0.0,'-3':0.0},(113,387):{'3_1':0.27,'4_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_16':0.0,'-3':0.0},(113,386):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_16':0.0},(113,385):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(113,384):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(113,383):{'3_1':0.12,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(113,382):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0},(113,381):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'6_1':0.0,'5_1':0.0,'8_16':0.0,'-3':0.0},(113,380):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(113,379):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(113,378):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'7_3':0.0,'8_8':0.0,'8_16':0.0},(113,377):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(113,376):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'7_6':0.0},(113,375):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(113,374):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_8':0.0},(113,373):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_3':0.0},(113,372):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(113,371):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(113,370):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(113,369):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(113,368):{'3_1':0.15,'4_1':0.0},(113,367):{'3_1':0.15,'4_1':0.03},(113,366):{'3_1':0.12,'4_1':0.0},(113,365):{'3_1':0.12,'4_1':0.03},(113,364):{'3_1':0.15,'4_1':0.0},(113,363):{'3_1':0.15,'6_2':0.0},(113,362):{'3_1':0.06,'6_2':0.0,'8_21|3_1#4_1':0.0},(113,361):{'3_1':0.09,'6_3':0.0,'4_1':0.0},(113,360):{'3_1':0.09,'4_1':0.0},(113,359):{'3_1':0.06,'6_2':0.0,'6_3':0.0},(113,358):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(113,357):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(113,356):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(113,355):{'3_1':0.06,'6_3':0.0},(113,354):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(113,353):{'3_1':0.09,'4_1':0.0},(113,352):{'3_1':0.12,'4_1':0.0},(113,351):{'3_1':0.06,'4_1':0.0},(113,350):{'3_1':0.03,'4_1':0.0},(113,349):{'3_1':0.09,'5_2':0.0},(113,348):{'3_1':0.0,'4_1':0.0},(113,347):{'3_1':0.03,'5_2':0.0},(113,346):{'3_1':0.0,'4_1':0.0},(113,345):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(113,344):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(113,343):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(113,342):{'3_1':0.06,'4_1':0.0},(113,341):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(113,340):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(113,339):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(113,338):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(113,337):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(113,336):{'3_1':0.06,'4_1':0.0},(113,335):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(113,334):{'3_1':0.06,'4_1':0.0},(113,333):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(113,332):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(113,331):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(113,330):{'3_1':0.03},(113,329):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(113,328):{'3_1':0.03,'4_1':0.0},(113,327):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(113,326):{'3_1':0.03},(113,325):{'3_1':0.06},(113,324):{'4_1':0.0,'3_1':0.0},(113,323):{'3_1':0.03,'4_1':0.0},(113,322):{'3_1':0.06},(113,321):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(113,320):{'3_1':0.06,'4_1':0.0},(113,319):{'3_1':0.03,'4_1':0.0},(113,318):{'3_1':0.06,'4_1':0.0},(113,317):{'3_1':0.03,'4_1':0.0},(113,316):{'3_1':0.03,'4_1':0.0},(113,315):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(113,314):{'3_1':0.03,'6_2':0.0},(113,313):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(113,312):{'3_1':0.03,'6_3':0.0,'4_1':0.0},(113,311):{'3_1':0.03,'4_1':0.0},(113,310):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(113,309):{'3_1':0.03,'4_1':0.0},(113,308):{'3_1':0.0,'5_1':0.0},(113,307):{'3_1':0.06,'4_1':0.0},(113,306):{'3_1':0.03,'6_2':0.0},(113,305):{'3_1':0.06},(113,304):{'3_1':0.06,'-3':0.0},(113,303):{'3_1':0.03,'5_1':0.0},(113,302):{'3_1':0.06},(113,301):{'3_1':0.03,'4_1':0.0},(113,300):{'3_1':0.03},(113,299):{'3_1':0.03},(113,298):{'3_1':0.03,'4_1':0.0},(113,297):{'3_1':0.0,'4_1':0.0},(113,296):{'3_1':0.06,'4_1':0.0},(113,295):{'3_1':0.03},(113,294):{'3_1':0.06},(113,293):{'3_1':0.03,'4_1':0.0},(113,292):{'3_1':0.0,'4_1':0.0},(113,291):{'3_1':0.03},(113,290):{'4_1':0.0,'3_1':0.0},(113,289):{'3_1':0.12,'4_1':0.0},(113,288):{'3_1':0.0},(113,287):{'3_1':0.06},(113,286):{'3_1':0.0,'4_1':0.0},(113,285):{'3_1':0.03,'4_1':0.0},(113,284):{'3_1':0.03},(113,283):{'3_1':0.06,'4_1':0.0},(113,282):{'3_1':0.0},(113,281):{'3_1':0.0,'4_1':0.0},(113,280):{'3_1':0.03,'5_2':0.0},(113,279):{'3_1':0.0},(113,278):{'3_1':0.03},(113,277):{'3_1':0.03},(113,276):{'3_1':0.0,'5_2':0.0},(113,275):{'3_1':0.0},(113,274):{'3_1':0.03,'5_2':0.0},(113,273):{'3_1':0.03},(113,272):{'3_1':0.09},(113,271):{'3_1':0.03},(113,270):{'3_1':0.06},(113,269):{'3_1':0.06,'5_2':0.0},(113,268):{'3_1':0.09,'5_2':0.0},(113,267):{'3_1':0.03,'5_2':0.0},(113,266):{'3_1':0.03},(113,265):{'3_1':0.03},(113,264):{'3_1':0.03},(113,263):{'3_1':0.12,'5_1':0.0},(113,262):{'3_1':0.03},(113,261):{'3_1':0.06,'5_1':0.0},(113,260):{'3_1':0.03,'5_1':0.0},(113,259):{'3_1':0.06},(113,258):{'3_1':0.03,'4_1':0.0},(113,257):{'3_1':0.03,'5_1':0.0},(113,256):{'3_1':0.06,'4_1':0.0},(113,255):{'3_1':0.09,'4_1':0.0},(113,254):{'3_1':0.0,'4_1':0.0},(113,253):{'3_1':0.06},(113,252):{'3_1':0.06},(113,251):{'3_1':0.03,'5_1':0.0},(113,250):{'3_1':0.03,'5_1':0.0},(113,249):{'3_1':0.03},(113,248):{'3_1':0.0},(113,247):{'3_1':0.0},(113,246):{'3_1':0.0},(113,245):{'3_1':0.03},(113,244):{'3_1':0.06},(113,243):{'3_1':0.0},(113,242):{'3_1':0.0},(113,241):{'3_1':0.03},(113,240):{'3_1':0.0},(113,239):{'3_1':0.0},(113,238):{'3_1':0.03},(113,237):{'3_1':0.0},(113,236):{'3_1':0.03},(113,235):{'3_1':0.03},(113,234):{'3_1':0.0,'4_1':0.0},(113,233):{'3_1':0.0},(113,232):{'3_1':0.0},(113,230):{'3_1':0.03},(113,229):{'3_1':0.03},(113,228):{'3_1':0.06},(113,227):{'3_1':0.0},(113,226):{'3_1':0.03},(113,225):{'3_1':0.03,'5_1':0.0},(113,224):{'3_1':0.03},(113,223):{'3_1':0.0},(113,222):{'3_1':0.0},(113,221):{'3_1':0.0},(113,220):{'3_1':0.0},(113,219):{'3_1':0.03},(113,218):{'3_1':0.03},(113,217):{'3_1':0.0},(113,216):{'3_1':0.03},(113,215):{'3_1':0.0},(113,214):{'3_1':0.03},(113,213):{'3_1':0.03},(113,212):{'3_1':0.0},(113,211):{'3_1':0.03},(113,210):{'3_1':0.0},(113,209):{'3_1':0.0},(113,208):{'3_1':0.0},(113,207):{'3_1':0.0},(113,206):{'3_1':0.0},(113,205):{'3_1':0.0},(113,204):{'3_1':0.03},(113,203):{'3_1':0.03},(113,202):{'3_1':0.0},(113,201):{'3_1':0.0},(113,200):{'3_1':0.0},(113,199):{'3_1':0.0},(113,198):{'3_1':0.0},(113,197):{'3_1':0.0},(113,195):{'3_1':0.0},(113,194):{'3_1':0.0},(113,193):{'3_1':0.0},(113,192):{'3_1':0.0},(113,191):{'3_1':0.0},(113,190):{'3_1':0.0},(113,189):{'3_1':0.0},(113,188):{'3_1':0.0},(113,187):{'3_1':0.0},(113,186):{'3_1':0.03},(113,185):{'3_1':0.03},(113,184):{'3_1':0.0},(113,183):{'3_1':0.0},(113,182):{'3_1':0.0},(113,181):{'3_1':0.0},(113,179):{'3_1':0.0},(113,177):{'3_1':0.0},(113,174):{'3_1':0.0},(113,172):{'3_1':0.0},(113,171):{'3_1':0.0},(113,168):{'3_1':0.0},(113,165):{'3_1':0.0},(113,161):{'3_1':0.0},(113,160):{'3_1':0.0},(113,159):{'3_1':0.0},(113,158):{'3_1':0.03},(113,157):{'3_1':0.0},(113,156):{'3_1':0.0},(113,155):{'3_1':0.03},(113,154):{'3_1':0.03},(113,153):{'3_1':0.0},(113,152):{'3_1':0.0},(113,151):{'3_1':0.0},(113,149):{'3_1':0.0},(113,148):{'3_1':0.0},(113,147):{'3_1':0.0},(113,145):{'3_1':0.0},(114,459):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'7_7':0.0},(114,458):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(114,457):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'4_1':0.0},(114,456):{'3_1':0.84,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(114,455):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0},(114,454):{'3_1':0.84,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_7':0.0},(114,453):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_1':0.0},(114,452):{'3_1':0.84,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(114,451):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0},(114,450):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(114,449):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_7':0.0},(114,448):{'3_1':0.81,'5_1':0.03,'6_1':0.0,'6_2':0.0},(114,447):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(114,446):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(114,445):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'8_2':0.0},(114,444):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0},(114,443):{'3_1':0.66,'5_1':0.03,'8_2':0.03,'5_2':0.0,'4_1':0.0,'8_6':0.0,'-3':0.0},(114,442):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_7':0.0},(114,441):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_2':0.0,'1':-0.03},(114,440):{'3_1':0.72,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'8_2':0.0,'-3':0.0},(114,439):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(114,438):{'3_1':0.75,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_4':0.0},(114,437):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(114,436):{'3_1':0.72,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0},(114,435):{'3_1':0.69,'5_1':0.09,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_6':0.0,'-3':0.0},(114,434):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(114,433):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(114,432):{'3_1':0.78,'6_2':0.03,'8_2':0.03,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(114,431):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_7':0.0},(114,430):{'3_1':0.75,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0},(114,429):{'3_1':0.72,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(114,428):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(114,427):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_6':0.0,'-3':0.0,'1':-0.03},(114,426):{'3_1':0.6,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_6':0.0},(114,425):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.03,'7_5':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(114,424):{'3_1':0.72,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_1':0.0},(114,423):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'3_1#5_1':0.0,'-3':0.0},(114,422):{'3_1':0.66,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(114,421):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(114,420):{'3_1':0.6,'5_1':0.06,'8_20|3_1#3_1':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0},(114,419):{'3_1':0.69,'5_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_7':0.0,'8_2':0.0,'8_6':0.0},(114,418):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'8_2':0.0,'7_5':0.0},(114,417):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'4_1':0.0,'8_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0,'1':-0.03},(114,416):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'8_2':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_14':0.0},(114,415):{'3_1':0.63,'5_1':0.09,'4_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(114,414):{'3_1':0.6,'5_1':0.09,'6_2':0.03,'5_2':0.03,'8_2':0.03,'7_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0},(114,413):{'3_1':0.6,'5_1':0.15,'8_2':0.03,'5_2':0.03,'7_1':0.0,'6_1':0.0,'6_2':0.0,'8_6':0.0},(114,412):{'3_1':0.51,'5_1':0.12,'8_2':0.03,'6_2':0.0,'7_1':0.0,'8_4':0.0,'8_11':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(114,411):{'3_1':0.54,'5_1':0.12,'8_2':0.03,'6_2':0.03,'5_2':0.0,'7_5':0.0,'8_11':0.0,'8_12':0.0,'-3':0.0},(114,410):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'4_1':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_9':0.0},(114,409):{'3_1':0.57,'5_1':0.12,'5_2':0.06,'4_1':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(114,408):{'3_1':0.57,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(114,407):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0},(114,406):{'3_1':0.63,'5_1':0.15,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(114,405):{'3_1':0.66,'5_1':0.09,'6_2':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(114,404):{'3_1':0.66,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(114,403):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(114,402):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0},(114,401):{'3_1':0.69,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_12':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(114,400):{'3_1':0.69,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(114,399):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(114,398):{'3_1':0.57,'5_2':0.09,'5_1':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(114,397):{'3_1':0.66,'5_1':0.09,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(114,396):{'3_1':0.69,'5_2':0.03,'4_1':0.03,'6_2':0.0,'5_1':0.0},(114,395):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(114,394):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0},(114,393):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_6':0.0},(114,392):{'3_1':0.54,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0},(114,391):{'3_1':0.6,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_4':0.0},(114,390):{'3_1':0.42,'4_1':0.06,'5_1':0.06,'5_2':0.03,'6_2':0.0,'6_3':0.0,'-3':0.0},(114,389):{'3_1':0.39,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(114,388):{'3_1':0.42,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(114,387):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'6_2':0.03,'7_2':0.0},(114,386):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(114,385):{'3_1':0.27,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0},(114,384):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(114,383):{'3_1':0.15,'6_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(114,382):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_2':0.0},(114,381):{'3_1':0.09,'6_2':0.03,'6_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(114,380):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(114,379):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(114,378):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_12':0.0},(114,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(114,376):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(114,375):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(114,374):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0},(114,373):{'3_1':0.12,'4_1':0.0},(114,372):{'3_1':0.12,'4_1':0.03},(114,371):{'3_1':0.09,'4_1':0.0},(114,370):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(114,369):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(114,368):{'3_1':0.09,'4_1':0.0},(114,367):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(114,366):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(114,365):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(114,364):{'3_1':0.06},(114,363):{'3_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0},(114,362):{'3_1':0.09,'4_1':0.0},(114,361):{'3_1':0.06,'4_1':0.0},(114,360):{'3_1':0.03,'4_1':0.0},(114,359):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'6_3':0.0},(114,358):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(114,357):{'3_1':0.09,'4_1':0.0},(114,356):{'3_1':0.03,'6_3':0.0},(114,355):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(114,354):{'3_1':0.12,'4_1':0.0,'7_6':0.0},(114,353):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(114,352):{'3_1':0.03},(114,351):{'3_1':0.09,'5_1':0.0},(114,350):{'3_1':0.03},(114,349):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(114,348):{'3_1':0.09,'8_21|3_1#4_1':0.0},(114,347):{'3_1':0.06},(114,346):{'3_1':0.03,'5_2':0.0},(114,345):{'3_1':0.09,'4_1':0.0},(114,344):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(114,343):{'3_1':0.0,'4_1':0.0},(114,342):{'3_1':0.03},(114,341):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(114,340):{'3_1':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(114,339):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(114,338):{'3_1':0.06,'4_1':0.03},(114,337):{'3_1':0.06,'4_1':0.03},(114,336):{'3_1':0.06,'4_1':0.03},(114,335):{'3_1':0.06,'4_1':0.0},(114,334):{'3_1':0.09},(114,333):{'3_1':0.06,'4_1':0.0},(114,332):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(114,331):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0},(114,330):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(114,329):{'3_1':0.03},(114,328):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(114,327):{'3_1':0.0,'4_1':0.0},(114,326):{'3_1':0.0,'4_1':0.0},(114,325):{'3_1':0.03,'4_1':0.0},(114,324):{'3_1':0.03},(114,323):{'3_1':0.06},(114,322):{'3_1':0.03},(114,321):{'3_1':0.06,'4_1':0.0},(114,320):{'3_1':0.06,'4_1':0.0},(114,319):{'3_1':0.03,'6_3':0.0},(114,318):{'3_1':0.0,'4_1':0.0},(114,317):{'3_1':0.0,'4_1':0.0},(114,316):{'3_1':0.03,'4_1':0.0},(114,315):{'3_1':0.0},(114,314):{'3_1':0.06},(114,313):{'3_1':0.03},(114,312):{'3_1':0.03,'4_1':0.0},(114,311):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(114,310):{'3_1':0.0,'4_1':0.0},(114,309):{'3_1':0.03,'4_1':0.03},(114,308):{'3_1':0.03},(114,307):{'3_1':0.0,'4_1':0.0},(114,306):{'3_1':0.03},(114,305):{'3_1':0.09,'6_2':0.0},(114,304):{'3_1':0.03,'5_2':0.0},(114,303):{'3_1':0.03},(114,302):{'3_1':0.03},(114,301):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(114,300):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(114,299):{'3_1':0.06},(114,298):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(114,297):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(114,296):{'3_1':0.0},(114,295):{'3_1':0.0},(114,294):{'3_1':0.03},(114,293):{'3_1':0.03,'4_1':0.0},(114,292):{'3_1':0.06},(114,291):{'3_1':0.0},(114,290):{'3_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(114,289):{'3_1':0.03,'4_1':0.0},(114,288):{'3_1':0.03,'4_1':0.0},(114,287):{'3_1':0.03,'4_1':0.0},(114,286):{'3_1':0.03},(114,285):{'3_1':0.0},(114,284):{'3_1':0.03},(114,283):{'3_1':0.03,'4_1':0.0},(114,282):{'3_1':0.03},(114,281):{'3_1':0.03},(114,280):{'3_1':0.0},(114,279):{'3_1':0.0},(114,278):{'3_1':0.03},(114,277):{'3_1':0.03},(114,276):{'3_1':0.03,'5_2':0.0},(114,275):{'3_1':0.06,'4_1':0.0},(114,274):{'3_1':0.0},(114,273):{'3_1':0.03,'4_1':0.0},(114,272):{'3_1':0.06,'5_2':0.0},(114,271):{'3_1':0.0},(114,270):{'3_1':0.09},(114,269):{'3_1':0.03},(114,268):{'3_1':0.03,'4_1':0.0},(114,267):{'3_1':0.06},(114,266):{'3_1':0.03,'4_1':0.0},(114,265):{'3_1':0.03,'4_1':0.0},(114,264):{'3_1':0.06},(114,263):{'3_1':0.06},(114,262):{'3_1':0.03,'4_1':0.0},(114,261):{'3_1':0.06},(114,260):{'3_1':0.0,'6_2':0.0},(114,259):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(114,258):{'3_1':0.06},(114,257):{'3_1':0.03},(114,256):{'3_1':0.03,'4_1':0.0},(114,255):{'3_1':0.06},(114,254):{'3_1':0.03},(114,253):{'3_1':0.06,'4_1':0.0},(114,252):{'3_1':0.03},(114,251):{'3_1':0.06,'4_1':0.0},(114,250):{'3_1':0.0,'4_1':0.0},(114,249):{'3_1':0.0,'4_1':0.0},(114,248):{'3_1':0.0},(114,247):{'3_1':0.0},(114,246):{'3_1':0.0},(114,245):{'3_1':0.0},(114,244):{'3_1':0.03},(114,243):{'3_1':0.03},(114,242):{'3_1':0.0},(114,241):{'3_1':0.0},(114,240):{'3_1':0.0},(114,239):{'3_1':0.0},(114,238):{'3_1':0.0},(114,237):{'3_1':0.03},(114,236):{'3_1':0.0},(114,235):{'3_1':0.0},(114,234):{'3_1':0.0},(114,233):{'3_1':0.0},(114,232):{'3_1':0.0},(114,231):{'3_1':0.03},(114,230):{'3_1':0.0},(114,229):{'3_1':0.0},(114,228):{'3_1':0.0},(114,227):{'3_1':0.0},(114,226):{'3_1':0.0},(114,225):{'3_1':0.0},(114,224):{'3_1':0.0},(114,223):{'3_1':0.0},(114,222):{'3_1':0.0},(114,221):{'3_1':0.03},(114,220):{'3_1':0.0},(114,219):{'3_1':0.03,'5_1':0.0},(114,218):{'3_1':0.03},(114,217):{'3_1':0.0},(114,216):{'3_1':0.0},(114,215):{'3_1':0.0},(114,214):{'3_1':0.0},(114,213):{'3_1':0.0},(114,212):{'3_1':0.03},(114,211):{'3_1':0.0},(114,210):{'3_1':0.03},(114,209):{'3_1':0.0},(114,208):{'3_1':0.03},(114,207):{'3_1':0.03},(114,206):{'3_1':0.03},(114,205):{'3_1':0.03},(114,204):{'3_1':0.0},(114,203):{'3_1':0.0},(114,202):{'3_1':0.0},(114,201):{'3_1':0.03},(114,200):{'3_1':0.03},(114,199):{'3_1':0.0},(114,197):{'3_1':0.0},(114,196):{'3_1':0.0},(114,194):{'3_1':0.0},(114,193):{'3_1':0.0},(114,192):{'3_1':0.0},(114,191):{'3_1':0.0},(114,190):{'3_1':0.03},(114,189):{'3_1':0.0},(114,188):{'3_1':0.0},(114,187):{'3_1':0.0},(114,186):{'3_1':0.0},(114,185):{'3_1':0.0},(114,184):{'3_1':0.0},(114,183):{'3_1':0.0},(114,182):{'3_1':0.0},(114,181):{'3_1':0.0},(114,179):{'3_1':0.0},(114,177):{'3_1':0.0},(114,173):{'3_1':0.0},(114,170):{'3_1':0.0},(114,166):{'3_1':0.0},(114,165):{'3_1':0.0},(114,164):{'3_1':0.03},(114,162):{'3_1':0.0},(114,160):{'3_1':0.0},(114,158):{'3_1':0.0},(114,157):{'3_1':0.0},(114,156):{'3_1':0.0},(114,155):{'3_1':0.0},(114,153):{'3_1':0.03},(114,152):{'3_1':0.03},(114,151):{'3_1':0.0},(114,150):{'3_1':0.03},(114,147):{'3_1':0.0},(114,145):{'3_1':0.0},(115,459):{'3_1':0.78,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(115,458):{'3_1':0.84,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(115,457):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'5_1':0.0,'-3':0.0},(115,456):{'3_1':0.81,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(115,455):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(115,454):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0},(115,453):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(115,452):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(115,451):{'3_1':0.9,'5_1':0.0,'4_1':0.0},(115,450):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(115,449):{'3_1':0.81,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(115,448):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(115,447):{'3_1':0.81,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(115,446):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(115,445):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(115,444):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_7':0.0},(115,443):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(115,442):{'3_1':0.72,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(115,441):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(115,440):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(115,439):{'3_1':0.69,'5_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(115,438):{'3_1':0.78,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(115,437):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(115,436):{'3_1':0.75,'5_1':0.09,'4_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0},(115,435):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(115,434):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(115,433):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(115,432):{'3_1':0.69,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0},(115,431):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.03,'4_1':0.0},(115,430):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(115,429):{'3_1':0.72,'5_2':0.03,'5_1':0.03,'8_2':0.0,'4_1':0.0,'7_3':0.0},(115,428):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(115,427):{'3_1':0.63,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_7':0.0,'-3':0.0},(115,426):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0},(115,425):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(115,424):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(115,423):{'3_1':0.72,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(115,422):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(115,421):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0},(115,420):{'3_1':0.72,'5_1':0.09,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_7':0.0,'-3':0.0},(115,419):{'3_1':0.57,'5_2':0.09,'5_1':0.03,'8_2':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(115,418):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'7_1':0.0,'8_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(115,417):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'7_3':0.0,'8_2':0.0,'4_1':0.0},(115,416):{'3_1':0.75,'5_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_2':0.0,'8_14':0.0},(115,415):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(115,414):{'3_1':0.6,'5_1':0.09,'5_2':0.06,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(115,413):{'3_1':0.63,'5_1':0.06,'8_2':0.03,'4_1':0.03,'5_2':0.03,'7_3':0.0,'7_5':0.0,'6_2':0.0,'-3':0.0},(115,412):{'3_1':0.66,'5_1':0.12,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'4_1':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(115,411):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'8_2':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(115,410):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_4':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(115,409):{'3_1':0.66,'5_1':0.12,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(115,408):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(115,407):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(115,406):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'6_2':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(115,405):{'3_1':0.69,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(115,404):{'3_1':0.66,'5_1':0.03,'4_1':0.03,'6_2':0.03,'5_2':0.0,'3_1#5_1':0.0,'-3':0.0},(115,403):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_14':0.0,'-3':0.0},(115,402):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'5_2':0.0},(115,401):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(115,400):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(115,399):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(115,398):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0},(115,397):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(115,396):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(115,395):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(115,394):{'3_1':0.66,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(115,393):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'7_7':0.0,'6_2':0.0,'-3':0.0},(115,392):{'3_1':0.54,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(115,391):{'3_1':0.57,'5_1':0.06,'6_2':0.0,'5_2':0.0,'4_1':0.0,'7_5':0.0},(115,390):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(115,389):{'3_1':0.51,'6_2':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(115,388):{'3_1':0.42,'5_1':0.03,'4_1':0.0,'5_2':0.0},(115,387):{'3_1':0.33,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(115,386):{'3_1':0.3,'5_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0},(115,385):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(115,384):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(115,383):{'3_1':0.06,'6_2':0.06,'4_1':0.03,'6_1':0.0},(115,382):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(115,381):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(115,380):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_10':0.0},(115,379):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(115,378):{'3_1':0.06,'5_2':0.03,'3_1#5_2':0.0},(115,377):{'3_1':0.03,'6_3':0.0,'4_1':0.0,'8_8':0.0},(115,376):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(115,375):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(115,374):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(115,373):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0},(115,372):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0},(115,371):{'3_1':0.09,'4_1':0.0},(115,370):{'3_1':0.06,'6_3':0.0},(115,369):{'3_1':0.12,'4_1':0.0},(115,368):{'3_1':0.06,'5_2':0.0},(115,367):{'3_1':0.12,'5_1':0.0},(115,366):{'3_1':0.12,'6_3':0.0},(115,365):{'3_1':0.06,'4_1':0.0},(115,364):{'3_1':0.09,'4_1':0.0},(115,363):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(115,362):{'3_1':0.06,'5_1':0.0},(115,361):{'3_1':0.06},(115,360):{'3_1':0.06,'4_1':0.0},(115,359):{'3_1':0.06,'4_1':0.0},(115,358):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(115,357):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(115,356):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(115,355):{'3_1':0.09,'4_1':0.0},(115,354):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(115,353):{'3_1':0.12},(115,352):{'3_1':0.03},(115,351):{'3_1':0.03},(115,350):{'3_1':0.03,'4_1':0.0},(115,349):{'3_1':0.0,'6_3':0.0},(115,348):{'3_1':0.06,'5_2':0.0},(115,347):{'3_1':0.06,'6_1':0.0},(115,346):{'3_1':0.0,'6_2':0.0},(115,345):{'4_1':0.0,'3_1':0.0},(115,344):{'3_1':0.06,'5_2':0.0},(115,343):{'3_1':0.03,'4_1':0.0},(115,342):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0},(115,341):{'3_1':0.03,'4_1':0.0},(115,340):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(115,339):{'3_1':0.06,'4_1':0.03},(115,338):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0},(115,337):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(115,336):{'3_1':0.03,'5_2':0.0},(115,335):{'3_1':0.06,'4_1':0.0},(115,334):{'3_1':0.06,'4_1':0.0},(115,333):{'3_1':0.03,'6_1':0.0},(115,332):{'3_1':0.06,'4_1':0.0},(115,331):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(115,330):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(115,329):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(115,328):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(115,327):{'3_1':0.06,'4_1':0.03},(115,326):{'4_1':0.0,'3_1':0.0},(115,325):{'3_1':0.0,'4_1':0.0},(115,324):{'3_1':0.03,'4_1':0.0},(115,323):{'3_1':0.0,'4_1':0.0},(115,322):{'3_1':0.06,'4_1':0.0},(115,321):{'3_1':0.0},(115,320):{'3_1':0.03},(115,319):{'3_1':0.03},(115,318):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(115,317):{'3_1':0.0,'4_1':0.0},(115,316):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(115,315):{'3_1':0.0,'4_1':0.0},(115,314):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(115,313):{'3_1':0.09},(115,312):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(115,311):{'3_1':0.0,'4_1':0.0},(115,310):{'3_1':0.03,'4_1':0.0},(115,309):{'3_1':0.0},(115,308):{'3_1':0.0},(115,307):{'3_1':0.06,'6_2':0.0},(115,306):{'3_1':0.0,'4_1':0.0},(115,305):{'3_1':0.06,'4_1':0.0},(115,304):{'3_1':0.0,'5_1':0.0},(115,303):{'3_1':0.03},(115,302):{'3_1':0.06},(115,301):{'3_1':0.06},(115,300):{'3_1':0.0},(115,299):{'3_1':0.03},(115,298):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(115,297):{'3_1':0.06},(115,296):{'3_1':0.0},(115,295):{'3_1':0.0,'4_1':0.0},(115,294):{'3_1':0.03},(115,293):{'3_1':0.03},(115,292):{'3_1':0.06},(115,291):{'3_1':0.0,'4_1':0.0},(115,290):{'3_1':0.06,'4_1':0.0},(115,289):{'3_1':0.03,'4_1':0.0},(115,288):{'3_1':0.03},(115,287):{'3_1':0.03,'4_1':0.0},(115,286):{'3_1':0.0},(115,285):{'3_1':0.0,'6_2':0.0},(115,284):{'3_1':0.0},(115,283):{'3_1':0.03},(115,282):{'3_1':0.06},(115,281):{'3_1':0.03},(115,280):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(115,279):{'3_1':0.03,'4_1':0.0},(115,278):{'3_1':0.03},(115,277):{'3_1':0.0,'5_2':0.0},(115,276):{'3_1':0.0,'4_1':0.0},(115,274):{'3_1':0.06},(115,273):{'3_1':0.03,'4_1':0.0},(115,272):{'3_1':0.06},(115,271):{'3_1':0.0,'4_1':0.0},(115,270):{'3_1':0.03},(115,269):{'3_1':0.03,'4_1':0.0},(115,268):{'3_1':0.03},(115,267):{'3_1':0.03},(115,266):{'3_1':0.06,'4_1':0.0},(115,265):{'3_1':0.0},(115,264):{'3_1':0.0,'4_1':0.0},(115,263):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(115,262):{'3_1':0.06},(115,261):{'3_1':0.06,'4_1':0.0},(115,260):{'3_1':0.03,'4_1':0.0},(115,259):{'3_1':0.03},(115,258):{'3_1':0.06,'4_1':0.0},(115,257):{'3_1':0.03},(115,256):{'3_1':0.03},(115,255):{'3_1':0.0,'4_1':0.0},(115,254):{'3_1':0.0},(115,253):{'3_1':0.0},(115,252):{'3_1':0.0},(115,251):{'3_1':0.03},(115,250):{'5_2':0.0},(115,249):{'3_1':0.0},(115,248):{'3_1':0.0},(115,247):{'3_1':0.0},(115,246):{'3_1':0.0},(115,245):{'3_1':0.03},(115,244):{'3_1':0.0},(115,243):{'3_1':0.03},(115,242):{'3_1':0.0},(115,241):{'3_1':0.03},(115,240):{'3_1':0.0},(115,239):{'3_1':0.0},(115,238):{'3_1':0.03},(115,237):{'3_1':0.0},(115,236):{'3_1':0.03},(115,235):{'3_1':0.03},(115,234):{'3_1':0.0},(115,233):{'3_1':0.03},(115,231):{'3_1':0.0,'4_1':0.0},(115,230):{'3_1':0.03},(115,229):{'3_1':0.0,'4_1':0.0},(115,228):{'3_1':0.0},(115,227):{'3_1':0.0},(115,226):{'3_1':0.0},(115,225):{'3_1':0.0},(115,224):{'3_1':0.0},(115,223):{'3_1':0.0,'5_1':0.0},(115,222):{'3_1':0.0},(115,221):{'3_1':0.03},(115,220):{'3_1':0.03},(115,219):{'3_1':0.03},(115,217):{'3_1':0.0,'5_1':0.0},(115,216):{'3_1':0.03},(115,215):{'3_1':0.0},(115,214):{'3_1':0.0},(115,213):{'3_1':0.03},(115,212):{'3_1':0.0},(115,211):{'3_1':0.0,'5_2':0.0},(115,209):{'3_1':0.03},(115,208):{'3_1':0.0},(115,207):{'3_1':0.0},(115,206):{'3_1':0.0},(115,205):{'3_1':0.03},(115,204):{'3_1':0.0},(115,203):{'3_1':0.0},(115,202):{'5_1':0.0},(115,201):{'3_1':0.0},(115,200):{'3_1':0.03},(115,199):{'3_1':0.0},(115,198):{'3_1':0.0},(115,197):{'3_1':0.0},(115,196):{'3_1':0.03},(115,195):{'3_1':0.03},(115,194):{'3_1':0.0},(115,192):{'3_1':0.0},(115,191):{'3_1':0.0},(115,190):{'3_1':0.0},(115,187):{'3_1':0.0},(115,186):{'3_1':0.0},(115,185):{'3_1':0.0},(115,184):{'3_1':0.0},(115,183):{'3_1':0.0},(115,182):{'3_1':0.0},(115,181):{'3_1':0.0},(115,180):{'3_1':0.03},(115,179):{'3_1':0.03},(115,178):{'3_1':0.0},(115,176):{'3_1':0.0},(115,175):{'3_1':0.0},(115,173):{'3_1':0.0},(115,168):{'3_1':0.0},(115,165):{'3_1':0.0},(115,163):{'3_1':0.0},(115,161):{'3_1':0.0},(115,160):{'3_1':0.0},(115,159):{'3_1':0.0},(115,158):{'3_1':0.0},(115,157):{'3_1':0.0},(115,156):{'3_1':0.0},(115,155):{'3_1':0.03},(115,154):{'3_1':0.03},(115,151):{'3_1':0.03},(115,150):{'3_1':0.03},(115,149):{'3_1':0.0},(115,148):{'3_1':0.0},(115,147):{'3_1':0.0},(115,146):{'3_1':0.0},(115,145):{'3_1':0.0},(116,459):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_5':0.0},(116,458):{'3_1':0.81,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(116,457):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_7':0.0},(116,456):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0},(116,455):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'4_1':0.0},(116,454):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(116,453):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_7':0.0},(116,452):{'3_1':0.87,'6_2':0.0,'7_7':0.0,'5_1':0.0},(116,451):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(116,450):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(116,449):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(116,448):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0},(116,447):{'3_1':0.84,'5_1':0.0,'7_7':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(116,446):{'3_1':0.69,'8_20|3_1#3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(116,445):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_7':0.0,'6_2':0.0},(116,444):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(116,443):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(116,442):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(116,441):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(116,440):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'8_2':0.0,'4_1':0.0},(116,439):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(116,438):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(116,437):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_7':0.0},(116,436):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'8_2':0.0},(116,435):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(116,434):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_1':0.0},(116,433):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(116,432):{'3_1':0.75,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0},(116,431):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(116,430):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'8_2':0.0,'-3':0.0},(116,429):{'3_1':0.78,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(116,428):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'7_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(116,427):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_2':0.0,'4_1':0.0,'8_9':0.0},(116,426):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(116,425):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'6_1':0.0,'7_7':0.0,'8_2':0.0,'-3':0.0},(116,424):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(116,423):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(116,422):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(116,421):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(116,420):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(116,419):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_2':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0,'-3':0.0},(116,418):{'3_1':0.66,'5_1':0.06,'4_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(116,417):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_7':0.0},(116,416):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_5':0.0,'8_7':0.0},(116,415):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0,'1':-0.03},(116,414):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_2':0.03,'8_2':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(116,413):{'3_1':0.6,'5_1':0.09,'5_2':0.06,'8_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(116,412):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'8_2':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(116,411):{'3_1':0.51,'5_1':0.21,'5_2':0.06,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(116,410):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'4_1':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(116,409):{'3_1':0.66,'5_1':0.12,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'4_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(116,408):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'6_2':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_2':0.0},(116,407):{'3_1':0.69,'5_2':0.03,'5_1':0.03,'7_3':0.0,'6_2':0.0,'8_2':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(116,406):{'3_1':0.66,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0,'8_13':0.0},(116,405):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'-3':0.0,'8_20|3_1#3_1':0.0},(116,404):{'3_1':0.72,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_7':0.0,'8_11':0.0,'-3':0.0},(116,403):{'3_1':0.72,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(116,402):{'3_1':0.66,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(116,401):{'3_1':0.69,'5_1':0.03,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(116,400):{'3_1':0.63,'5_2':0.03,'6_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_9':0.0},(116,399):{'3_1':0.69,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(116,398):{'3_1':0.72,'5_1':0.03,'7_7':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(116,397):{'3_1':0.72,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(116,396):{'3_1':0.72,'5_1':0.0,'5_2':0.0,'4_1':0.0},(116,395):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'7_6':0.0,'6_3':0.0},(116,394):{'3_1':0.66,'5_2':0.03,'5_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(116,393):{'3_1':0.6,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_4':0.0},(116,392):{'3_1':0.57,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(116,391):{'3_1':0.63,'5_1':0.03,'5_2':0.0},(116,390):{'3_1':0.54,'5_2':0.06,'5_1':0.03,'7_6':0.0},(116,389):{'3_1':0.48,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(116,388):{'3_1':0.45,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0},(116,387):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(116,386):{'3_1':0.3,'5_1':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(116,385):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(116,384):{'3_1':0.15,'6_2':0.06,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0},(116,383):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0},(116,382):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(116,381):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(116,380):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0},(116,379):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(116,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(116,377):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(116,376):{'3_1':0.09,'4_1':0.06},(116,375):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_3':0.0},(116,374):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(116,373):{'3_1':0.12,'6_2':0.0,'6_3':0.0},(116,372):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(116,371):{'3_1':0.06,'4_1':0.0},(116,370):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(116,369):{'3_1':0.09,'4_1':0.0,'7_6':0.0},(116,368):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(116,367):{'3_1':0.09,'4_1':0.0},(116,366):{'3_1':0.09,'6_3':0.0},(116,365):{'3_1':0.09,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(116,364):{'3_1':0.06},(116,363):{'3_1':0.06,'4_1':0.0},(116,362):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(116,361):{'3_1':0.0,'6_2':0.0},(116,360):{'3_1':0.0,'4_1':0.0},(116,359):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(116,358):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(116,357):{'3_1':0.06,'4_1':0.0},(116,356):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(116,355):{'3_1':0.09,'4_1':0.0},(116,354):{'3_1':0.06,'6_2':0.0},(116,353):{'3_1':0.03,'4_1':0.0},(116,352):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(116,351):{'3_1':0.06,'5_1':0.0},(116,350):{'3_1':0.03,'4_1':0.0},(116,349):{'3_1':0.0},(116,348):{'3_1':0.03},(116,347):{'3_1':0.03,'4_1':0.0,'8_11':0.0},(116,346):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(116,345):{'3_1':0.03,'4_1':0.0},(116,344):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(116,343):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(116,342):{'3_1':0.09,'6_2':0.0,'6_1':0.0},(116,341):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(116,340):{'3_1':0.12,'6_2':0.0},(116,339):{'3_1':0.06},(116,338):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(116,337):{'3_1':0.03},(116,336):{'3_1':0.06,'4_1':0.0},(116,335):{'3_1':0.06,'4_1':0.0},(116,334):{'3_1':0.03,'4_1':0.0},(116,333):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(116,332):{'3_1':0.06,'4_1':0.0},(116,331):{'3_1':0.06,'4_1':0.0},(116,330):{'3_1':0.09,'4_1':0.0},(116,329):{'3_1':0.06,'4_1':0.0},(116,328):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(116,327):{'3_1':0.03,'4_1':0.0},(116,326):{'3_1':0.0,'4_1':0.0},(116,325):{'3_1':0.0,'4_1':0.0},(116,324):{'3_1':0.03,'4_1':0.0},(116,322):{'3_1':0.0,'4_1':0.0},(116,321):{'3_1':0.03},(116,320):{'3_1':0.03,'4_1':0.0},(116,319):{'3_1':0.03},(116,318):{'3_1':0.03,'4_1':0.0},(116,317):{'3_1':0.0,'4_1':0.0},(116,316):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(116,315):{'3_1':0.03,'4_1':0.0},(116,314):{'3_1':0.03,'4_1':0.0},(116,313):{'3_1':0.03},(116,312):{'3_1':0.0,'4_1':0.0},(116,311):{'3_1':0.0},(116,310):{'3_1':0.0,'4_1':0.0},(116,309):{'3_1':0.03,'4_1':0.0},(116,308):{'3_1':0.0},(116,307):{'3_1':0.0,'4_1':0.0},(116,305):{'3_1':0.0,'8_21|3_1#4_1':0.0},(116,304):{'3_1':0.03},(116,303):{'3_1':0.03},(116,302):{'3_1':0.09,'4_1':0.0},(116,300):{'3_1':0.03,'6_2':0.0},(116,299):{'3_1':0.03},(116,298):{'3_1':0.0,'4_1':0.0},(116,297):{'3_1':0.06,'4_1':0.0},(116,296):{'3_1':0.0,'4_1':0.0},(116,294):{'3_1':0.0},(116,293):{'3_1':0.03},(116,292):{'3_1':0.03,'5_1':0.0},(116,291):{'3_1':0.03,'4_1':0.0},(116,290):{'3_1':0.0},(116,289):{'3_1':0.0,'4_1':0.0},(116,288):{'3_1':0.03},(116,287):{'3_1':0.0},(116,286):{'3_1':0.03},(116,285):{'3_1':0.03},(116,284):{'3_1':0.0,'4_1':0.0},(116,283):{'3_1':0.06},(116,282):{'3_1':0.0},(116,281):{'3_1':0.0},(116,280):{'4_1':0.0,'5_2':0.0},(116,279):{'3_1':0.0,'4_1':0.0},(116,278):{'3_1':0.06,'4_1':0.0},(116,277):{'3_1':0.03,'4_1':0.0},(116,276):{'3_1':0.03},(116,275):{'3_1':0.0,'4_1':0.0},(116,274):{'3_1':0.03},(116,273):{'4_1':0.0},(116,272):{'3_1':0.06,'4_1':0.0},(116,271):{'3_1':0.0,'4_1':0.0},(116,270):{'3_1':0.06},(116,269):{'3_1':0.03},(116,268):{'3_1':0.03},(116,267):{'3_1':0.03},(116,266):{'3_1':0.03},(116,265):{'3_1':0.06},(116,264):{'3_1':0.03,'5_1':0.0},(116,263):{'3_1':0.12},(116,262):{'3_1':0.03},(116,261):{'3_1':0.03,'4_1':0.0},(116,260):{'3_1':0.03},(116,259):{'3_1':0.03},(116,258):{'3_1':0.03,'5_1':0.0},(116,257):{'3_1':0.06,'5_1':0.0},(116,256):{'3_1':0.03},(116,255):{'3_1':0.03},(116,254):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(116,253):{'3_1':0.03},(116,252):{'3_1':0.03},(116,251):{'3_1':0.03,'5_1':0.0},(116,250):{'3_1':0.0},(116,249):{'3_1':0.03},(116,248):{'3_1':0.03,'4_1':0.0},(116,246):{'3_1':0.03,'5_1':0.0},(116,245):{'3_1':0.03},(116,244):{'3_1':0.0},(116,243):{'3_1':0.0,'4_1':0.0},(116,242):{'3_1':0.03},(116,241):{'3_1':0.09},(116,240):{'3_1':0.0},(116,239):{'3_1':0.0},(116,238):{'3_1':0.03},(116,237):{'3_1':0.0,'5_2':0.0},(116,236):{'3_1':0.0},(116,235):{'3_1':0.0},(116,234):{'3_1':0.03},(116,232):{'3_1':0.0},(116,231):{'3_1':0.0},(116,230):{'3_1':0.0},(116,229):{'3_1':0.0},(116,228):{'3_1':0.03},(116,227):{'3_1':0.06},(116,226):{'3_1':0.0},(116,225):{'3_1':0.03},(116,224):{'3_1':0.03},(116,223):{'3_1':0.03},(116,222):{'3_1':0.03},(116,221):{'3_1':0.03},(116,220):{'3_1':0.0},(116,219):{'3_1':0.0},(116,218):{'3_1':0.0},(116,217):{'3_1':0.0},(116,216):{'3_1':0.03},(116,215):{'3_1':0.0},(116,214):{'3_1':0.03},(116,213):{'3_1':0.0},(116,212):{'3_1':0.0},(116,211):{'3_1':0.03},(116,210):{'3_1':0.0},(116,209):{'3_1':0.0},(116,208):{'3_1':0.03},(116,207):{'3_1':0.0},(116,206):{'3_1':0.03},(116,205):{'3_1':0.0},(116,204):{'3_1':0.0},(116,203):{'3_1':0.03,'5_2':0.0},(116,202):{'3_1':0.03},(116,201):{'3_1':0.0},(116,200):{'3_1':0.03},(116,199):{'3_1':0.0},(116,198):{'3_1':0.0},(116,197):{'3_1':0.0},(116,195):{'3_1':0.0},(116,194):{'3_1':0.0},(116,193):{'3_1':0.0},(116,192):{'3_1':0.03},(116,191):{'3_1':0.0},(116,190):{'3_1':0.0},(116,189):{'3_1':0.0},(116,188):{'3_1':0.0},(116,187):{'3_1':0.0},(116,186):{'3_1':0.0},(116,185):{'3_1':0.0},(116,184):{'3_1':0.0},(116,182):{'3_1':0.0},(116,181):{'3_1':0.0},(116,179):{'3_1':0.0},(116,178):{'3_1':0.0},(116,175):{'3_1':0.0},(116,174):{'3_1':0.0},(116,173):{'3_1':0.0},(116,172):{'3_1':0.0},(116,168):{'3_1':0.0},(116,167):{'3_1':0.0},(116,166):{'3_1':0.0},(116,165):{'3_1':0.0},(116,164):{'3_1':0.0},(116,163):{'3_1':0.0},(116,161):{'3_1':0.03},(116,159):{'3_1':0.0},(116,158):{'3_1':0.03},(116,157):{'3_1':0.03},(116,156):{'3_1':0.0},(116,155):{'3_1':0.0},(116,154):{'3_1':0.0},(116,153):{'3_1':0.0},(116,152):{'3_1':0.03},(116,151):{'3_1':0.0},(116,150):{'3_1':0.0},(116,149):{'3_1':0.0},(116,148):{'3_1':0.0},(116,147):{'3_1':0.0},(116,146):{'3_1':0.0},(117,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(117,458):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'4_1':0.0},(117,457):{'3_1':0.81,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'8_10':0.0},(117,456):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(117,455):{'3_1':0.87,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(117,454):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0},(117,453):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(117,452):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(117,451):{'3_1':0.78,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0},(117,450):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(117,449):{'3_1':0.84,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(117,448):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(117,447):{'3_1':0.84,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(117,446):{'3_1':0.87,'5_2':0.03,'5_1':0.0},(117,445):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0},(117,444):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_2':0.0},(117,443):{'3_1':0.84,'5_1':0.03,'5_2':0.0},(117,442):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(117,441):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(117,440):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(117,439):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(117,438):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'3_1#5_1':0.0},(117,437):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0},(117,436):{'3_1':0.78,'5_2':0.03,'8_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(117,435):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(117,434):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'-3':0.0},(117,433):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0},(117,432):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_3':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(117,431):{'3_1':0.84,'5_1':0.06,'7_3':0.0,'6_2':0.0},(117,430):{'3_1':0.75,'5_1':0.03,'8_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(117,429):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(117,428):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(117,427):{'3_1':0.75,'5_1':0.06,'5_2':0.06,'6_2':0.0,'8_2':0.0,'-3':0.0},(117,426):{'3_1':0.75,'5_1':0.03,'4_1':0.03,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(117,425):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(117,424):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0},(117,423):{'3_1':0.66,'5_1':0.06,'6_2':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_7':0.0},(117,422):{'3_1':0.75,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0},(117,421):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(117,420):{'3_1':0.57,'5_1':0.15,'5_2':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(117,419):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_3':0.0},(117,418):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(117,417):{'3_1':0.63,'5_1':0.15,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0},(117,416):{'3_1':0.69,'5_1':0.09,'8_2':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(117,415):{'3_1':0.66,'5_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(117,414):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(117,413):{'3_1':0.6,'5_1':0.12,'5_2':0.06,'6_2':0.0,'7_3':0.0,'4_1':0.0,'8_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(117,412):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(117,411):{'3_1':0.63,'5_1':0.06,'5_2':0.06,'6_2':0.03,'8_2':0.03,'4_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(117,410):{'3_1':0.6,'5_1':0.12,'5_2':0.06,'6_2':0.0,'8_2':0.0,'7_1':0.0,'-3':0.0},(117,409):{'3_1':0.63,'5_1':0.15,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(117,408):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.03,'7_2':0.0,'8_2':0.0,'4_1':0.0,'-3':0.0},(117,407):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(117,406):{'3_1':0.72,'5_1':0.06,'6_2':0.06,'5_2':0.0,'8_2':0.0,'7_3':0.0},(117,405):{'3_1':0.69,'5_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(117,404):{'3_1':0.75,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(117,403):{'3_1':0.75,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_11':0.0},(117,402):{'3_1':0.78,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(117,401):{'3_1':0.72,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(117,400):{'3_1':0.63,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(117,399):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(117,398):{'3_1':0.6,'5_1':0.06,'5_2':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(117,397):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'6_1':0.0,'8_11':0.0,'-3':0.0},(117,396):{'3_1':0.69,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_2':0.0,'7_7':0.0},(117,395):{'3_1':0.72,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_6':0.0},(117,394):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'8_15':0.0},(117,393):{'3_1':0.54,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_15':0.0,'6_1':0.0,'7_2':0.0},(117,392):{'3_1':0.57,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(117,391):{'3_1':0.51,'5_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0},(117,390):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0},(117,389):{'3_1':0.45,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0},(117,388):{'3_1':0.45,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(117,387):{'3_1':0.36,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(117,386):{'3_1':0.3,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0},(117,385):{'3_1':0.21,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_6':0.0},(117,384):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(117,383):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_1':0.0,'-3':0.0},(117,382):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0},(117,381):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(117,380):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(117,379):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0},(117,378):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0},(117,377):{'3_1':0.15,'5_2':0.0},(117,376):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(117,375):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(117,374):{'3_1':0.09,'5_1':0.0,'7_5':0.0},(117,373):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(117,372):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(117,371):{'3_1':0.06},(117,370):{'3_1':0.03},(117,369):{'3_1':0.03},(117,368):{'3_1':0.12,'5_2':0.0},(117,367):{'3_1':0.12,'4_1':0.0,'7_6':0.0},(117,366):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(117,365):{'3_1':0.06,'4_1':0.0},(117,364):{'3_1':0.12,'4_1':0.0},(117,363):{'3_1':0.09},(117,362):{'3_1':0.06},(117,361):{'3_1':0.03,'6_2':0.0,'6_3':0.0},(117,360):{'3_1':0.06,'4_1':0.03},(117,359):{'3_1':0.03},(117,358):{'3_1':0.0},(117,357):{'3_1':0.09},(117,356):{'3_1':0.06},(117,355):{'3_1':0.0},(117,354):{'3_1':0.03},(117,353):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(117,352):{'3_1':0.09,'4_1':0.0},(117,351):{'3_1':0.03,'6_3':0.0},(117,350):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(117,349):{'3_1':0.0,'6_2':0.0},(117,348):{'3_1':0.03},(117,347):{'3_1':0.0,'5_2':0.0},(117,346):{'3_1':0.0,'4_1':0.0},(117,345):{'3_1':0.0,'4_1':0.0},(117,344):{'3_1':0.0,'4_1':0.0},(117,343):{'3_1':0.03,'4_1':0.0},(117,342):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(117,341):{'3_1':0.0},(117,340):{'3_1':0.06,'4_1':0.0},(117,339):{'3_1':0.03,'4_1':0.0},(117,338):{'3_1':0.06,'4_1':0.0},(117,337):{'3_1':0.03,'4_1':0.0},(117,336):{'3_1':0.03,'4_1':0.0},(117,335):{'3_1':0.03},(117,334):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(117,333):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(117,332):{'3_1':0.09},(117,331):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(117,330):{'3_1':0.03},(117,329):{'3_1':0.03,'6_2':0.0,'6_3':0.0},(117,328):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0},(117,327):{'4_1':0.03,'3_1':0.0},(117,326):{'3_1':0.0,'6_1':0.0},(117,325):{'3_1':0.0,'4_1':0.0},(117,324):{'3_1':0.0},(117,323):{'3_1':0.0},(117,322):{'3_1':0.03},(117,321):{'3_1':0.0},(117,320):{'3_1':0.0},(117,319):{'3_1':0.03,'4_1':0.0},(117,318):{'3_1':0.0,'4_1':0.0},(117,317):{'3_1':0.0},(117,316):{'3_1':0.06},(117,315):{'3_1':0.0,'4_1':0.0},(117,314):{'4_1':0.0,'3_1':0.0},(117,313):{'3_1':0.0,'5_2':0.0},(117,312):{'3_1':0.0,'4_1':0.0},(117,311):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(117,310):{'3_1':0.0,'4_1':0.0},(117,309):{'3_1':0.0},(117,308):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(117,307):{'3_1':0.03},(117,306):{'3_1':0.0,'4_1':0.0},(117,305):{'3_1':0.0,'6_2':0.0},(117,304):{'3_1':0.03,'4_1':0.0},(117,303):{'3_1':0.03},(117,302):{'3_1':0.0},(117,301):{'3_1':0.03},(117,300):{'3_1':0.0,'4_1':0.0},(117,299):{'3_1':0.06},(117,298):{'3_1':0.0},(117,297):{'3_1':0.0,'6_3':0.0},(117,296):{'3_1':0.0,'4_1':0.0},(117,295):{'3_1':0.0},(117,294):{'4_1':0.0,'5_2':0.0},(117,293):{'3_1':0.0},(117,292):{'3_1':0.0,'4_1':0.0},(117,291):{'3_1':0.03},(117,290):{'3_1':0.03},(117,289):{'3_1':0.0},(117,288):{'3_1':0.0,'4_1':0.0},(117,287):{'3_1':0.03},(117,286):{'3_1':0.0},(117,283):{'3_1':0.0,'4_1':0.0},(117,282):{'3_1':0.0},(117,279):{'3_1':0.0},(117,278):{'3_1':0.0,'4_1':0.0},(117,277):{'3_1':0.0,'4_1':0.0},(117,276):{'3_1':0.06},(117,275):{'3_1':0.03,'4_1':0.0},(117,274):{'3_1':0.0},(117,273):{'3_1':0.0,'4_1':0.0},(117,272):{'3_1':0.03},(117,271):{'3_1':0.03},(117,270):{'3_1':0.03},(117,269):{'3_1':0.03},(117,268):{'3_1':0.06,'6_2':0.0},(117,267):{'3_1':0.06,'4_1':0.0},(117,266):{'3_1':0.0,'4_1':0.0},(117,265):{'3_1':0.03},(117,264):{'3_1':0.0},(117,263):{'3_1':0.09},(117,262):{'3_1':0.06},(117,261):{'3_1':0.06,'4_1':0.0},(117,260):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(117,259):{'3_1':0.03},(117,258):{'3_1':0.03},(117,257):{'3_1':0.06},(117,256):{'3_1':0.0},(117,255):{'3_1':0.03},(117,254):{'3_1':0.03},(117,253):{'3_1':0.03},(117,252):{'3_1':0.03},(117,251):{'3_1':0.0,'4_1':0.0},(117,250):{'3_1':0.03},(117,249):{'3_1':0.0},(117,248):{'3_1':0.03,'6_2':0.0},(117,247):{'3_1':0.03},(117,246):{'3_1':0.0},(117,245):{'3_1':0.0},(117,244):{'3_1':0.0},(117,243):{'3_1':0.0},(117,242):{'3_1':0.0},(117,240):{'3_1':0.0},(117,239):{'3_1':0.0},(117,238):{'3_1':0.03},(117,236):{'3_1':0.0},(117,234):{'3_1':0.0},(117,232):{'3_1':0.0},(117,231):{'3_1':0.03},(117,230):{'3_1':0.03},(117,229):{'3_1':0.0},(117,228):{'3_1':0.03},(117,227):{'3_1':0.0},(117,226):{'3_1':0.03},(117,225):{'3_1':0.0,'4_1':0.0},(117,224):{'3_1':0.0},(117,223):{'3_1':0.0},(117,222):{'3_1':0.03},(117,220):{'3_1':0.03},(117,219):{'3_1':0.0},(117,218):{'3_1':0.03},(117,217):{'3_1':0.0},(117,216):{'3_1':0.03},(117,215):{'3_1':0.0},(117,214):{'3_1':0.03},(117,213):{'3_1':0.0},(117,212):{'3_1':0.0},(117,211):{'3_1':0.0},(117,210):{'3_1':0.0},(117,209):{'3_1':0.0},(117,208):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(117,207):{'3_1':0.0},(117,206):{'3_1':0.0},(117,205):{'3_1':0.03,'5_2':0.0},(117,204):{'3_1':0.0},(117,203):{'3_1':0.0},(117,202):{'3_1':0.06},(117,201):{'3_1':0.0},(117,200):{'3_1':0.0},(117,199):{'3_1':0.03},(117,198):{'3_1':0.0},(117,195):{'3_1':0.0},(117,194):{'3_1':0.0},(117,193):{'3_1':0.0},(117,191):{'3_1':0.0},(117,190):{'3_1':0.0},(117,188):{'3_1':0.0},(117,187):{'3_1':0.0},(117,186):{'3_1':0.06},(117,185):{'3_1':0.0},(117,184):{'3_1':0.0},(117,183):{'3_1':0.0},(117,182):{'3_1':0.0},(117,180):{'3_1':0.0},(117,179):{'3_1':0.0},(117,178):{'3_1':0.0},(117,176):{'3_1':0.0},(117,174):{'3_1':0.0},(117,171):{'3_1':0.0},(117,170):{'3_1':0.0},(117,167):{'3_1':0.0},(117,166):{'3_1':0.0},(117,165):{'3_1':0.0},(117,164):{'3_1':0.0},(117,162):{'3_1':0.0},(117,161):{'3_1':0.0},(117,160):{'3_1':0.0},(117,159):{'3_1':0.0},(117,158):{'3_1':0.0},(117,157):{'3_1':0.0},(117,156):{'3_1':0.0},(117,153):{'3_1':0.0},(117,151):{'3_1':0.0},(117,150):{'3_1':0.0},(117,147):{'3_1':0.0},(117,146):{'3_1':0.0},(118,459):{'3_1':0.84,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(118,458):{'3_1':0.9,'4_1':0.0,'5_1':0.0},(118,457):{'3_1':0.81,'5_2':0.0,'5_1':0.0,'7_3':0.0},(118,456):{'3_1':0.9,'5_1':0.0},(118,455):{'3_1':0.84,'5_1':0.0,'7_1':0.0},(118,454):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_1':0.0},(118,453):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(118,452):{'3_1':0.87,'5_1':0.0,'5_2':0.0},(118,451):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'4_1':0.0},(118,450):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'4_1':0.0,'3_1#5_1':0.0},(118,449):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(118,448):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_1':0.0},(118,447):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(118,446):{'3_1':0.84,'5_1':0.06,'5_2':0.0},(118,445):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0},(118,444):{'3_1':0.78,'8_2':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(118,443):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0},(118,442):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(118,441):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(118,440):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(118,439):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'6_2':0.0},(118,438):{'3_1':0.75,'5_2':0.03,'5_1':0.03,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(118,437):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(118,436):{'3_1':0.78,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0},(118,435):{'3_1':0.75,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(118,434):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'4_1':0.0},(118,433):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(118,432):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(118,431):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_3':0.0},(118,430):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(118,429):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(118,428):{'3_1':0.78,'5_2':0.06,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(118,427):{'3_1':0.72,'5_2':0.03,'5_1':0.03,'8_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0},(118,426):{'3_1':0.78,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(118,425):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'-3':0.0},(118,424):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(118,423):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0},(118,422):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0,'-3':0.0},(118,421):{'3_1':0.75,'5_2':0.06,'5_1':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(118,420):{'3_1':0.69,'5_1':0.09,'4_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'8_10':0.0},(118,419):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(118,418):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0},(118,417):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'4_1':0.03,'8_2':0.0,'7_1':0.0,'-3':0.0},(118,416):{'3_1':0.66,'5_2':0.06,'8_2':0.03,'5_1':0.03,'6_2':0.0,'4_1':0.0,'7_5':0.0},(118,415):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'6_2':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(118,414):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(118,413):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(118,412):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'7_1':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_16':0.0,'3_1#5_1':0.0},(118,411):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'8_2':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0},(118,410):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'8_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(118,409):{'3_1':0.57,'5_1':0.15,'5_2':0.06,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(118,408):{'3_1':0.63,'5_1':0.09,'6_2':0.06,'8_2':0.0,'4_1':0.0,'5_2':0.0,'8_11':0.0},(118,407):{'3_1':0.75,'5_2':0.03,'5_1':0.03,'8_2':0.0,'4_1':0.0,'7_2':0.0},(118,406):{'3_1':0.66,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0,'8_4':0.0},(118,405):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0},(118,404):{'3_1':0.75,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0},(118,403):{'3_1':0.75,'5_2':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0},(118,402):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(118,401):{'3_1':0.75,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(118,400):{'3_1':0.78,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(118,399):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(118,398):{'3_1':0.75,'5_2':0.0,'5_1':0.0,'4_1':0.0},(118,397):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0},(118,396):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'4_1':0.0},(118,395):{'3_1':0.63,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(118,394):{'3_1':0.66,'5_2':0.03,'5_1':0.03,'4_1':0.0},(118,393):{'3_1':0.57,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(118,392):{'3_1':0.63,'5_1':0.03,'4_1':0.03,'7_5':0.0,'7_6':0.0},(118,391):{'3_1':0.63,'5_2':0.03,'5_1':0.0,'4_1':0.0},(118,390):{'3_1':0.45,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(118,389):{'3_1':0.36,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(118,388):{'3_1':0.33,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(118,387):{'3_1':0.36,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0},(118,386):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(118,385):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(118,384):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'8_16':0.0,'-3':0.0},(118,383):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'7_3':0.0,'7_6':0.0},(118,382):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(118,381):{'3_1':0.09,'5_2':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0,'8_1':0.0,'-3':0.0},(118,380):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(118,379):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(118,378):{'3_1':0.06,'5_2':0.03,'6_3':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0},(118,377):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(118,376):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(118,375):{'3_1':0.12,'4_1':0.0},(118,374):{'3_1':0.06,'4_1':0.0},(118,373):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(118,372):{'3_1':0.12,'5_2':0.0},(118,371):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(118,370):{'3_1':0.09,'4_1':0.0},(118,369):{'3_1':0.15},(118,368):{'3_1':0.09,'4_1':0.0},(118,367):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(118,366):{'3_1':0.12},(118,365):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(118,364):{'3_1':0.09,'4_1':0.0},(118,363):{'3_1':0.06,'4_1':0.0},(118,362):{'3_1':0.09},(118,361):{'3_1':0.03},(118,360):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(118,359):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(118,358):{'3_1':0.03,'4_1':0.0},(118,357):{'3_1':0.06,'8_20|3_1#3_1':0.0},(118,356):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(118,355):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(118,354):{'3_1':0.0},(118,353):{'3_1':0.03},(118,352):{'3_1':0.06},(118,351):{'3_1':0.03},(118,350):{'3_1':0.0},(118,349):{'3_1':0.03,'4_1':0.0},(118,348):{'3_1':0.0,'6_3':0.0},(118,347):{'3_1':0.03,'5_1':0.0},(118,346):{'3_1':0.03,'4_1':0.0},(118,345):{'3_1':0.0},(118,344):{'3_1':0.06,'4_1':0.0},(118,343):{'3_1':0.0,'4_1':0.0},(118,342):{'3_1':0.06},(118,341):{'3_1':0.03,'4_1':0.0},(118,340):{'3_1':0.03,'4_1':0.0},(118,339):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(118,338):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0},(118,337):{'3_1':0.0,'4_1':0.0},(118,336):{'3_1':0.03,'4_1':0.0},(118,335):{'3_1':0.03,'4_1':0.0},(118,334):{'3_1':0.03,'4_1':0.03},(118,333):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(118,332):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(118,331):{'3_1':0.03,'4_1':0.0},(118,330):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(118,329):{'3_1':0.03},(118,328):{'3_1':0.0,'4_1':0.0},(118,327):{'3_1':0.03},(118,326):{'3_1':0.0,'4_1':0.0},(118,325):{'3_1':0.0,'4_1':0.0},(118,324):{'3_1':0.0,'4_1':0.0},(118,323):{'3_1':0.03,'4_1':0.0},(118,322):{'3_1':0.03},(118,321):{'3_1':0.0},(118,320):{'3_1':0.06,'4_1':0.0},(118,319):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(118,318):{'3_1':0.0},(118,317):{'3_1':0.03,'5_1':0.0},(118,316):{'3_1':0.0,'6_3':0.0},(118,315):{'3_1':0.06,'4_1':0.0},(118,314):{'3_1':0.0,'5_1':0.0},(118,313):{'3_1':0.0},(118,312):{'3_1':0.0,'4_1':0.0},(118,311):{'3_1':0.0,'4_1':0.0},(118,310):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(118,309):{'3_1':0.0,'4_1':0.0},(118,308):{'3_1':0.03,'6_2':0.0},(118,307):{'3_1':0.03},(118,306):{'3_1':0.0},(118,305):{'3_1':0.03,'4_1':0.0},(118,304):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(118,303):{'3_1':0.0},(118,302):{'3_1':0.0},(118,301):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(118,300):{'3_1':0.0,'4_1':0.0},(118,299):{'3_1':0.03,'4_1':0.0},(118,298):{'3_1':0.0,'4_1':0.0},(118,297):{'3_1':0.0},(118,296):{'3_1':0.0},(118,295):{'3_1':0.0,'4_1':0.0},(118,294):{'3_1':0.0,'6_2':0.0},(118,293):{'3_1':0.03,'4_1':0.0},(118,292):{'3_1':0.03,'5_2':0.0},(118,291):{'3_1':0.0,'4_1':0.0},(118,290):{'4_1':0.0,'3_1':0.0},(118,289):{'3_1':0.0},(118,288):{'3_1':0.0},(118,287):{'3_1':0.0,'4_1':0.0},(118,286):{'3_1':0.0},(118,285):{'3_1':0.03,'4_1':0.0},(118,283):{'3_1':0.03},(118,282):{'3_1':0.0},(118,281):{'3_1':0.0},(118,280):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(118,279):{'3_1':0.0,'4_1':0.0},(118,278):{'3_1':0.0},(118,277):{'3_1':0.0,'4_1':0.0},(118,276):{'3_1':0.0},(118,275):{'3_1':0.03,'4_1':0.0},(118,274):{'3_1':0.03},(118,273):{'3_1':0.0,'4_1':0.0},(118,272):{'3_1':0.03,'4_1':0.0},(118,271):{'3_1':0.06,'4_1':0.0},(118,270):{'3_1':0.03},(118,269):{'3_1':0.03},(118,268):{'3_1':0.06,'4_1':0.0},(118,267):{'3_1':0.03,'4_1':0.0},(118,266):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(118,265):{'3_1':0.03,'4_1':0.0},(118,264):{'3_1':0.06,'4_1':0.0},(118,263):{'3_1':0.03,'6_2':0.0},(118,262):{'3_1':0.03,'6_2':0.0},(118,261):{'3_1':0.06},(118,260):{'3_1':0.03},(118,259):{'3_1':0.0},(118,258):{'3_1':0.0,'5_1':0.0},(118,257):{'3_1':0.0,'5_2':0.0},(118,256):{'3_1':0.03,'4_1':0.0},(118,255):{'3_1':0.06},(118,254):{'3_1':0.03},(118,253):{'3_1':0.0},(118,252):{'3_1':0.03},(118,251):{'3_1':0.03},(118,250):{'3_1':0.0},(118,249):{'3_1':0.0},(118,248):{'3_1':0.06},(118,247):{'3_1':0.0},(118,246):{'3_1':0.0},(118,245):{'3_1':0.0},(118,244):{'3_1':0.03},(118,243):{'3_1':0.0},(118,242):{'3_1':0.0},(118,241):{'3_1':0.0},(118,240):{'3_1':0.0},(118,239):{'3_1':0.03},(118,238):{'3_1':0.0},(118,237):{'3_1':0.0},(118,236):{'3_1':0.03},(118,235):{'3_1':0.0},(118,234):{'3_1':0.0},(118,233):{'3_1':0.0,'4_1':0.0},(118,232):{'3_1':0.0},(118,231):{'3_1':0.0},(118,230):{'3_1':0.0},(118,229):{'3_1':0.0},(118,228):{'3_1':0.0},(118,227):{'3_1':0.03},(118,226):{'3_1':0.0},(118,225):{'3_1':0.0},(118,224):{'3_1':0.0},(118,223):{'3_1':0.0},(118,222):{'3_1':0.0},(118,221):{'3_1':0.0},(118,220):{'3_1':0.0},(118,219):{'3_1':0.0},(118,218):{'3_1':0.0},(118,217):{'3_1':0.03},(118,215):{'3_1':0.0},(118,214):{'3_1':0.03},(118,213):{'3_1':0.0},(118,211):{'3_1':0.03},(118,210):{'3_1':0.0,'5_2':0.0},(118,209):{'3_1':0.03},(118,208):{'3_1':0.03,'4_1':0.0},(118,207):{'3_1':0.03},(118,206):{'3_1':0.03},(118,205):{'3_1':0.03},(118,204):{'3_1':0.03},(118,203):{'3_1':0.0},(118,202):{'3_1':0.0},(118,201):{'3_1':0.03},(118,200):{'3_1':0.0},(118,199):{'3_1':0.03},(118,198):{'3_1':0.03},(118,197):{'3_1':0.0},(118,196):{'3_1':0.0},(118,195):{'3_1':0.0},(118,193):{'3_1':0.0},(118,192):{'3_1':0.0},(118,191):{'3_1':0.0},(118,190):{'3_1':0.0},(118,189):{'3_1':0.0},(118,188):{'3_1':0.0},(118,187):{'3_1':0.0},(118,185):{'3_1':0.0},(118,184):{'3_1':0.0},(118,183):{'3_1':0.0},(118,181):{'3_1':0.0},(118,180):{'3_1':0.0},(118,179):{'3_1':0.0},(118,178):{'3_1':0.0},(118,177):{'3_1':0.0},(118,176):{'3_1':0.03},(118,174):{'3_1':0.0},(118,172):{'3_1':0.0},(118,168):{'3_1':0.0},(118,161):{'3_1':0.0},(118,158):{'3_1':0.0},(118,157):{'3_1':0.0},(118,156):{'3_1':0.03},(118,155):{'3_1':0.0},(118,154):{'3_1':0.0},(118,152):{'3_1':0.0},(118,151):{'3_1':0.0},(118,150):{'3_1':0.0},(118,147):{'3_1':0.0},(119,459):{'3_1':0.9,'5_1':0.0},(119,458):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0},(119,457):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_5':0.0},(119,456):{'3_1':0.84,'4_1':0.0,'5_2':0.0,'8_2':0.0,'5_1':0.0},(119,455):{'3_1':0.9,'5_1':0.0},(119,454):{'3_1':0.84,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0},(119,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(119,452):{'3_1':0.87,'4_1':0.0,'7_1':0.0},(119,451):{'3_1':0.87,'5_1':0.0,'5_2':0.0},(119,450):{'3_1':0.81,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(119,449):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0},(119,448):{'3_1':0.84,'5_1':0.03,'7_1':0.0,'7_5':0.0},(119,447):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(119,446):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0},(119,445):{'3_1':0.87,'5_1':0.0},(119,444):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'7_1':0.0},(119,443):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(119,442):{'3_1':0.75,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(119,441):{'3_1':0.75,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0},(119,440):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_2':0.0},(119,439):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0},(119,438):{'3_1':0.78,'5_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(119,437):{'3_1':0.81,'5_2':0.0,'7_3':0.0,'8_2':0.0},(119,436):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(119,435):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0},(119,434):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0},(119,433):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(119,432):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0},(119,431):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'4_1':0.0},(119,430):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0},(119,429):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'7_5':0.0,'-3':0.0},(119,428):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0,'4_1':0.0},(119,427):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(119,426):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(119,425):{'3_1':0.78,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(119,424):{'3_1':0.75,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(119,423):{'3_1':0.81,'5_1':0.0,'4_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(119,422):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(119,421):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(119,420):{'3_1':0.78,'5_1':0.03,'8_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_14':0.0},(119,419):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'7_1':0.0,'8_6':0.0},(119,418):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'8_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(119,417):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(119,416):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(119,415):{'3_1':0.6,'5_1':0.12,'5_2':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_5':0.0,'8_4':0.0,'8_7':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(119,414):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'8_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(119,413):{'3_1':0.6,'5_1':0.24,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(119,412):{'3_1':0.72,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'8_6':0.0,'8_9':0.0},(119,411):{'3_1':0.66,'5_1':0.09,'6_2':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(119,410):{'3_1':0.69,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'8_4':0.0,'7_1':0.0},(119,409):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0,'7_2':0.0,'-3':0.0},(119,408):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_2':0.0,'6_2':0.0,'-3':0.0},(119,407):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0},(119,406):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(119,405):{'3_1':0.72,'5_1':0.06,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(119,404):{'3_1':0.72,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(119,403):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0},(119,402):{'3_1':0.72,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(119,401):{'3_1':0.78,'5_1':0.0,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(119,400):{'3_1':0.69,'5_2':0.06,'6_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(119,399):{'3_1':0.66,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(119,398):{'3_1':0.75,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(119,397):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'4_1':0.0},(119,396):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(119,395):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0},(119,394):{'3_1':0.66,'5_2':0.0,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(119,393):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(119,392):{'3_1':0.54,'5_1':0.03,'5_2':0.03,'7_6':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(119,391):{'3_1':0.6,'5_2':0.03,'5_1':0.03,'4_1':0.0},(119,390):{'3_1':0.51,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_6':0.0},(119,389):{'3_1':0.54,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(119,388):{'3_1':0.51,'5_1':0.03,'4_1':0.0,'6_2':0.0},(119,387):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(119,386):{'3_1':0.3,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(119,385):{'3_1':0.21,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(119,384):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(119,383):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(119,382):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(119,381):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0},(119,380):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(119,379):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0},(119,378):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(119,377):{'3_1':0.03,'4_1':0.0},(119,376):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(119,375):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(119,374):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(119,373):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(119,372):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(119,371):{'3_1':0.06,'4_1':0.0},(119,370):{'3_1':0.09,'4_1':0.0},(119,369):{'3_1':0.03},(119,368):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(119,367):{'3_1':0.09},(119,366):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(119,365):{'3_1':0.06,'5_2':0.0},(119,364):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(119,363):{'3_1':0.0,'6_2':0.0,'6_3':0.0},(119,362):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(119,361):{'3_1':0.0},(119,360):{'3_1':0.0,'8_20|3_1#3_1':0.0},(119,359):{'3_1':0.06},(119,358):{'3_1':0.03,'4_1':0.0},(119,357):{'3_1':0.0},(119,356):{'3_1':0.06,'4_1':0.0},(119,355):{'3_1':0.03,'8_20|3_1#3_1':0.0},(119,354):{'3_1':0.03,'4_1':0.0},(119,353):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(119,352):{'3_1':0.06,'8_20|3_1#3_1':0.0},(119,351):{'3_1':0.09},(119,350):{'3_1':0.0},(119,349):{'3_1':0.03},(119,348):{'3_1':0.03},(119,347):{'3_1':0.0},(119,346):{'3_1':0.03,'4_1':0.0},(119,345):{'3_1':0.0},(119,344):{'3_1':0.06,'4_1':0.0},(119,343):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(119,342):{'3_1':0.03},(119,341):{'3_1':0.06,'4_1':0.0},(119,340):{'3_1':0.03},(119,339):{'3_1':0.03,'4_1':0.0},(119,338):{'3_1':0.03,'8_20|3_1#3_1':0.0},(119,337):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(119,336):{'3_1':0.0},(119,335):{'3_1':0.03,'4_1':0.0},(119,334):{'3_1':0.03,'4_1':0.0},(119,333):{'3_1':0.06,'4_1':0.0},(119,332):{'3_1':0.06,'4_1':0.0},(119,331):{'3_1':0.03,'4_1':0.0},(119,330):{'3_1':0.03,'4_1':0.0},(119,329):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(119,328):{'3_1':0.0,'4_1':0.0},(119,327):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(119,326):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(119,325):{'3_1':0.03},(119,324):{'3_1':0.03},(119,323):{'4_1':0.03,'3_1':0.0},(119,322):{'3_1':0.0},(119,321):{'4_1':0.0,'6_3':0.0},(119,320):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(119,319):{'3_1':0.0},(119,318):{'3_1':0.03},(119,317):{'3_1':0.0},(119,316):{'3_1':0.03},(119,315):{'3_1':0.06},(119,314):{'3_1':0.0,'4_1':0.0},(119,313):{'3_1':0.03,'6_2':0.0},(119,312):{'3_1':0.0},(119,311):{'3_1':0.03,'4_1':0.0},(119,310):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(119,309):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(119,308):{'3_1':0.0},(119,307):{'3_1':0.03,'4_1':0.0},(119,306):{'3_1':0.03},(119,305):{'5_2':0.0,'8_21|3_1#4_1':0.0},(119,304):{'3_1':0.0},(119,303):{'3_1':0.03,'5_2':0.0},(119,302):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(119,301):{'3_1':0.03},(119,300):{'3_1':0.0,'5_2':0.0},(119,299):{'3_1':0.06,'4_1':0.0},(119,297):{'3_1':0.0,'4_1':0.0},(119,296):{'3_1':0.0},(119,295):{'3_1':0.0},(119,294):{'3_1':0.0,'6_2':0.0},(119,293):{'3_1':0.0},(119,292):{'3_1':0.0,'5_1':0.0},(119,291):{'3_1':0.03},(119,290):{'3_1':0.0,'6_2':0.0},(119,289):{'3_1':0.0},(119,288):{'3_1':0.03,'4_1':0.0},(119,287):{'3_1':0.0,'6_2':0.0},(119,285):{'3_1':0.0},(119,284):{'3_1':0.03,'4_1':0.0},(119,283):{'3_1':0.03,'4_1':0.0},(119,282):{'4_1':0.0,'3_1':0.0},(119,281):{'3_1':0.03},(119,280):{'3_1':0.03},(119,279):{'3_1':0.0,'4_1':0.0},(119,278):{'3_1':0.0},(119,277):{'3_1':0.03},(119,276):{'3_1':0.06},(119,275):{'3_1':0.0},(119,274):{'3_1':0.03,'4_1':0.0},(119,273):{'3_1':0.0,'6_2':0.0},(119,272):{'3_1':0.03},(119,271):{'3_1':0.0,'4_1':0.0},(119,270):{'3_1':0.03,'6_2':0.0},(119,269):{'3_1':0.03,'4_1':0.0},(119,268):{'3_1':0.03,'4_1':0.0},(119,267):{'3_1':0.06,'4_1':0.0},(119,266):{'3_1':0.0},(119,265):{'3_1':0.03},(119,264):{'3_1':0.06},(119,263):{'3_1':0.03},(119,262):{'3_1':0.03},(119,261):{'3_1':0.03,'4_1':0.0},(119,260):{'3_1':0.03,'4_1':0.0},(119,259):{'3_1':0.03,'4_1':0.0},(119,258):{'3_1':0.06},(119,257):{'3_1':0.0,'4_1':0.0},(119,256):{'3_1':0.03,'5_2':0.0},(119,255):{'3_1':0.03},(119,254):{'3_1':0.0},(119,253):{'3_1':0.03,'4_1':0.0},(119,252):{'3_1':0.0,'4_1':0.0},(119,251):{'3_1':0.03},(119,250):{'3_1':0.0},(119,249):{'3_1':0.03,'4_1':0.0},(119,248):{'3_1':0.0,'4_1':0.0},(119,247):{'3_1':0.0,'4_1':0.0},(119,246):{'3_1':0.03,'4_1':0.0},(119,245):{'3_1':0.03},(119,244):{'3_1':0.0},(119,243):{'3_1':0.0},(119,242):{'3_1':0.03},(119,241):{'3_1':0.06},(119,239):{'3_1':0.0},(119,238):{'3_1':0.0},(119,236):{'3_1':0.0},(119,235):{'3_1':0.0},(119,234):{'3_1':0.0},(119,233):{'3_1':0.0},(119,231):{'3_1':0.0},(119,230):{'3_1':0.0},(119,228):{'3_1':0.0},(119,227):{'3_1':0.0},(119,226):{'3_1':0.03},(119,225):{'3_1':0.03,'5_2':0.0},(119,224):{'3_1':0.0},(119,223):{'3_1':0.0},(119,222):{'3_1':0.0},(119,221):{'3_1':0.0},(119,220):{'3_1':0.0},(119,219):{'3_1':0.0},(119,218):{'3_1':0.0},(119,217):{'3_1':0.03},(119,216):{'3_1':0.03},(119,215):{'3_1':0.0},(119,214):{'3_1':0.03},(119,213):{'3_1':0.0},(119,212):{'3_1':0.0},(119,211):{'3_1':0.03},(119,210):{'3_1':0.0},(119,209):{'3_1':0.03},(119,208):{'3_1':0.0},(119,207):{'3_1':0.03},(119,206):{'3_1':0.0},(119,205):{'3_1':0.0},(119,204):{'3_1':0.03},(119,203):{'3_1':0.0},(119,202):{'3_1':0.0},(119,201):{'3_1':0.0},(119,200):{'3_1':0.0},(119,199):{'3_1':0.03},(119,198):{'3_1':0.03},(119,197):{'3_1':0.0},(119,196):{'3_1':0.0},(119,195):{'3_1':0.03},(119,194):{'3_1':0.0},(119,193):{'3_1':0.0},(119,192):{'3_1':0.0},(119,190):{'3_1':0.0},(119,189):{'3_1':0.0},(119,187):{'3_1':0.0},(119,186):{'3_1':0.0},(119,185):{'3_1':0.0},(119,184):{'3_1':0.0},(119,183):{'3_1':0.0},(119,181):{'3_1':0.0},(119,180):{'3_1':0.0},(119,179):{'3_1':0.0},(119,177):{'3_1':0.0},(119,170):{'3_1':0.0},(119,164):{'3_1':0.0},(119,163):{'3_1':0.0},(119,162):{'3_1':0.0},(119,161):{'3_1':0.0},(119,160):{'3_1':0.0},(119,158):{'3_1':0.0},(119,156):{'3_1':0.0},(119,155):{'3_1':0.0},(119,154):{'5_1':0.0},(119,149):{'3_1':0.0},(119,147):{'3_1':0.0},(120,459):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0},(120,458):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(120,457):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(120,456):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'6_2':0.0},(120,455):{'3_1':0.9,'4_1':0.0,'5_2':0.0},(120,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(120,453):{'3_1':0.87,'5_1':0.0,'5_2':0.0},(120,452):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(120,451):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(120,450):{'3_1':0.84,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0},(120,449):{'3_1':0.84,'5_1':0.0,'5_2':0.0},(120,448):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(120,447):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(120,446):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(120,445):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(120,444):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_8':0.0},(120,443):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'5_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(120,442):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'8_2':0.0,'8_4':0.0},(120,441):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(120,440):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(120,439):{'3_1':0.81,'8_20|3_1#3_1':0.03,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0},(120,438):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0},(120,437):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0},(120,436):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'7_3':0.0},(120,435):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'8_6':0.0},(120,434):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(120,433):{'3_1':0.78,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(120,432):{'3_1':0.75,'5_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(120,431):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'7_1':0.0},(120,430):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(120,429):{'3_1':0.78,'5_1':0.0,'8_2':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(120,428):{'3_1':0.72,'5_1':0.06,'6_2':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_2':0.0,'8_14':0.0,'-3':0.0},(120,427):{'3_1':0.72,'5_1':0.06,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_2':0.0},(120,426):{'3_1':0.81,'5_2':0.0,'6_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(120,425):{'3_1':0.84,'5_1':0.03,'4_1':0.0},(120,424):{'3_1':0.69,'5_1':0.06,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0},(120,423):{'3_1':0.69,'8_2':0.06,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(120,422):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(120,421):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(120,420):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_4':0.0,'8_14':0.0},(120,419):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(120,418):{'3_1':0.69,'5_1':0.09,'8_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'-3':0.0},(120,417):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'8_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_9':0.0},(120,416):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(120,415):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_2':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(120,414):{'3_1':0.63,'5_1':0.09,'8_2':0.03,'5_2':0.03,'6_2':0.03,'4_1':0.0,'-3':0.0},(120,413):{'3_1':0.6,'5_1':0.15,'5_2':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0},(120,412):{'3_1':0.66,'5_1':0.15,'6_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_4':0.0},(120,411):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.03,'8_2':0.0,'8_6':0.0,'-3':0.0},(120,410):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(120,409):{'3_1':0.57,'5_1':0.12,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(120,408):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_1':0.0,'-3':0.0},(120,407):{'3_1':0.75,'5_2':0.03,'6_2':0.03,'5_1':0.03,'4_1':0.0,'7_2':0.0,'8_2':0.0,'-3':0.0},(120,406):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0},(120,405):{'3_1':0.75,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(120,404):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'4_1':0.0,'8_11':0.0},(120,403):{'3_1':0.69,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'8_11':0.0},(120,402):{'3_1':0.69,'5_1':0.03,'6_2':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0},(120,401):{'3_1':0.75,'6_2':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(120,400):{'3_1':0.72,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(120,399):{'3_1':0.78,'5_2':0.0,'4_1':0.0,'5_1':0.0},(120,398):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(120,397):{'3_1':0.69,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_19':0.0},(120,396):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(120,395):{'3_1':0.69,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0},(120,394):{'3_1':0.63,'5_1':0.03,'5_2':0.0},(120,393):{'3_1':0.6,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_5':0.0},(120,392):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0},(120,391):{'3_1':0.51,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_6':0.0},(120,390):{'3_1':0.51,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(120,389):{'3_1':0.42,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(120,388):{'3_1':0.48,'5_2':0.03,'4_1':0.0,'5_1':0.0},(120,387):{'3_1':0.33,'6_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0},(120,386):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(120,385):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(120,384):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(120,383):{'3_1':0.12,'6_2':0.03,'4_1':0.03,'5_1':0.0,'7_6':0.0,'8_19':0.0},(120,382):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(120,381):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_6':0.0},(120,380):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(120,379):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_3':0.0,'-3':0.0},(120,378):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(120,377):{'3_1':0.03},(120,376):{'3_1':0.06,'4_1':0.0},(120,375):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(120,374):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(120,373):{'3_1':0.06,'4_1':0.0},(120,372):{'3_1':0.06},(120,371):{'3_1':0.03,'-3':0.0},(120,370):{'3_1':0.06,'6_3':0.0,'7_5':0.0},(120,369):{'3_1':0.09},(120,368):{'3_1':0.03},(120,367):{'3_1':0.03},(120,366):{'3_1':0.09,'5_2':0.0},(120,365):{'3_1':0.09},(120,364):{'3_1':0.03,'4_1':0.0},(120,363):{'3_1':0.06,'4_1':0.0},(120,362):{'3_1':0.0,'4_1':0.0},(120,361):{'3_1':0.06,'4_1':0.0},(120,360):{'3_1':0.03},(120,359):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(120,358):{'3_1':0.06},(120,357):{'3_1':0.0},(120,356):{'3_1':0.03,'6_3':0.0},(120,355):{'3_1':0.03,'6_2':0.0},(120,354):{'3_1':0.0,'6_2':0.0},(120,353):{'3_1':0.03},(120,352):{'3_1':0.03},(120,351):{'3_1':0.03,'8_20|3_1#3_1':0.0},(120,350):{'3_1':0.03},(120,349):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(120,348):{'3_1':0.0},(120,347):{'3_1':0.0},(120,346):{'3_1':0.0,'4_1':0.0},(120,345):{'3_1':0.0},(120,344):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(120,343):{'3_1':0.06},(120,342):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(120,341):{'3_1':0.03,'6_1':0.0},(120,340):{'3_1':0.06,'4_1':0.0},(120,339):{'3_1':0.0},(120,338):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(120,337):{'3_1':0.0,'4_1':0.0},(120,336):{'3_1':0.0,'6_1':0.0},(120,335):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(120,334):{'3_1':0.0},(120,333):{'3_1':0.03,'4_1':0.0},(120,332):{'3_1':0.09,'4_1':0.0},(120,331):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(120,330):{'3_1':0.06,'4_1':0.0},(120,329):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(120,328):{'3_1':0.06},(120,327):{'3_1':0.03},(120,326):{'3_1':0.0,'4_1':0.0},(120,325):{'3_1':0.03},(120,324):{'3_1':0.0},(120,323):{'3_1':0.0,'4_1':0.0},(120,322):{'3_1':0.0},(120,321):{'3_1':0.0},(120,320):{'4_1':0.0,'3_1':0.0},(120,319):{'3_1':0.0,'4_1':0.0},(120,318):{'3_1':0.03},(120,317):{'3_1':0.06,'8_20|3_1#3_1':0.0},(120,316):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(120,315):{'3_1':0.0},(120,314):{'3_1':0.03},(120,313):{'3_1':0.0,'4_1':0.0},(120,312):{'3_1':0.0},(120,311):{'3_1':0.03,'4_1':0.0},(120,310):{'3_1':0.03,'4_1':0.0},(120,309):{'3_1':0.0,'5_2':0.0},(120,308):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(120,307):{'3_1':0.0},(120,306):{'3_1':0.03,'4_1':0.0},(120,305):{'3_1':0.0,'4_1':0.0},(120,304):{'3_1':0.06},(120,303):{'4_1':0.0,'3_1':0.0},(120,302):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(120,301):{'3_1':0.0,'5_2':0.0},(120,300):{'3_1':0.0},(120,299):{'3_1':0.0,'4_1':0.0},(120,298):{'4_1':0.0},(120,297):{'3_1':0.03},(120,296):{'3_1':0.03},(120,295):{'3_1':0.0},(120,294):{'3_1':0.06},(120,293):{'3_1':0.0},(120,292):{'3_1':0.0},(120,291):{'3_1':0.03,'4_1':0.0},(120,290):{'3_1':0.03},(120,289):{'3_1':0.0},(120,288):{'3_1':0.03},(120,287):{'3_1':0.03,'4_1':0.0},(120,286):{'3_1':0.0},(120,285):{'4_1':0.0},(120,284):{'3_1':0.0},(120,283):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(120,282):{'3_1':0.0},(120,281):{'3_1':0.0,'4_1':0.0},(120,280):{'3_1':0.03},(120,279):{'3_1':0.0,'6_2':0.0},(120,278):{'3_1':0.03,'4_1':0.0},(120,277):{'3_1':0.06},(120,275):{'3_1':0.03},(120,274):{'3_1':0.03,'4_1':0.0},(120,273):{'3_1':0.03,'4_1':0.0},(120,272):{'4_1':0.0},(120,271):{'3_1':0.0,'4_1':0.0},(120,270):{'3_1':0.03,'4_1':0.0},(120,269):{'3_1':0.0},(120,268):{'3_1':0.0},(120,267):{'3_1':0.03},(120,266):{'3_1':0.0},(120,265):{'3_1':0.0},(120,264):{'3_1':0.06,'4_1':0.0},(120,263):{'3_1':0.03,'4_1':0.0},(120,262):{'3_1':0.03},(120,261):{'3_1':0.03,'4_1':0.0},(120,260):{'3_1':0.03},(120,259):{'3_1':0.0},(120,258):{'3_1':0.03,'5_1':0.0},(120,257):{'3_1':0.06},(120,256):{'3_1':0.06,'6_2':0.0},(120,255):{'3_1':0.03},(120,254):{'3_1':0.06,'5_2':0.0},(120,253):{'3_1':0.03},(120,252):{'3_1':0.03},(120,251):{'3_1':0.0},(120,250):{'3_1':0.0},(120,249):{'3_1':0.0},(120,248):{'3_1':0.0},(120,247):{'3_1':0.0},(120,246):{'3_1':0.0},(120,245):{'3_1':0.03},(120,244):{'3_1':0.0},(120,243):{'3_1':0.03},(120,242):{'3_1':0.0},(120,241):{'3_1':0.0},(120,240):{'3_1':0.0},(120,239):{'3_1':0.0},(120,238):{'3_1':0.0},(120,237):{'3_1':0.0},(120,236):{'3_1':0.0},(120,235):{'3_1':0.0},(120,234):{'3_1':0.03},(120,232):{'3_1':0.0},(120,231):{'3_1':0.0},(120,229):{'3_1':0.0},(120,228):{'3_1':0.03,'4_1':0.0},(120,227):{'3_1':0.0},(120,226):{'3_1':0.0},(120,225):{'3_1':0.0},(120,224):{'3_1':0.03},(120,223):{'3_1':0.0},(120,222):{'3_1':0.0},(120,221):{'3_1':0.0},(120,220):{'3_1':0.0},(120,219):{'3_1':0.0},(120,218):{'3_1':0.0},(120,217):{'3_1':0.0},(120,216):{'3_1':0.0},(120,214):{'3_1':0.0},(120,213):{'3_1':0.0},(120,212):{'3_1':0.03},(120,211):{'3_1':0.0},(120,210):{'3_1':0.0},(120,209):{'3_1':0.0},(120,208):{'3_1':0.0},(120,207):{'3_1':0.0},(120,206):{'3_1':0.0,'5_2':0.0},(120,204):{'3_1':0.0},(120,203):{'3_1':0.0},(120,202):{'3_1':0.0},(120,200):{'3_1':0.0},(120,199):{'3_1':0.0},(120,192):{'3_1':0.03},(120,191):{'3_1':0.0},(120,190):{'3_1':0.0},(120,189):{'3_1':0.0},(120,186):{'3_1':0.0},(120,185):{'3_1':0.0},(120,184):{'3_1':0.0},(120,183):{'3_1':0.0},(120,182):{'3_1':0.0},(120,180):{'3_1':0.0},(120,179):{'3_1':0.03},(120,178):{'3_1':0.0},(120,175):{'3_1':0.0},(120,170):{'3_1':0.0},(120,165):{'3_1':0.0},(120,163):{'3_1':0.0},(120,162):{'3_1':0.0},(120,159):{'3_1':0.0},(120,158):{'3_1':0.0},(120,157):{'3_1':0.0},(120,154):{'3_1':0.0},(120,152):{'3_1':0.0},(120,151):{'3_1':0.0},(120,150):{'3_1':0.0},(120,146):{'3_1':0.0},(121,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(121,458):{'3_1':0.9,'4_1':0.0,'5_2':0.0},(121,457):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(121,456):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0},(121,455):{'3_1':0.87,'5_1':0.0,'5_2':0.0},(121,454):{'3_1':0.9,'5_2':0.03,'5_1':0.0},(121,453):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'4_1':0.0},(121,452):{'3_1':0.87,'5_2':0.0,'7_1':0.0,'8_2':0.0},(121,451):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'5_2':0.0},(121,450):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(121,449):{'3_1':0.9,'5_2':0.0},(121,448):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(121,447):{'3_1':0.87,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0},(121,446):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(121,445):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0},(121,444):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0},(121,443):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(121,442):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(121,441):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(121,440):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(121,439):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(121,438):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(121,437):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'7_3':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(121,436):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(121,435):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(121,434):{'3_1':0.84,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_2':0.0},(121,433):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(121,432):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'8_2':0.0},(121,431):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(121,430):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(121,429):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(121,428):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0},(121,427):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(121,426):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_2':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(121,425):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(121,424):{'3_1':0.69,'5_1':0.06,'8_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_2':0.0},(121,423):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(121,422):{'3_1':0.75,'5_1':0.09,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_9':0.0},(121,421):{'3_1':0.72,'5_1':0.09,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(121,420):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0},(121,419):{'3_1':0.72,'5_1':0.06,'8_2':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0},(121,418):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(121,417):{'3_1':0.75,'5_1':0.09,'8_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(121,416):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0},(121,415):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(121,414):{'3_1':0.69,'8_2':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(121,413):{'3_1':0.66,'5_1':0.15,'5_2':0.0,'8_2':0.0,'7_1':0.0},(121,412):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'6_2':0.0},(121,411):{'3_1':0.6,'5_1':0.18,'5_2':0.03,'6_2':0.03,'7_1':0.0,'8_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(121,410):{'3_1':0.66,'5_1':0.09,'8_2':0.03,'6_2':0.03,'5_2':0.03,'7_1':0.0,'7_5':0.0,'-3':0.0},(121,409):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_5':0.0,'8_6':0.0},(121,408):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'8_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(121,407):{'3_1':0.66,'5_1':0.06,'5_2':0.06,'7_3':0.03,'6_2':0.0,'7_1':0.0},(121,406):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0},(121,405):{'3_1':0.78,'5_2':0.0,'4_1':0.0,'5_1':0.0},(121,404):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_11':0.0},(121,403):{'3_1':0.78,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0},(121,402):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0},(121,401):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(121,400):{'3_1':0.75,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(121,399):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(121,398):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0},(121,397):{'3_1':0.75,'5_2':0.03,'4_1':0.0,'5_1':0.0},(121,396):{'3_1':0.75,'5_2':0.0,'5_1':0.0},(121,395):{'3_1':0.72,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(121,394):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0},(121,393):{'3_1':0.69,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_5':0.0},(121,392):{'3_1':0.54,'5_1':0.03,'5_2':0.03,'6_2':0.0},(121,391):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_17':0.0},(121,390):{'3_1':0.6,'5_1':0.06,'5_2':0.0,'4_1':0.0},(121,389):{'3_1':0.51,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(121,388):{'3_1':0.42,'5_1':0.03,'4_1':0.0,'6_2':0.0},(121,387):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0},(121,386):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(121,385):{'3_1':0.21,'5_1':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0},(121,384):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0},(121,383):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(121,382):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(121,381):{'3_1':0.09,'4_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0},(121,380):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(121,379):{'4_1':0.06,'5_2':0.03,'3_1':0.0,'7_4':0.0},(121,378):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_6':0.0},(121,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(121,376):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(121,375):{'3_1':0.12,'4_1':0.0},(121,374):{'3_1':0.06,'4_1':0.0},(121,373):{'3_1':0.09,'4_1':0.0},(121,372):{'3_1':0.09,'5_1':0.0},(121,371):{'3_1':0.03},(121,370):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(121,369):{'3_1':0.03},(121,368):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(121,367):{'3_1':0.06},(121,366):{'3_1':0.06},(121,365):{'3_1':0.06,'4_1':0.0},(121,364):{'3_1':0.06,'5_2':0.0},(121,363):{'3_1':0.03,'4_1':0.0},(121,362):{'3_1':0.03},(121,361):{'3_1':0.03},(121,360):{'3_1':0.03},(121,359):{'3_1':0.06},(121,358):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(121,357):{'3_1':0.06},(121,356):{'3_1':0.06,'4_1':0.0},(121,355):{'3_1':0.03},(121,354):{'3_1':0.03},(121,353):{'3_1':0.03},(121,352):{'3_1':0.0},(121,351):{'3_1':0.0,'4_1':0.0},(121,350):{'3_1':0.0},(121,349):{'3_1':0.03},(121,348):{'3_1':0.03},(121,347):{'3_1':0.0,'4_1':0.0},(121,346):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(121,345):{'4_1':0.0},(121,344):{'3_1':0.03,'4_1':0.03},(121,343):{'4_1':0.0,'3_1':0.0},(121,342):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(121,341):{'3_1':0.0},(121,340):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(121,339):{'3_1':0.06},(121,338):{'3_1':0.09,'4_1':0.0},(121,337):{'3_1':0.0,'4_1':0.0},(121,336):{'3_1':0.0,'6_2':0.0},(121,335):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(121,334):{'3_1':0.03,'4_1':0.0},(121,333):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(121,332):{'3_1':0.06,'4_1':0.03},(121,331):{'3_1':0.03},(121,330):{'3_1':0.0},(121,329):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(121,328):{'3_1':0.06,'4_1':0.0},(121,327):{'3_1':0.03,'4_1':0.0},(121,326):{'3_1':0.03,'4_1':0.0},(121,325):{'3_1':0.0,'4_1':0.0},(121,324):{'3_1':0.0,'4_1':0.0},(121,323):{'3_1':0.0,'4_1':0.0},(121,322):{'3_1':0.03},(121,321):{'3_1':0.0},(121,320):{'3_1':0.0,'6_2':0.0},(121,319):{'3_1':0.0,'4_1':0.0},(121,318):{'4_1':0.0,'3_1':0.0},(121,317):{'3_1':0.0,'6_2':0.0},(121,316):{'3_1':0.0,'4_1':0.0},(121,315):{'3_1':0.0},(121,314):{'3_1':0.0,'8_21|3_1#4_1':0.0},(121,313):{'3_1':0.0,'8_21|3_1#4_1':0.0},(121,312):{'3_1':0.03,'4_1':0.0},(121,311):{'3_1':0.0},(121,310):{'3_1':0.0,'4_1':0.0},(121,309):{'5_2':0.0},(121,308):{'3_1':0.0},(121,307):{'4_1':0.0},(121,306):{'3_1':0.0},(121,305):{'3_1':0.0},(121,304):{'3_1':0.03},(121,303):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(121,302):{'3_1':0.03},(121,301):{'3_1':0.0},(121,300):{'3_1':0.03},(121,298):{'3_1':0.0},(121,297):{'4_1':0.0,'5_2':0.0},(121,296):{'3_1':0.03,'6_2':0.0},(121,295):{'4_1':0.0,'3_1':0.0},(121,294):{'5_1':0.0},(121,293):{'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(121,292):{'3_1':0.0},(121,291):{'3_1':0.0},(121,290):{'3_1':0.0,'6_2':0.0},(121,289):{'3_1':0.0,'4_1':0.0},(121,288):{'3_1':0.0,'5_1':0.0},(121,287):{'3_1':0.0},(121,286):{'3_1':0.0,'4_1':0.0},(121,285):{'3_1':0.0},(121,283):{'3_1':0.0,'4_1':0.0},(121,282):{'3_1':0.0,'4_1':0.0},(121,281):{'3_1':0.0},(121,280):{'3_1':0.03},(121,279):{'3_1':0.0},(121,278):{'3_1':0.0},(121,277):{'3_1':0.0},(121,276):{'3_1':0.0},(121,275):{'3_1':0.0},(121,274):{'3_1':0.0,'6_2':0.0},(121,273):{'3_1':0.03},(121,272):{'3_1':0.0,'4_1':0.0},(121,271):{'3_1':0.03,'4_1':0.0},(121,270):{'3_1':0.0,'4_1':0.0},(121,269):{'3_1':0.0},(121,268):{'3_1':0.0},(121,267):{'3_1':0.0,'4_1':0.0},(121,266):{'3_1':0.0},(121,265):{'3_1':0.03},(121,264):{'3_1':0.03},(121,263):{'3_1':0.0,'4_1':0.0},(121,262):{'3_1':0.03,'4_1':0.0},(121,261):{'3_1':0.0,'5_1':0.0},(121,260):{'3_1':0.03},(121,259):{'3_1':0.03,'4_1':0.0},(121,258):{'3_1':0.06},(121,257):{'3_1':0.0},(121,256):{'3_1':0.0},(121,254):{'3_1':0.03},(121,253):{'3_1':0.0,'5_2':0.0},(121,252):{'3_1':0.03},(121,250):{'3_1':0.0},(121,249):{'3_1':0.0,'4_1':0.0},(121,247):{'4_1':0.0},(121,246):{'3_1':0.0},(121,245):{'3_1':0.0},(121,244):{'3_1':0.0},(121,243):{'3_1':0.0},(121,242):{'3_1':0.03},(121,241):{'3_1':0.0},(121,240):{'3_1':0.0},(121,239):{'3_1':0.0},(121,238):{'3_1':0.0},(121,237):{'3_1':0.0},(121,236):{'3_1':0.0},(121,233):{'3_1':0.0},(121,232):{'3_1':0.0},(121,231):{'3_1':0.0},(121,230):{'3_1':0.0},(121,229):{'3_1':0.0},(121,228):{'3_1':0.0},(121,227):{'3_1':0.0},(121,225):{'3_1':0.0},(121,224):{'3_1':0.0},(121,223):{'3_1':0.0},(121,222):{'3_1':0.0},(121,221):{'3_1':0.0},(121,220):{'3_1':0.0},(121,218):{'3_1':0.0},(121,217):{'3_1':0.0},(121,215):{'3_1':0.0},(121,214):{'3_1':0.0},(121,213):{'3_1':0.0},(121,212):{'3_1':0.0},(121,211):{'3_1':0.0},(121,210):{'3_1':0.0},(121,207):{'3_1':0.0},(121,206):{'3_1':0.03},(121,205):{'3_1':0.0},(121,204):{'3_1':0.03},(121,203):{'3_1':0.0},(121,202):{'3_1':0.0},(121,199):{'3_1':0.0},(121,198):{'3_1':0.03},(121,197):{'3_1':0.0},(121,196):{'3_1':0.0},(121,195):{'3_1':0.0},(121,194):{'3_1':0.0},(121,193):{'3_1':0.0},(121,192):{'3_1':0.0},(121,191):{'3_1':0.03},(121,190):{'3_1':0.0},(121,188):{'3_1':0.0},(121,187):{'3_1':0.0},(121,186):{'3_1':0.0},(121,185):{'3_1':0.0},(121,184):{'3_1':0.0},(121,183):{'3_1':0.0},(121,181):{'3_1':0.0},(121,180):{'3_1':0.0},(121,179):{'3_1':0.0},(121,178):{'3_1':0.0},(121,176):{'3_1':0.0},(122,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(122,458):{'3_1':0.9,'5_1':0.0,'4_1':0.0},(122,457):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(122,456):{'3_1':0.9,'5_2':0.0,'5_1':0.0},(122,455):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(122,454):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'8_2':0.0},(122,453):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(122,452):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(122,451):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0},(122,450):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(122,449):{'3_1':0.9,'5_2':0.0,'6_2':0.0,'7_1':0.0},(122,448):{'3_1':0.9,'5_1':0.03},(122,447):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'7_1':0.0,'6_2':0.0},(122,446):{'3_1':0.9,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(122,445):{'3_1':0.87,'5_2':0.03,'5_1':0.0},(122,444):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(122,443):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'5_2':0.0},(122,442):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(122,441):{'3_1':0.9,'5_1':0.03,'5_2':0.0},(122,440):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'-3':0.0},(122,439):{'3_1':0.81,'5_1':0.0,'7_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(122,438):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'7_1':0.0,'-3':0.0},(122,437):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_1':0.0},(122,436):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(122,435):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(122,434):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0},(122,433):{'3_1':0.81,'4_1':0.03,'6_2':0.03,'5_2':0.0,'7_3':0.0,'7_1':0.0},(122,432):{'3_1':0.72,'5_2':0.06,'6_2':0.03,'5_1':0.0,'8_2':0.0,'7_1':0.0},(122,431):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'4_1':0.0,'8_2':0.0},(122,430):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'8_2':0.0,'6_2':0.0},(122,429):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(122,428):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0},(122,427):{'3_1':0.84,'5_1':0.0,'8_2':0.0,'5_2':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(122,426):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'-3':0.0},(122,425):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0},(122,424):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(122,423):{'3_1':0.75,'5_2':0.03,'8_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'1':-0.03},(122,422):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(122,421):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0},(122,420):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0,'6_3':0.0,'7_6':0.0},(122,419):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(122,418):{'3_1':0.84,'5_2':0.03,'6_2':0.0,'8_2':0.0,'5_1':0.0,'6_1':0.0},(122,417):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_7':0.0},(122,416):{'3_1':0.72,'5_1':0.09,'5_2':0.06,'8_2':0.0,'6_2':0.0,'-3':0.0},(122,415):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0},(122,414):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'8_2':0.03,'6_2':0.0,'7_5':0.0,'8_6':0.0},(122,413):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(122,412):{'3_1':0.72,'5_1':0.06,'6_2':0.03,'8_2':0.03,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(122,411):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'8_7':0.0},(122,410):{'3_1':0.57,'5_1':0.12,'5_2':0.06,'8_2':0.03,'6_2':0.03,'7_6':0.0,'-3':0.0},(122,409):{'3_1':0.63,'5_1':0.09,'5_2':0.09,'6_2':0.0,'7_1':0.0,'8_2':0.0,'7_6':0.0,'-3':0.0},(122,408):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(122,407):{'3_1':0.75,'5_1':0.06,'6_2':0.06,'8_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(122,406):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_4':0.0},(122,405):{'3_1':0.69,'5_1':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_11':0.0},(122,404):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_11':0.0},(122,403):{'3_1':0.81,'6_2':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(122,402):{'3_1':0.72,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(122,401):{'3_1':0.75,'6_2':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0},(122,400):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'8_1':0.0},(122,399):{'3_1':0.72,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(122,398):{'3_1':0.78,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(122,397):{'3_1':0.66,'5_2':0.06,'5_1':0.03,'6_2':0.0,'7_6':0.0},(122,396):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(122,395):{'3_1':0.66,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0},(122,394):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(122,393):{'3_1':0.51,'5_1':0.09,'5_2':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0},(122,392):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_2':0.0},(122,391):{'3_1':0.6,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(122,390):{'3_1':0.66,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(122,389):{'3_1':0.45,'5_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(122,388):{'3_1':0.39,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(122,387):{'3_1':0.33,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0},(122,386):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_2':0.03,'7_3':0.0},(122,385):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(122,384):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(122,383):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(122,382):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'5_1':0.0,'6_3':0.0,'5_2':0.0,'6_2':0.0},(122,381):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(122,380):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(122,379):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(122,378):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0},(122,377):{'3_1':0.06,'5_2':0.0},(122,376):{'3_1':0.06,'4_1':0.0},(122,375):{'3_1':0.06,'4_1':0.0},(122,374):{'3_1':0.0,'4_1':0.0},(122,373):{'3_1':0.0},(122,372):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(122,371):{'3_1':0.03},(122,370):{'3_1':0.03,'8_20|3_1#3_1':0.0},(122,369):{'3_1':0.06,'4_1':0.0},(122,368):{'3_1':0.0},(122,367):{'3_1':0.06},(122,366):{'3_1':0.09,'4_1':0.0},(122,365):{'3_1':0.09,'4_1':0.0},(122,364):{'3_1':0.0,'4_1':0.0},(122,363):{'3_1':0.03},(122,362):{'3_1':0.06,'8_20|3_1#3_1':0.0},(122,361):{'3_1':0.0},(122,360):{'3_1':0.03},(122,359):{'3_1':0.06},(122,358):{'3_1':0.03,'8_20|3_1#3_1':0.0},(122,357):{'3_1':0.0},(122,356):{'3_1':0.03,'6_2':0.0},(122,355):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(122,354):{'3_1':0.0},(122,353):{'3_1':0.06,'6_2':0.0},(122,352):{'3_1':0.09},(122,351):{'3_1':0.03},(122,350):{'3_1':0.0},(122,349):{'3_1':0.0,'5_1':0.0},(122,348):{'3_1':0.0,'6_3':0.0},(122,347):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(122,346):{'3_1':0.03},(122,345):{'3_1':0.03},(122,344):{'5_2':0.0},(122,343):{'3_1':0.0,'4_1':0.0},(122,342):{'3_1':0.0,'4_1':0.0},(122,341):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(122,340):{'3_1':0.03,'4_1':0.0},(122,339):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(122,338):{'3_1':0.06},(122,337):{'3_1':0.0},(122,336):{'3_1':0.0,'4_1':0.0},(122,335):{'3_1':0.03,'4_1':0.0},(122,334):{'3_1':0.03},(122,333):{'3_1':0.06,'4_1':0.0},(122,332):{'3_1':0.03,'4_1':0.0},(122,331):{'3_1':0.0},(122,330):{'3_1':0.06,'4_1':0.0},(122,329):{'3_1':0.09},(122,328):{'3_1':0.03,'4_1':0.0},(122,327):{'3_1':0.03,'4_1':0.0},(122,326):{'3_1':0.03,'4_1':0.0},(122,325):{'3_1':0.03},(122,324):{'4_1':0.0},(122,323):{'3_1':0.0,'4_1':0.0},(122,322):{'3_1':0.0,'4_1':0.0},(122,321):{'4_1':0.0,'6_3':0.0},(122,320):{'3_1':0.0,'4_1':0.0},(122,319):{'3_1':0.03,'4_1':0.0},(122,318):{'3_1':0.0,'4_1':0.0},(122,317):{'3_1':0.0,'4_1':0.0},(122,316):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(122,315):{'3_1':0.0,'4_1':0.0},(122,314):{'4_1':0.0,'3_1':0.0},(122,313):{'3_1':0.0,'4_1':0.0},(122,310):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(122,309):{'3_1':0.03,'5_2':0.0},(122,308):{'3_1':0.0},(122,307):{'3_1':0.03,'6_3':0.0},(122,306):{'3_1':0.0},(122,305):{'3_1':0.0},(122,304):{'3_1':0.03},(122,303):{'3_1':0.0,'4_1':0.0},(122,302):{'3_1':0.03,'4_1':0.0},(122,301):{'3_1':0.0},(122,300):{'3_1':0.0},(122,299):{'3_1':0.03,'4_1':0.0},(122,298):{'3_1':0.06},(122,297):{'3_1':0.0},(122,296):{'3_1':0.0,'4_1':0.0},(122,295):{'3_1':0.0},(122,294):{'3_1':0.0},(122,293):{'3_1':0.0},(122,292):{'3_1':0.0},(122,291):{'3_1':0.0,'4_1':0.0},(122,290):{'3_1':0.0,'5_2':0.0},(122,289):{'3_1':0.0},(122,288):{'3_1':0.0,'4_1':0.0},(122,287):{'3_1':0.0},(122,286):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(122,285):{'4_1':0.0,'3_1':0.0},(122,284):{'3_1':0.0},(122,283):{'3_1':0.0},(122,282):{'3_1':0.0},(122,281):{'3_1':0.0},(122,280):{'3_1':0.0},(122,279):{'3_1':0.0},(122,278):{'3_1':0.0},(122,277):{'3_1':0.03},(122,276):{'3_1':0.0,'5_2':0.0},(122,275):{'3_1':0.0},(122,274):{'3_1':0.0},(122,273):{'3_1':0.0},(122,272):{'3_1':0.03},(122,271):{'3_1':0.0},(122,270):{'3_1':0.0},(122,269):{'3_1':0.03,'4_1':0.0},(122,268):{'3_1':0.03},(122,267):{'3_1':0.0,'6_2':0.0},(122,266):{'3_1':0.0},(122,265):{'3_1':0.0,'4_1':0.0},(122,264):{'3_1':0.0,'4_1':0.0},(122,263):{'3_1':0.03},(122,262):{'3_1':0.0},(122,261):{'3_1':0.03},(122,260):{'3_1':0.03,'4_1':0.0},(122,259):{'3_1':0.03,'4_1':0.0},(122,258):{'3_1':0.0},(122,257):{'3_1':0.03},(122,256):{'3_1':0.0},(122,255):{'3_1':0.0},(122,254):{'3_1':0.0},(122,253):{'3_1':0.03},(122,252):{'3_1':0.0,'4_1':0.0},(122,251):{'3_1':0.0},(122,249):{'3_1':0.03},(122,247):{'3_1':0.0,'4_1':0.0},(122,246):{'4_1':0.0,'3_1':0.0},(122,245):{'3_1':0.03},(122,244):{'3_1':0.0},(122,243):{'3_1':0.0},(122,242):{'3_1':0.03},(122,241):{'3_1':0.0},(122,240):{'3_1':0.0},(122,239):{'3_1':0.0},(122,237):{'3_1':0.0},(122,236):{'3_1':0.0},(122,233):{'3_1':0.0},(122,232):{'3_1':0.0},(122,231):{'3_1':0.0},(122,230):{'3_1':0.03},(122,229):{'3_1':0.0},(122,228):{'3_1':0.0},(122,227):{'3_1':0.0},(122,226):{'3_1':0.0},(122,225):{'3_1':0.0},(122,223):{'3_1':0.03},(122,222):{'3_1':0.0},(122,221):{'3_1':0.0},(122,220):{'3_1':0.0},(122,219):{'3_1':0.0},(122,218):{'3_1':0.0},(122,217):{'3_1':0.0},(122,216):{'3_1':0.0},(122,215):{'3_1':0.0},(122,214):{'3_1':0.0},(122,213):{'3_1':0.0},(122,212):{'3_1':0.0},(122,211):{'3_1':0.0},(122,210):{'3_1':0.0},(122,209):{'3_1':0.0},(122,208):{'3_1':0.0},(122,207):{'3_1':0.03},(122,205):{'3_1':0.0},(122,204):{'3_1':0.0},(122,203):{'3_1':0.0},(122,201):{'3_1':0.0},(122,198):{'3_1':0.0},(122,197):{'3_1':0.0},(122,196):{'3_1':0.03},(122,195):{'3_1':0.0},(122,194):{'3_1':0.0},(122,193):{'3_1':0.0},(122,192):{'3_1':0.0},(122,191):{'3_1':0.0,'5_2':0.0},(122,187):{'3_1':0.0},(122,186):{'3_1':0.0},(122,185):{'3_1':0.0},(122,183):{'3_1':0.0},(122,182):{'3_1':0.0},(122,180):{'3_1':0.0},(122,179):{'3_1':0.0},(122,165):{'3_1':0.0},(122,162):{'3_1':0.0},(122,160):{'3_1':0.0},(123,459):{'3_1':0.9},(123,458):{'3_1':0.9,'4_1':0.0},(123,457):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(123,456):{'3_1':0.9,'5_2':0.0,'5_1':0.0},(123,455):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0},(123,454):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0},(123,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(123,452):{'3_1':0.9,'5_2':0.0},(123,451):{'3_1':0.9,'5_1':0.0},(123,450):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0},(123,449):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(123,448):{'3_1':0.87,'5_2':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(123,447):{'3_1':0.9,'5_1':0.0,'7_1':0.0},(123,446):{'3_1':0.84,'7_1':0.0,'4_1':0.0,'5_1':0.0},(123,445):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(123,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0},(123,443):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(123,442):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0},(123,441):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'8_6':0.0},(123,440):{'3_1':0.87,'5_2':0.03,'6_2':0.0,'5_1':0.0},(123,439):{'3_1':0.84,'5_2':0.0,'8_2':0.0,'5_1':0.0,'6_2':0.0},(123,438):{'3_1':0.87,'5_1':0.0},(123,437):{'3_1':0.87,'7_1':0.0,'8_2':0.0},(123,436):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(123,435):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0},(123,434):{'3_1':0.81,'5_1':0.0,'8_2':0.0,'5_2':0.0,'4_1':0.0},(123,433):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0},(123,432):{'3_1':0.78,'5_1':0.0,'6_2':0.0,'7_1':0.0,'5_2':0.0,'8_2':0.0,'8_12':0.0},(123,431):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(123,430):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0},(123,429):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(123,428):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0},(123,427):{'3_1':0.75,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0,'8_2':0.0},(123,426):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'7_1':0.0},(123,425):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(123,424):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'6_3':0.0},(123,423):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0},(123,422):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0},(123,421):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(123,420):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(123,419):{'3_1':0.84,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0},(123,418):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'8_6':0.0},(123,417):{'3_1':0.66,'5_1':0.12,'8_2':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(123,416):{'3_1':0.75,'5_1':0.03,'8_2':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(123,415):{'3_1':0.66,'5_1':0.15,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0},(123,414):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'7_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(123,413):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'8_2':0.03,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_14':0.0,'8_18':0.0},(123,412):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.03,'8_2':0.03,'7_1':0.0,'4_1':0.0,'7_2':0.0},(123,411):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_4':0.0,'-3':0.0},(123,410):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'6_2':0.0,'-3':0.0,'8_2':0.0},(123,409):{'3_1':0.57,'5_1':0.12,'5_2':0.06,'7_1':0.0,'8_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(123,408):{'3_1':0.69,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(123,407):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0},(123,406):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_2':0.0},(123,405):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(123,404):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(123,403):{'3_1':0.81,'5_1':0.03,'6_1':0.0},(123,402):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0},(123,401):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0},(123,400):{'3_1':0.75,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(123,399):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(123,398):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0},(123,397):{'3_1':0.78,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(123,396):{'3_1':0.75,'5_1':0.03,'5_2':0.0},(123,395):{'3_1':0.75,'5_2':0.0,'4_1':0.0,'5_1':0.0},(123,394):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_2':0.0},(123,393):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(123,392):{'3_1':0.54,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(123,391):{'3_1':0.69,'5_1':0.0,'4_1':0.0,'5_2':0.0},(123,390):{'3_1':0.54,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_16':0.0},(123,389):{'3_1':0.42,'6_2':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(123,388):{'3_1':0.51,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(123,387):{'3_1':0.24,'5_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'4_1':0.0,'7_2':0.0},(123,386):{'3_1':0.33,'5_2':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(123,385):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(123,384):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(123,383):{'3_1':0.12,'6_2':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(123,382):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(123,381):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(123,380):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(123,379):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(123,378):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(123,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(123,376):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(123,375):{'3_1':0.03,'4_1':0.03,'7_6':0.0},(123,374):{'3_1':0.03},(123,373):{'3_1':0.03,'4_1':0.0},(123,372):{'3_1':0.03,'4_1':0.0},(123,371):{'3_1':0.03},(123,370):{'3_1':0.06,'4_1':0.0},(123,369):{'3_1':0.09,'4_1':0.0},(123,368):{'3_1':0.06,'5_1':0.0},(123,367):{'3_1':0.09,'4_1':0.0},(123,366):{'3_1':0.09,'4_1':0.0},(123,365):{'3_1':0.09,'4_1':0.0,'8_9':0.0},(123,364):{'3_1':0.03,'4_1':0.0},(123,363):{'3_1':0.06},(123,362):{'3_1':0.0,'4_1':0.0},(123,361):{'3_1':0.0,'4_1':0.0},(123,360):{'3_1':0.03},(123,359):{'3_1':0.06,'6_3':0.0},(123,358):{'3_1':0.0,'6_2':0.0},(123,357):{'3_1':0.0,'4_1':0.0},(123,356):{'3_1':0.03,'4_1':0.0},(123,355):{'3_1':0.03,'6_3':0.0},(123,354):{'3_1':0.06,'4_1':0.0},(123,353):{'3_1':0.0},(123,352):{'3_1':0.0},(123,351):{'3_1':0.03},(123,350):{'3_1':0.03,'4_1':0.0},(123,349):{'3_1':0.03,'4_1':0.0},(123,348):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(123,347):{'3_1':0.0,'4_1':0.0},(123,346):{'3_1':0.0,'4_1':0.0},(123,345):{'4_1':0.0,'3_1':0.0},(123,344):{'3_1':0.03,'4_1':0.0},(123,343):{'4_1':0.0},(123,342):{'3_1':0.03,'4_1':0.0},(123,341):{'3_1':0.06,'4_1':0.03},(123,340):{'3_1':0.0,'4_1':0.0},(123,339):{'3_1':0.06,'4_1':0.0},(123,338):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(123,337):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(123,336):{'3_1':0.0,'4_1':0.0},(123,335):{'3_1':0.03,'5_2':0.0},(123,334):{'3_1':0.03,'4_1':0.0},(123,333):{'3_1':0.09,'6_2':0.0,'4_1':0.0},(123,332):{'3_1':0.03,'6_3':0.0},(123,331):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(123,330):{'3_1':0.0},(123,329):{'3_1':0.12,'4_1':0.0},(123,328):{'3_1':0.03,'4_1':0.0},(123,327):{'3_1':0.03},(123,326):{'3_1':0.0,'4_1':0.0},(123,325):{'3_1':0.0},(123,324):{'3_1':0.0},(123,323):{'3_1':0.0,'4_1':0.0},(123,322):{'3_1':0.03,'4_1':0.0},(123,320):{'3_1':0.0,'4_1':0.0},(123,319):{'3_1':0.0,'4_1':0.0},(123,318):{'3_1':0.0},(123,317):{'4_1':0.0},(123,316):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(123,315):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(123,314):{'3_1':0.0},(123,313):{'3_1':0.0,'4_1':0.0},(123,312):{'3_1':0.0,'4_1':0.0},(123,311):{'3_1':0.0},(123,310):{'3_1':0.03,'4_1':0.0},(123,309):{'3_1':0.0},(123,308):{'3_1':0.0},(123,307):{'3_1':0.0,'4_1':0.0},(123,306):{'3_1':0.0},(123,303):{'3_1':0.0},(123,302):{'3_1':0.03},(123,301):{'3_1':0.0,'5_2':0.0},(123,300):{'3_1':0.0,'4_1':0.0},(123,299):{'3_1':0.0,'4_1':0.0},(123,298):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(123,297):{'3_1':0.0,'4_1':0.0},(123,296):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(123,295):{'3_1':0.0,'4_1':0.0},(123,294):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(123,293):{'3_1':0.0},(123,292):{'3_1':0.06,'4_1':0.0},(123,291):{'3_1':0.0,'5_2':0.0},(123,290):{'3_1':0.0,'4_1':0.0},(123,289):{'3_1':0.0,'4_1':0.0},(123,288):{'3_1':0.03},(123,287):{'3_1':0.0},(123,286):{'3_1':0.03},(123,285):{'3_1':0.0,'4_1':0.0},(123,284):{'3_1':0.0},(123,283):{'3_1':0.0},(123,282):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(123,280):{'3_1':0.0},(123,279):{'3_1':0.0,'5_2':0.0},(123,278):{'3_1':0.0},(123,277):{'3_1':0.0},(123,275):{'3_1':0.03},(123,274):{'3_1':0.03},(123,273):{'3_1':0.03},(123,271):{'3_1':0.0},(123,270):{'3_1':0.03},(123,269):{'3_1':0.0,'5_1':0.0},(123,268):{'3_1':0.03},(123,267):{'3_1':0.0},(123,266):{'3_1':0.0},(123,265):{'3_1':0.0},(123,264):{'3_1':0.03},(123,263):{'3_1':0.0},(123,262):{'3_1':0.0,'4_1':0.0},(123,261):{'3_1':0.0},(123,260):{'3_1':0.03,'5_1':0.0},(123,259):{'3_1':0.03},(123,258):{'3_1':0.0},(123,257):{'3_1':0.03},(123,256):{'3_1':0.0},(123,255):{'3_1':0.0},(123,254):{'3_1':0.0},(123,253):{'3_1':0.0},(123,252):{'3_1':0.03},(123,251):{'3_1':0.0},(123,250):{'3_1':0.0},(123,249):{'3_1':0.03},(123,247):{'3_1':0.03},(123,246):{'3_1':0.0},(123,244):{'3_1':0.0},(123,243):{'3_1':0.0},(123,242):{'3_1':0.0},(123,241):{'4_1':0.0},(123,240):{'3_1':0.0},(123,239):{'3_1':0.03},(123,238):{'3_1':0.0},(123,237):{'3_1':0.0},(123,236):{'3_1':0.0},(123,235):{'3_1':0.0},(123,233):{'3_1':0.0},(123,232):{'3_1':0.0,'4_1':0.0},(123,231):{'3_1':0.0},(123,228):{'3_1':0.0},(123,227):{'3_1':0.0},(123,225):{'3_1':0.0},(123,224):{'3_1':0.0},(123,223):{'3_1':0.0},(123,222):{'3_1':0.0},(123,220):{'3_1':0.0},(123,219):{'3_1':0.0},(123,218):{'3_1':0.0},(123,217):{'3_1':0.0},(123,216):{'3_1':0.0},(123,215):{'3_1':0.0},(123,214):{'3_1':0.0},(123,212):{'3_1':0.0},(123,211):{'3_1':0.0},(123,209):{'3_1':0.0},(123,208):{'3_1':0.03},(123,207):{'3_1':0.0},(123,206):{'3_1':0.0},(123,205):{'3_1':0.0},(123,204):{'3_1':0.0},(123,203):{'3_1':0.0},(123,202):{'3_1':0.0},(123,200):{'3_1':0.0},(123,199):{'3_1':0.03},(123,198):{'3_1':0.0},(123,197):{'3_1':0.0},(123,196):{'3_1':0.0},(123,195):{'3_1':0.0},(123,194):{'3_1':0.0},(123,193):{'3_1':0.0},(123,192):{'3_1':0.0},(123,190):{'3_1':0.0},(123,188):{'3_1':0.0},(123,186):{'3_1':0.0},(123,185):{'3_1':0.0},(123,182):{'3_1':0.0},(123,180):{'3_1':0.0},(123,179):{'3_1':0.0},(123,162):{'3_1':0.0},(124,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(124,458):{'3_1':0.9,'5_2':0.0,'8_6':0.0},(124,457):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(124,456):{'3_1':0.87,'5_1':0.03,'5_2':0.0},(124,455):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'5_2':0.0},(124,454):{'3_1':0.9,'4_1':0.0},(124,453):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'5_2':0.0},(124,452):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0},(124,451):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(124,450):{'3_1':0.87,'5_1':0.03,'5_2':0.03,'4_1':0.0},(124,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0},(124,448):{'3_1':0.9},(124,447):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0},(124,446):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'6_2':0.0},(124,445):{'3_1':0.9,'5_2':0.0,'6_2':0.0},(124,444):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_3':0.0},(124,443):{'3_1':0.87,'5_2':0.03,'4_1':0.0,'7_1':0.0},(124,442):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0},(124,441):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'8_2':0.0,'8_14':0.0},(124,440):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(124,439):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(124,438):{'3_1':0.81,'8_2':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(124,437):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(124,436):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'6_2':0.0},(124,435):{'3_1':0.81,'5_1':0.0,'7_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0},(124,434):{'3_1':0.84,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(124,433):{'3_1':0.87,'5_1':0.03,'5_2':0.0},(124,432):{'3_1':0.9,'5_1':0.0,'7_6':0.0,'8_2':0.0,'5_2':0.0},(124,431):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(124,430):{'3_1':0.81,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_2':0.0},(124,429):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(124,428):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.03,'7_1':0.0,'8_2':0.0,'-3':0.0},(124,427):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(124,426):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'7_1':0.0,'-3':0.0,'8_2':0.0},(124,425):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'7_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(124,424):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(124,423):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0},(124,422):{'3_1':0.78,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_9':0.0,'8_14':0.0},(124,421):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(124,420):{'3_1':0.72,'5_1':0.12,'5_2':0.0,'8_14':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(124,419):{'3_1':0.72,'5_1':0.06,'8_2':0.03,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(124,418):{'3_1':0.81,'5_1':0.06,'4_1':0.0,'8_2':0.0,'-3':0.0},(124,417):{'3_1':0.72,'5_2':0.06,'5_1':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(124,416):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0},(124,415):{'3_1':0.66,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0,'7_5':0.0,'8_7':0.0},(124,414):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_6':0.0,'8_9':0.0,'8_14':0.0},(124,413):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(124,412):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.03,'7_5':0.0},(124,411):{'3_1':0.69,'5_1':0.15,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0},(124,410):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(124,409):{'3_1':0.57,'5_1':0.12,'5_2':0.06,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(124,408):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'7_3':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_7':0.0},(124,407):{'3_1':0.69,'5_1':0.12,'7_3':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(124,406):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0,'-3':0.0},(124,405):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'8_2':0.0},(124,404):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(124,403):{'3_1':0.87,'5_2':0.0,'4_1':0.0,'5_1':0.0},(124,402):{'3_1':0.72,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0},(124,401):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(124,400):{'3_1':0.75,'5_1':0.0,'6_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(124,399):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0},(124,398):{'3_1':0.81,'5_1':0.0,'5_2':0.0},(124,397):{'3_1':0.75,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(124,396):{'3_1':0.72,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(124,395):{'3_1':0.72,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(124,394):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(124,393):{'3_1':0.6,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(124,392):{'3_1':0.6,'5_1':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0},(124,391):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_16':0.0},(124,390):{'3_1':0.57,'5_1':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(124,389):{'3_1':0.36,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_15':0.0},(124,388):{'3_1':0.39,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(124,387):{'3_1':0.36,'6_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0},(124,386):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_6':0.0,'5_1':0.0},(124,385):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0},(124,384):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(124,383):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0},(124,382):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'-3':0.0},(124,381):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0},(124,380):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(124,379):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(124,378):{'3_1':0.03,'4_1':0.0},(124,377):{'3_1':0.03,'4_1':0.0},(124,376):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(124,375):{'3_1':0.03,'4_1':0.0},(124,374):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(124,373):{'3_1':0.09},(124,372):{'3_1':0.06,'4_1':0.0},(124,371):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(124,370):{'3_1':0.0,'-3':0.0},(124,369):{'3_1':0.03,'4_1':0.0},(124,368):{'3_1':0.03},(124,367):{'3_1':0.03,'4_1':0.0},(124,366):{'3_1':0.06,'4_1':0.0},(124,365):{'3_1':0.03,'4_1':0.0,'7_7':0.0},(124,364):{'3_1':0.06,'4_1':0.0},(124,363):{'3_1':0.03,'4_1':0.0},(124,362):{'3_1':0.0},(124,361):{'3_1':0.0},(124,360):{'3_1':0.0},(124,359):{'3_1':0.03},(124,358):{'3_1':0.06},(124,357):{'3_1':0.0},(124,356):{'3_1':0.03,'4_1':0.0},(124,355):{'3_1':0.03,'4_1':0.0},(124,354):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(124,353):{'3_1':0.03},(124,352):{'3_1':0.09},(124,351):{'3_1':0.06},(124,350):{'3_1':0.03,'4_1':0.0},(124,349):{'3_1':0.03,'4_1':0.0},(124,348):{'3_1':0.03},(124,345):{'3_1':0.0,'4_1':0.0},(124,344):{'3_1':0.0},(124,343):{'3_1':0.0,'4_1':0.0},(124,342):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(124,341):{'3_1':0.03,'4_1':0.0},(124,340):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(124,339):{'3_1':0.03,'4_1':0.0},(124,338):{'3_1':0.03,'4_1':0.0},(124,337):{'3_1':0.03,'4_1':0.0},(124,336):{'3_1':0.0,'4_1':0.0},(124,335):{'3_1':0.0},(124,334):{'3_1':0.03,'4_1':0.0},(124,333):{'3_1':0.0},(124,332):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(124,331):{'3_1':0.03},(124,330):{'3_1':0.03,'4_1':0.0},(124,329):{'3_1':0.03,'4_1':0.0},(124,328):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(124,327):{'3_1':0.03,'4_1':0.0},(124,326):{'3_1':0.0},(124,325):{'4_1':0.0,'3_1':0.0},(124,324):{'3_1':0.0},(124,323):{'3_1':0.0},(124,322):{'3_1':0.0},(124,321):{'3_1':0.03},(124,320):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(124,319):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(124,318):{'3_1':0.03,'4_1':0.0},(124,317):{'3_1':0.0},(124,316):{'3_1':0.0,'4_1':0.0},(124,315):{'3_1':0.0},(124,314):{'3_1':0.06},(124,313):{'3_1':0.0,'5_2':0.0},(124,312):{'3_1':0.03},(124,311):{'3_1':0.0,'4_1':0.0},(124,310):{'3_1':0.03,'4_1':0.0},(124,309):{'3_1':0.0},(124,308):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(124,307):{'3_1':0.0,'5_2':0.0},(124,306):{'3_1':0.0},(124,305):{'3_1':0.0,'6_1':0.0},(124,304):{'3_1':0.03},(124,303):{'3_1':0.0},(124,302):{'3_1':0.0,'4_1':0.0},(124,301):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(124,300):{'3_1':0.03},(124,299):{'3_1':0.0,'5_2':0.0},(124,298):{'3_1':0.03,'8_21|3_1#4_1':0.0},(124,297):{'3_1':0.0},(124,296):{'3_1':0.0,'8_20|3_1#3_1':0.0},(124,295):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(124,294):{'3_1':0.06},(124,293):{'3_1':0.03,'4_1':0.0},(124,292):{'3_1':0.03},(124,291):{'8_21|3_1#4_1':0.0},(124,290):{'3_1':0.0,'4_1':0.0},(124,289):{'3_1':0.03},(124,288):{'3_1':0.0},(124,287):{'3_1':0.0},(124,286):{'3_1':0.03},(124,285):{'3_1':0.0},(124,284):{'3_1':0.0},(124,283):{'3_1':0.0},(124,282):{'3_1':0.0},(124,281):{'3_1':0.0},(124,280):{'3_1':0.0},(124,279):{'3_1':0.03},(124,278):{'3_1':0.0},(124,277):{'3_1':0.0},(124,276):{'3_1':0.0,'4_1':0.0},(124,275):{'3_1':0.0,'4_1':0.0},(124,274):{'3_1':0.03},(124,273):{'3_1':0.0,'4_1':0.0},(124,272):{'3_1':0.03},(124,271):{'3_1':0.0},(124,270):{'3_1':0.0},(124,269):{'3_1':0.0},(124,268):{'3_1':0.0},(124,267):{'3_1':0.03,'4_1':0.0},(124,266):{'3_1':0.0},(124,265):{'3_1':0.0},(124,264):{'3_1':0.0},(124,263):{'3_1':0.03,'4_1':0.0},(124,262):{'3_1':0.0,'4_1':0.0},(124,261):{'3_1':0.0},(124,260):{'3_1':0.03},(124,259):{'4_1':0.0,'3_1':0.0},(124,258):{'3_1':0.0},(124,257):{'3_1':0.06,'4_1':0.0},(124,256):{'3_1':0.03},(124,255):{'3_1':0.0},(124,254):{'3_1':0.03},(124,253):{'3_1':0.03},(124,251):{'3_1':0.0},(124,250):{'3_1':0.0},(124,249):{'3_1':0.0},(124,248):{'3_1':0.0},(124,246):{'3_1':0.03},(124,245):{'3_1':0.0},(124,244):{'3_1':0.0},(124,243):{'3_1':0.0},(124,242):{'3_1':0.03},(124,241):{'3_1':0.03},(124,240):{'3_1':0.03},(124,239):{'3_1':0.03},(124,238):{'3_1':0.0},(124,237):{'3_1':0.0},(124,236):{'3_1':0.0},(124,232):{'3_1':0.0},(124,231):{'3_1':0.0},(124,230):{'3_1':0.0},(124,229):{'4_1':0.0},(124,228):{'3_1':0.0},(124,227):{'3_1':0.0},(124,226):{'3_1':0.03},(124,225):{'3_1':0.0},(124,224):{'3_1':0.0},(124,223):{'3_1':0.0},(124,222):{'3_1':0.0},(124,221):{'3_1':0.0},(124,220):{'3_1':0.0},(124,219):{'3_1':0.0},(124,218):{'3_1':0.0},(124,217):{'3_1':0.0},(124,216):{'3_1':0.0},(124,215):{'3_1':0.0},(124,214):{'3_1':0.0},(124,213):{'3_1':0.0},(124,210):{'3_1':0.0},(124,209):{'3_1':0.0},(124,207):{'3_1':0.03},(124,205):{'3_1':0.0},(124,204):{'3_1':0.0},(124,203):{'3_1':0.03},(124,202):{'3_1':0.03},(124,201):{'3_1':0.0},(124,199):{'3_1':0.0},(124,198):{'3_1':0.0},(124,196):{'3_1':0.0},(124,195):{'3_1':0.0},(124,194):{'3_1':0.0},(124,191):{'3_1':0.0},(124,185):{'3_1':0.0},(124,181):{'3_1':0.0},(124,177):{'5_2':0.0},(124,164):{'3_1':0.0},(124,161):{'3_1':0.0},(124,160):{'3_1':0.0},(125,459):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(125,458):{'3_1':0.84,'5_1':0.03,'7_1':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0},(125,457):{'3_1':0.9,'4_1':0.03,'5_1':0.0,'5_2':0.0},(125,456):{'3_1':0.9,'5_1':0.0},(125,455):{'3_1':0.9,'5_1':0.0},(125,454):{'3_1':0.9,'5_1':0.0,'4_1':0.0},(125,453):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_1':0.0},(125,452):{'3_1':0.9,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(125,451):{'3_1':0.9,'7_1':0.0},(125,450):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0},(125,449):{'3_1':0.9,'5_1':0.0},(125,448):{'3_1':0.9,'5_1':0.03},(125,447):{'3_1':0.87,'5_1':0.0,'7_1':0.0,'5_2':0.0},(125,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(125,445):{'3_1':0.9,'5_2':0.0,'8_2':0.0},(125,444):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(125,443):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_2':0.0},(125,442):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(125,441):{'3_1':0.81,'8_2':0.0,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(125,440):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(125,439):{'3_1':0.87,'5_1':0.03,'7_1':0.0},(125,438):{'3_1':0.84,'5_2':0.03,'7_3':0.0,'8_2':0.0},(125,437):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'-3':0.0},(125,436):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(125,435):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0},(125,434):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(125,433):{'3_1':0.78,'5_2':0.06,'5_1':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0},(125,432):{'3_1':0.84,'8_2':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(125,431):{'3_1':0.84,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(125,430):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(125,429):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0},(125,428):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0},(125,427):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(125,426):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'6_2':0.0},(125,425):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(125,424):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'-3':0.0},(125,423):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0},(125,422):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(125,421):{'3_1':0.69,'5_1':0.09,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(125,420):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'-3':0.0,'6_2':0.0},(125,419):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(125,418):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'8_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0},(125,417):{'3_1':0.66,'5_1':0.18,'7_3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(125,416):{'3_1':0.75,'5_2':0.03,'5_1':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0},(125,415):{'3_1':0.72,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(125,414):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'5_2':0.03,'8_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(125,413):{'3_1':0.6,'5_1':0.12,'8_2':0.06,'6_2':0.03,'5_2':0.0,'-3':0.0,'7_1':0.0},(125,412):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(125,411):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(125,410):{'3_1':0.69,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(125,409):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(125,408):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0},(125,407):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(125,406):{'3_1':0.75,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_5':0.0},(125,405):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0},(125,404):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_11':0.0},(125,403):{'3_1':0.78,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_2':0.0},(125,402):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(125,401):{'3_1':0.78,'6_2':0.0,'5_1':0.0,'4_1':0.0},(125,400):{'3_1':0.75,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(125,399):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(125,398):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(125,397):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0},(125,396):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(125,395):{'3_1':0.78,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(125,394):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0},(125,393):{'3_1':0.51,'5_1':0.06,'5_2':0.06,'7_5':0.0,'7_6':0.0,'8_15':0.0,'4_1':0.0,'6_2':0.0},(125,392):{'3_1':0.63,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0},(125,391):{'3_1':0.69,'5_1':0.0,'5_2':0.0,'6_2':0.0},(125,390):{'3_1':0.57,'5_1':0.03,'4_1':0.0,'5_2':0.0},(125,389):{'3_1':0.54,'4_1':0.0,'5_1':0.0,'6_2':0.0},(125,388):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'5_2':0.0},(125,387):{'3_1':0.39,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(125,386):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(125,385):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(125,384):{'3_1':0.09,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_1':0.0},(125,383):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0},(125,382):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'6_1':0.0},(125,381):{'4_1':0.03,'3_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(125,380):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(125,379):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(125,378):{'3_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_7':0.0},(125,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(125,376):{'3_1':0.03,'4_1':0.0,'8_16':0.0},(125,375):{'3_1':0.03,'4_1':0.0},(125,374):{'3_1':0.03,'4_1':0.0},(125,373):{'3_1':0.06,'5_1':0.0},(125,372):{'3_1':0.03,'4_1':0.0},(125,371):{'3_1':0.0},(125,370):{'3_1':0.06,'5_2':0.0},(125,369):{'3_1':0.09},(125,368):{'3_1':0.06,'5_2':0.0},(125,367):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(125,366):{'3_1':0.06,'5_2':0.0},(125,365):{'3_1':0.06,'4_1':0.0},(125,364):{'3_1':0.0,'4_1':0.0},(125,363):{'3_1':0.03,'8_21|3_1#4_1':0.0},(125,362):{'4_1':0.0,'8_20|3_1#3_1':0.0},(125,361):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(125,360):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(125,359):{'3_1':0.06,'8_21|3_1#4_1':0.0},(125,358):{'3_1':0.0},(125,357):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(125,356):{'3_1':0.03,'6_2':0.0},(125,355):{'3_1':0.0},(125,354):{'3_1':0.09,'4_1':0.0},(125,353):{'3_1':0.06},(125,352):{'3_1':0.03},(125,351):{'3_1':0.0,'4_1':0.0},(125,350):{'4_1':0.0,'3_1':0.0},(125,349):{'3_1':0.0,'4_1':0.0},(125,348):{'3_1':0.0},(125,347):{'3_1':0.0},(125,346):{'4_1':0.0},(125,345):{'3_1':0.0},(125,344):{'3_1':0.0},(125,343):{'3_1':0.0},(125,342):{'3_1':0.06,'4_1':0.0},(125,341):{'3_1':0.0,'4_1':0.0},(125,340):{'3_1':0.0,'4_1':0.0},(125,339):{'3_1':0.09},(125,338):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(125,337):{'3_1':0.0},(125,336):{'3_1':0.06},(125,335):{'3_1':0.0},(125,334):{'3_1':0.0,'4_1':0.0},(125,333):{'3_1':0.03,'4_1':0.0},(125,332):{'3_1':0.03,'6_3':0.0},(125,331):{'3_1':0.09,'4_1':0.0},(125,330):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(125,329):{'3_1':0.0,'4_1':0.0},(125,328):{'4_1':0.0,'5_2':0.0},(125,327):{'3_1':0.03,'4_1':0.0},(125,326):{'4_1':0.0,'3_1':0.0},(125,325):{'3_1':0.0},(125,323):{'3_1':0.0,'4_1':0.0},(125,322):{'3_1':0.0},(125,321):{'3_1':0.0},(125,320):{'3_1':0.0},(125,319):{'3_1':0.0,'4_1':0.0},(125,318):{'3_1':0.0},(125,317):{'3_1':0.0},(125,316):{'3_1':0.03},(125,315):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(125,314):{'3_1':0.0,'4_1':0.0},(125,313):{'3_1':0.03,'4_1':0.0},(125,312):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(125,311):{'3_1':0.03},(125,310):{'4_1':0.0},(125,309):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(125,308):{'4_1':0.0},(125,307):{'3_1':0.0},(125,306):{'3_1':0.0},(125,305):{'3_1':0.0,'4_1':0.0},(125,304):{'3_1':0.0},(125,303):{'3_1':0.03},(125,302):{'3_1':0.03},(125,301):{'3_1':0.0},(125,300):{'3_1':0.03},(125,299):{'3_1':0.03},(125,298):{'3_1':0.0},(125,297):{'3_1':0.0,'8_20|3_1#3_1':0.0},(125,296):{'4_1':0.0},(125,295):{'3_1':0.0,'4_1':0.0},(125,294):{'3_1':0.0},(125,292):{'3_1':0.0,'6_2':0.0},(125,290):{'3_1':0.0},(125,289):{'3_1':0.0},(125,288):{'3_1':0.0},(125,287):{'3_1':0.0},(125,286):{'3_1':0.0,'5_2':0.0},(125,285):{'3_1':0.0},(125,284):{'3_1':0.0,'4_1':0.0},(125,283):{'3_1':0.0},(125,281):{'3_1':0.0},(125,280):{'3_1':0.0},(125,279):{'3_1':0.0},(125,278):{'3_1':0.0},(125,277):{'4_1':0.0},(125,276):{'3_1':0.0},(125,275):{'3_1':0.0,'4_1':0.0},(125,274):{'3_1':0.03},(125,273):{'3_1':0.0},(125,272):{'3_1':0.0,'6_1':0.0},(125,271):{'3_1':0.03},(125,270):{'3_1':0.03},(125,269):{'3_1':0.0,'5_2':0.0},(125,268):{'3_1':0.0},(125,267):{'4_1':0.0,'3_1':0.0},(125,266):{'3_1':0.0},(125,265):{'3_1':0.0},(125,264):{'3_1':0.03},(125,263):{'3_1':0.06},(125,262):{'3_1':0.0},(125,261):{'3_1':0.0},(125,260):{'3_1':0.0},(125,259):{'3_1':0.0},(125,258):{'3_1':0.0,'4_1':0.0},(125,257):{'3_1':0.0},(125,256):{'3_1':0.0},(125,255):{'3_1':0.0},(125,254):{'3_1':0.0},(125,253):{'3_1':0.0},(125,252):{'3_1':0.0},(125,251):{'3_1':0.0},(125,250):{'3_1':0.0},(125,249):{'3_1':0.0},(125,248):{'3_1':0.0},(125,247):{'3_1':0.0},(125,245):{'3_1':0.0},(125,244):{'3_1':0.0},(125,243):{'3_1':0.0},(125,242):{'3_1':0.0},(125,241):{'3_1':0.0},(125,239):{'3_1':0.0},(125,238):{'3_1':0.0},(125,237):{'3_1':0.0},(125,236):{'3_1':0.0},(125,235):{'3_1':0.0},(125,233):{'3_1':0.0},(125,232):{'3_1':0.0},(125,231):{'3_1':0.0},(125,230):{'3_1':0.0,'4_1':0.0},(125,228):{'3_1':0.0},(125,227):{'3_1':0.0},(125,225):{'3_1':0.0},(125,224):{'3_1':0.0},(125,223):{'3_1':0.0},(125,222):{'3_1':0.0},(125,221):{'3_1':0.0},(125,220):{'3_1':0.0},(125,219):{'3_1':0.0},(125,217):{'3_1':0.03},(125,216):{'3_1':0.0},(125,215):{'3_1':0.0},(125,214):{'3_1':0.0},(125,213):{'3_1':0.0},(125,212):{'3_1':0.0},(125,211):{'3_1':0.0},(125,210):{'3_1':0.0},(125,209):{'3_1':0.0},(125,207):{'3_1':0.0},(125,206):{'3_1':0.0},(125,205):{'3_1':0.0},(125,204):{'3_1':0.0},(125,203):{'3_1':0.0},(125,202):{'3_1':0.0},(125,201):{'3_1':0.03},(125,200):{'3_1':0.0},(125,199):{'3_1':0.0},(125,198):{'3_1':0.0},(125,197):{'3_1':0.0},(125,196):{'3_1':0.0},(125,195):{'3_1':0.0},(125,194):{'3_1':0.0},(125,191):{'3_1':0.0},(125,187):{'3_1':0.0},(125,186):{'3_1':0.0},(125,184):{'3_1':0.0},(125,161):{'3_1':0.0},(126,459):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'-3':0.0},(126,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(126,457):{'3_1':0.9,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(126,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(126,455):{'3_1':0.87,'5_1':0.03,'5_2':0.0},(126,454):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(126,453):{'3_1':0.9,'5_1':0.0},(126,452):{'3_1':0.9,'7_1':0.0,'5_2':0.0},(126,451):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0},(126,450):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0},(126,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_10':0.0},(126,448):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_5':0.0},(126,447):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0},(126,446):{'3_1':0.9,'5_2':0.0,'7_1':0.0},(126,445):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(126,444):{'3_1':0.9,'7_2':0.0,'7_3':0.0,'8_2':0.0},(126,443):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(126,442):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(126,441):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0},(126,440):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(126,439):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0},(126,438):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'7_1':0.0,'8_2':0.0},(126,437):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0},(126,436):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0},(126,435):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_2':0.0},(126,434):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0},(126,433):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0},(126,432):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0},(126,431):{'3_1':0.87,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(126,430):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_2':0.0,'-3':0.0},(126,429):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(126,428):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'8_2':0.0},(126,427):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'8_2':0.0,'7_1':0.0,'7_5':0.0},(126,426):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'7_3':0.0,'6_2':0.0},(126,425):{'3_1':0.81,'5_1':0.06,'7_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0},(126,424):{'3_1':0.75,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0},(126,423):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_2':0.0},(126,422):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(126,421):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(126,420):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(126,419):{'3_1':0.75,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0},(126,418):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(126,417):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'8_14':0.0},(126,416):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'8_2':0.0,'6_2':0.0,'8_14':0.0},(126,415):{'3_1':0.66,'5_1':0.06,'5_2':0.06,'8_2':0.03,'6_2':0.03,'7_2':0.0,'7_5':0.0},(126,414):{'3_1':0.66,'5_1':0.09,'8_2':0.06,'5_2':0.03,'7_1':0.0},(126,413):{'3_1':0.69,'5_1':0.12,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(126,412):{'3_1':0.63,'5_2':0.12,'5_1':0.09,'8_2':0.0,'4_1':0.0},(126,411):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(126,410):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(126,409):{'3_1':0.66,'5_1':0.15,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(126,408):{'3_1':0.78,'5_2':0.03,'6_2':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(126,407):{'3_1':0.72,'5_2':0.06,'5_1':0.03,'6_2':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(126,406):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'6_2':0.03,'4_1':0.0},(126,405):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0},(126,404):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(126,403):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'8_11':0.0},(126,402):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0},(126,401):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'6_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(126,400):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(126,399):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(126,398):{'3_1':0.81,'4_1':0.0,'5_1':0.0},(126,397):{'3_1':0.72,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(126,396):{'3_1':0.81,'5_1':0.0,'7_6':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(126,395):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0},(126,394):{'3_1':0.75,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(126,393):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(126,392):{'3_1':0.69,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(126,391):{'3_1':0.6,'5_1':0.03,'4_1':0.03,'5_2':0.0,'8_17':0.0},(126,390):{'3_1':0.51,'5_1':0.09,'4_1':0.0,'5_2':0.0},(126,389):{'3_1':0.39,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(126,388):{'3_1':0.33,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_9':0.0},(126,387):{'3_1':0.39,'6_2':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(126,386):{'3_1':0.3,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0},(126,385):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(126,384):{'3_1':0.15,'6_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0},(126,383):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(126,382):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(126,381):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(126,380):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(126,379):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(126,378):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(126,377):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0},(126,376):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(126,375):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_6':0.0},(126,374):{'3_1':0.06,'4_1':0.0},(126,373):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(126,372):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_3':0.0},(126,371):{'3_1':0.06,'6_2':0.0},(126,370):{'3_1':0.06},(126,369):{'3_1':0.03},(126,368):{'3_1':0.06},(126,367):{'3_1':0.03},(126,366):{'3_1':0.12},(126,365):{'3_1':0.12,'4_1':0.0},(126,364):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(126,363):{'3_1':0.03},(126,362):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(126,361):{'3_1':0.0},(126,359):{'3_1':0.03},(126,358):{'3_1':0.03,'4_1':0.0},(126,357):{'3_1':0.03},(126,356):{'3_1':0.03},(126,355):{'3_1':0.06},(126,354):{'3_1':0.06,'4_1':0.0},(126,353):{'3_1':0.03},(126,352):{'3_1':0.06,'6_3':0.0},(126,351):{'3_1':0.0,'7_6':0.0},(126,350):{'4_1':0.0},(126,348):{'3_1':0.0,'4_1':0.0},(126,347):{'3_1':0.0},(126,346):{'4_1':0.0},(126,345):{'3_1':0.0},(126,344):{'3_1':0.03},(126,343):{'3_1':0.0,'4_1':0.0},(126,342):{'3_1':0.03,'4_1':0.0},(126,341):{'3_1':0.0,'4_1':0.0},(126,340):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(126,339):{'3_1':0.06},(126,338):{'3_1':0.06,'4_1':0.0},(126,337):{'3_1':0.03,'4_1':0.0},(126,336):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(126,335):{'3_1':0.03,'6_2':0.0},(126,334):{'3_1':0.03,'4_1':0.0},(126,333):{'3_1':0.09,'4_1':0.0},(126,332):{'3_1':0.03,'4_1':0.0},(126,331):{'3_1':0.0},(126,330):{'3_1':0.0,'4_1':0.0},(126,329):{'3_1':0.0,'4_1':0.0},(126,328):{'3_1':0.06,'4_1':0.0},(126,327):{'3_1':0.0,'4_1':0.0},(126,326):{'3_1':0.03,'4_1':0.0},(126,325):{'3_1':0.0,'4_1':0.0},(126,324):{'3_1':0.0},(126,323):{'3_1':0.0,'4_1':0.0},(126,322):{'3_1':0.0},(126,321):{'3_1':0.0,'4_1':0.0},(126,320):{'3_1':0.0},(126,319):{'3_1':0.03},(126,318):{'3_1':0.0,'4_1':0.0},(126,317):{'4_1':0.0,'3_1':0.0},(126,316):{'3_1':0.03,'4_1':0.0},(126,315):{'3_1':0.03,'6_3':0.0},(126,314):{'3_1':0.03},(126,313):{'3_1':0.0,'5_2':0.0},(126,312):{'3_1':0.03,'-3':0.0},(126,311):{'3_1':0.0},(126,310):{'3_1':0.0,'4_1':0.0},(126,309):{'3_1':0.0,'4_1':0.0},(126,308):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(126,307):{'3_1':0.03},(126,306):{'3_1':0.0},(126,305):{'3_1':0.0},(126,304):{'3_1':0.0},(126,303):{'3_1':0.0},(126,302):{'3_1':0.03},(126,301):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(126,300):{'3_1':0.06},(126,299):{'3_1':0.0,'4_1':0.0},(126,298):{'3_1':0.0,'4_1':0.0},(126,297):{'3_1':0.03},(126,296):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(126,295):{'3_1':0.0,'4_1':0.0},(126,294):{'3_1':0.0,'4_1':0.0},(126,293):{'3_1':0.0,'4_1':0.0},(126,292):{'3_1':0.03},(126,291):{'3_1':0.0},(126,290):{'3_1':0.0},(126,289):{'3_1':0.0},(126,288):{'4_1':0.0,'3_1':0.0},(126,287):{'3_1':0.0},(126,286):{'4_1':0.0},(126,285):{'3_1':0.0},(126,284):{'3_1':0.0},(126,283):{'3_1':0.0},(126,282):{'3_1':0.0},(126,281):{'3_1':0.0,'4_1':0.0},(126,280):{'3_1':0.0},(126,279):{'3_1':0.0},(126,278):{'3_1':0.0},(126,276):{'3_1':0.0},(126,275):{'5_2':0.0},(126,274):{'3_1':0.0},(126,273):{'3_1':0.0},(126,272):{'3_1':0.0},(126,271):{'3_1':0.0,'5_1':0.0},(126,270):{'3_1':0.09},(126,269):{'3_1':0.03},(126,268):{'3_1':0.03,'4_1':0.0},(126,267):{'3_1':0.03},(126,266):{'3_1':0.0,'4_1':0.0},(126,265):{'3_1':0.0,'5_2':0.0},(126,264):{'3_1':0.0},(126,263):{'3_1':0.03,'4_1':0.0},(126,262):{'3_1':0.0},(126,261):{'3_1':0.0},(126,260):{'3_1':0.0},(126,259):{'3_1':0.0,'4_1':0.0},(126,258):{'3_1':0.0},(126,257):{'3_1':0.0},(126,256):{'3_1':0.0,'4_1':0.0},(126,255):{'3_1':0.0,'4_1':0.0},(126,254):{'3_1':0.03},(126,253):{'3_1':0.03},(126,252):{'3_1':0.0,'4_1':0.0},(126,251):{'3_1':0.0,'4_1':0.0},(126,250):{'3_1':0.0},(126,249):{'3_1':0.0,'4_1':0.0},(126,246):{'3_1':0.0},(126,244):{'3_1':0.0},(126,243):{'3_1':0.0},(126,242):{'3_1':0.0},(126,241):{'5_2':0.0,'3_1':0.0},(126,240):{'3_1':0.03},(126,239):{'3_1':0.03},(126,238):{'3_1':0.0},(126,237):{'3_1':0.03},(126,236):{'3_1':0.0},(126,235):{'3_1':0.0},(126,223):{'3_1':0.0},(126,222):{'3_1':0.0},(126,221):{'3_1':0.0},(126,219):{'3_1':0.0},(126,218):{'3_1':0.0},(126,217):{'3_1':0.0},(126,216):{'3_1':0.0},(126,215):{'3_1':0.0},(126,214):{'3_1':0.0},(126,213):{'3_1':0.0},(126,212):{'3_1':0.0},(126,211):{'3_1':0.0},(126,210):{'3_1':0.0},(126,209):{'3_1':0.0},(126,207):{'3_1':0.0},(126,206):{'3_1':0.0},(126,205):{'3_1':0.0},(126,204):{'3_1':0.0},(126,202):{'3_1':0.0},(126,201):{'3_1':0.0},(126,200):{'3_1':0.0},(126,199):{'3_1':0.0},(126,198):{'3_1':0.0},(126,197):{'3_1':0.0},(126,196):{'3_1':0.0},(126,194):{'3_1':0.0},(126,191):{'3_1':0.0},(126,187):{'3_1':0.0},(126,184):{'3_1':0.0},(126,181):{'3_1':0.0},(126,180):{'3_1':0.0},(126,179):{'3_1':0.0},(126,164):{'3_1':0.0},(126,162):{'3_1':0.0},(127,459):{'3_1':0.9,'5_2':0.0,'5_1':0.0},(127,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0},(127,457):{'3_1':0.9,'6_2':0.0,'7_5':0.0},(127,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(127,455):{'3_1':0.9,'5_2':0.0,'8_2':0.0},(127,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0},(127,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(127,452):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(127,451):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(127,450):{'3_1':0.9},(127,449):{'3_1':0.87,'5_1':0.03},(127,448):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(127,447):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(127,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(127,445):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(127,444):{'3_1':0.9,'5_1':0.03,'5_2':0.0},(127,443):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'4_1':0.0,'-3':0.0},(127,442):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(127,441):{'3_1':0.9,'5_2':0.0,'7_1':0.0},(127,440):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'7_1':0.0},(127,439):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'5_2':0.0},(127,438):{'3_1':0.87,'5_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0},(127,437):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(127,436):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0},(127,435):{'3_1':0.84,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(127,434):{'3_1':0.84,'6_2':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(127,433):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0},(127,432):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0},(127,431):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_3':0.0},(127,430):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(127,429):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(127,428):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0},(127,427):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'8_2':0.0,'7_5':0.0,'9_1':0.0,'-3':0.0},(127,426):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0},(127,425):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_2':0.0},(127,424):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_2':0.0},(127,423):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(127,422):{'3_1':0.81,'5_2':0.0,'8_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(127,421):{'3_1':0.78,'5_1':0.06,'5_2':0.06,'6_2':0.0,'7_1':0.0,'7_5':0.0},(127,420):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'-3':0.0},(127,419):{'3_1':0.78,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(127,418):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(127,417):{'3_1':0.75,'5_1':0.09,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0,'-3':0.0},(127,416):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(127,415):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'8_2':0.0,'6_2':0.0},(127,414):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0},(127,413):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_2':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0},(127,412):{'3_1':0.69,'5_1':0.12,'5_2':0.06,'8_2':0.03,'7_1':0.0},(127,411):{'3_1':0.57,'5_1':0.18,'5_2':0.06,'6_2':0.03,'8_2':0.03,'7_3':0.0},(127,410):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'6_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'8_4':0.0},(127,409):{'3_1':0.6,'5_1':0.18,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(127,408):{'3_1':0.75,'5_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(127,407):{'3_1':0.69,'5_1':0.09,'5_2':0.06,'8_2':0.0,'7_1':0.0,'7_2':0.0,'8_9':0.0},(127,406):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'5_2':0.03},(127,405):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_2':0.0},(127,404):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0},(127,403):{'3_1':0.81,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(127,402):{'3_1':0.78,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(127,401):{'3_1':0.87,'6_2':0.03,'5_1':0.0,'5_2':0.0},(127,400):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0},(127,399):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(127,398):{'3_1':0.84,'5_2':0.0,'6_2':0.0},(127,397):{'3_1':0.78,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(127,396):{'3_1':0.75,'5_1':0.03,'4_1':0.0},(127,395):{'3_1':0.69,'4_1':0.03,'5_1':0.03,'5_2':0.0},(127,394):{'3_1':0.75,'5_2':0.0,'5_1':0.0,'6_2':0.0},(127,393):{'3_1':0.66,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0},(127,392):{'3_1':0.6,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_6':0.0},(127,391):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(127,390):{'3_1':0.6,'4_1':0.03,'5_1':0.03,'5_2':0.0},(127,389):{'3_1':0.57,'4_1':0.03,'6_2':0.0},(127,388):{'3_1':0.45,'4_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'8_9':0.0},(127,387):{'3_1':0.33,'5_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0},(127,386):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0},(127,385):{'3_1':0.27,'4_1':0.03,'6_2':0.0,'5_1':0.0},(127,384):{'3_1':0.15,'4_1':0.03},(127,383):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_7':0.0},(127,382):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(127,381):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_1':0.0},(127,380):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(127,379):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'6_1':0.0},(127,378):{'3_1':0.06,'5_2':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(127,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(127,376):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'5_1':0.0},(127,375):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_5':0.0},(127,374):{'3_1':0.06,'4_1':0.0},(127,373):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(127,372):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(127,371):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(127,370):{'3_1':0.09},(127,369):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(127,368):{'3_1':0.03,'8_17':0.0},(127,367):{'3_1':0.03},(127,366):{'3_1':0.06,'6_2':0.0,'6_3':0.0},(127,365):{'3_1':0.09,'4_1':0.0},(127,364):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(127,363):{'3_1':0.0},(127,362):{'3_1':0.06,'4_1':0.0},(127,361):{'3_1':0.0},(127,360):{'3_1':0.03,'4_1':0.0},(127,359):{'3_1':0.03},(127,358):{'3_1':0.0},(127,357):{'3_1':0.0,'6_2':0.0},(127,356):{'3_1':0.03},(127,355):{'3_1':0.06,'6_3':0.0},(127,354):{'3_1':0.03,'4_1':0.0},(127,353):{'3_1':0.06,'6_3':0.0},(127,352):{'3_1':0.03},(127,351):{'3_1':0.06},(127,350):{'3_1':0.0},(127,349):{'3_1':0.0},(127,348):{'3_1':0.0},(127,346):{'3_1':0.0,'4_1':0.0},(127,345):{'4_1':0.0},(127,344):{'4_1':0.0,'3_1':0.0},(127,343):{'3_1':0.03},(127,342):{'4_1':0.03,'3_1':0.0},(127,341):{'3_1':0.0,'6_1':0.0},(127,340):{'3_1':0.0},(127,339):{'3_1':0.0,'4_1':0.0},(127,338):{'3_1':0.03,'4_1':0.0},(127,337):{'3_1':0.0},(127,336):{'3_1':0.03,'4_1':0.0},(127,335):{'3_1':0.0,'4_1':0.0},(127,334):{'3_1':0.0,'6_1':0.0},(127,333):{'3_1':0.0,'4_1':0.0},(127,332):{'3_1':0.03},(127,331):{'3_1':0.03},(127,330):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(127,329):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(127,328):{'3_1':0.0},(127,327):{'4_1':0.0,'3_1':0.0},(127,326):{'3_1':0.0,'4_1':0.0},(127,325):{'3_1':0.0},(127,324):{'3_1':0.0,'4_1':0.0},(127,323):{'3_1':0.0},(127,322):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(127,321):{'3_1':0.0},(127,320):{'3_1':0.0,'6_2':0.0},(127,319):{'3_1':0.03,'4_1':0.0},(127,318):{'3_1':0.03},(127,317):{'3_1':0.0},(127,316):{'3_1':0.0,'8_21|3_1#4_1':0.0},(127,315):{'3_1':0.0},(127,314):{'3_1':0.0},(127,312):{'3_1':0.0},(127,311):{'3_1':0.03,'4_1':0.0},(127,310):{'3_1':0.0,'5_2':0.0},(127,309):{'3_1':0.0,'6_3':0.0},(127,308):{'3_1':0.03,'6_2':0.0},(127,307):{'3_1':0.03},(127,306):{'3_1':0.0},(127,305):{'3_1':0.0},(127,304):{'3_1':0.03},(127,303):{'8_21|3_1#4_1':0.0},(127,302):{'3_1':0.0},(127,301):{'3_1':0.0},(127,300):{'3_1':0.0,'4_1':0.0},(127,299):{'3_1':0.03,'4_1':0.0},(127,298):{'8_20|3_1#3_1':0.0},(127,297):{'3_1':0.03},(127,296):{'3_1':0.0},(127,295):{'3_1':0.0},(127,294):{'3_1':0.0},(127,293):{'3_1':0.0},(127,291):{'3_1':0.0,'4_1':0.0},(127,290):{'3_1':0.03},(127,289):{'3_1':0.0,'8_21|3_1#4_1':0.0},(127,288):{'3_1':0.0,'4_1':0.0},(127,286):{'3_1':0.0},(127,285):{'3_1':0.0},(127,284):{'3_1':0.0},(127,283):{'3_1':0.0},(127,282):{'3_1':0.0},(127,280):{'3_1':0.0},(127,278):{'3_1':0.0},(127,277):{'3_1':0.0,'4_1':0.0},(127,276):{'3_1':0.0},(127,275):{'3_1':0.0},(127,274):{'3_1':0.03},(127,273):{'3_1':0.0},(127,271):{'3_1':0.0,'4_1':0.0},(127,270):{'3_1':0.0},(127,269):{'3_1':0.0},(127,268):{'3_1':0.0,'4_1':0.0},(127,267):{'3_1':0.03,'4_1':0.0},(127,266):{'3_1':0.0},(127,265):{'3_1':0.0},(127,264):{'3_1':0.0},(127,263):{'3_1':0.0},(127,262):{'3_1':0.0,'4_1':0.0},(127,261):{'3_1':0.0},(127,260):{'3_1':0.0,'5_2':0.0},(127,259):{'3_1':0.0},(127,258):{'3_1':0.0},(127,257):{'3_1':0.0},(127,256):{'3_1':0.0},(127,255):{'3_1':0.0,'4_1':0.0},(127,254):{'3_1':0.03,'4_1':0.0},(127,253):{'3_1':0.0},(127,252):{'3_1':0.0},(127,251):{'3_1':0.0,'4_1':0.0},(127,249):{'3_1':0.0},(127,248):{'3_1':0.0},(127,245):{'3_1':0.0},(127,244):{'3_1':0.0},(127,242):{'3_1':0.0},(127,241):{'3_1':0.0},(127,240):{'3_1':0.0},(127,239):{'3_1':0.0},(127,238):{'3_1':0.0},(127,237):{'3_1':0.0},(127,236):{'3_1':0.0},(127,234):{'3_1':0.0},(127,233):{'3_1':0.0},(127,231):{'3_1':0.0},(127,230):{'3_1':0.0},(127,229):{'3_1':0.0},(127,226):{'3_1':0.0},(127,225):{'3_1':0.03},(127,222):{'3_1':0.0},(127,221):{'3_1':0.0},(127,220):{'3_1':0.0},(127,219):{'3_1':0.0},(127,218):{'3_1':0.0},(127,217):{'3_1':0.0,'4_1':0.0},(127,216):{'3_1':0.0},(127,211):{'3_1':0.03},(127,210):{'3_1':0.0},(127,209):{'3_1':0.0},(127,208):{'5_2':0.0},(127,207):{'3_1':0.0},(127,206):{'3_1':0.0},(127,205):{'3_1':0.0},(127,204):{'3_1':0.0},(127,203):{'3_1':0.0},(127,200):{'3_1':0.0},(127,199):{'3_1':0.0},(127,198):{'3_1':0.0},(127,197):{'3_1':0.0},(127,196):{'3_1':0.0},(127,192):{'3_1':0.0},(127,191):{'3_1':0.0},(127,189):{'3_1':0.0},(127,186):{'3_1':0.0},(127,185):{'3_1':0.0},(127,182):{'3_1':0.0},(127,168):{'3_1':0.0},(127,167):{'3_1':0.0},(127,162):{'3_1':0.0},(128,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(128,458):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'7_3':0.0},(128,457):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0},(128,456):{'3_1':0.9,'5_2':0.0,'5_1':0.0},(128,455):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(128,454):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(128,453):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0},(128,452):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(128,451):{'3_1':0.9,'5_2':0.0},(128,450):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(128,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0},(128,448):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'6_2':0.0},(128,447):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'4_1':0.0},(128,446):{'3_1':0.9,'5_2':0.03,'4_1':0.0,'5_1':0.0},(128,445):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(128,444):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(128,443):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(128,442):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0},(128,441):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'7_2':0.0},(128,440):{'3_1':0.9,'5_1':0.03,'5_2':0.0},(128,439):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(128,438):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(128,437):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'5_2':0.0,'6_2':0.0},(128,436):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'8_14':0.0},(128,435):{'3_1':0.9,'5_1':0.03,'7_1':0.0},(128,434):{'3_1':0.84,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(128,433):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0},(128,432):{'3_1':0.84,'5_1':0.03,'8_2':0.03,'5_2':0.0,'-3':0.0},(128,431):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0},(128,430):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(128,429):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(128,428):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(128,427):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'4_1':0.0,'8_11':0.0},(128,426):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'6_2':0.0},(128,425):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0},(128,424):{'3_1':0.9,'5_1':0.03,'8_2':0.0,'6_2':0.0},(128,423):{'3_1':0.78,'5_1':0.06,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(128,422):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0},(128,421):{'3_1':0.84,'5_1':0.06,'8_2':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(128,420):{'3_1':0.81,'5_2':0.03,'8_2':0.03,'5_1':0.0,'6_2':0.0,'9_1':0.0,'-3':0.0},(128,419):{'3_1':0.69,'5_2':0.06,'5_1':0.06,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(128,418):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'8_11':0.0},(128,417):{'3_1':0.75,'5_1':0.09,'6_2':0.03,'8_2':0.0,'5_2':0.0,'-3':0.0},(128,416):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(128,415):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(128,414):{'3_1':0.69,'5_1':0.06,'8_2':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(128,413):{'3_1':0.6,'5_1':0.18,'8_2':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0},(128,412):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(128,411):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(128,410):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'-3':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0},(128,409):{'3_1':0.72,'5_1':0.12,'6_2':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(128,408):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(128,407):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'7_3':0.0,'7_5':0.0},(128,406):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(128,405):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(128,404):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_4':0.0},(128,403):{'3_1':0.78,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0},(128,402):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_3':0.0},(128,401):{'3_1':0.78,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(128,400):{'3_1':0.81,'5_1':0.0,'6_1':0.0,'6_2':0.0},(128,399):{'3_1':0.78,'5_2':0.0,'5_1':0.0,'6_2':0.0,'4_1':0.0,'8_16':0.0},(128,398):{'3_1':0.84,'5_2':0.03,'6_3':0.0},(128,397):{'3_1':0.75,'5_1':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0},(128,396):{'3_1':0.87,'5_1':0.03},(128,395):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'7_6':0.0},(128,394):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0},(128,393):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(128,392):{'3_1':0.66,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0,'6_2':0.0},(128,391):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(128,390):{'3_1':0.63,'5_1':0.06,'4_1':0.0,'5_2':0.0},(128,389):{'3_1':0.54,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(128,388):{'3_1':0.51,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(128,387):{'3_1':0.33,'6_2':0.03,'4_1':0.0,'5_1':0.0},(128,386):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(128,385):{'3_1':0.24,'6_2':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(128,384):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0},(128,383):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0},(128,382):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(128,381):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(128,380):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(128,379):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(128,378):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(128,377):{'3_1':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0},(128,376):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(128,375):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(128,374):{'3_1':0.06,'4_1':0.0},(128,373):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(128,372):{'3_1':0.0,'4_1':0.0},(128,371):{'3_1':0.03,'5_2':0.0},(128,370):{'3_1':0.03,'7_6':0.0},(128,369):{'4_1':0.03,'3_1':0.0},(128,368):{'3_1':0.03},(128,367):{'3_1':0.09,'5_1':0.0},(128,366):{'3_1':0.06,'4_1':0.0},(128,365):{'3_1':0.0,'4_1':0.0},(128,364):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(128,363):{'3_1':0.03,'6_2':0.0},(128,362):{'3_1':0.03,'4_1':0.0},(128,361):{'3_1':0.03,'4_1':0.0},(128,360):{'3_1':0.06},(128,359):{'3_1':0.03},(128,358):{'3_1':0.03},(128,357):{'3_1':0.06,'4_1':0.0},(128,356):{'3_1':0.06},(128,355):{'3_1':0.0},(128,354):{'3_1':0.06},(128,353):{'3_1':0.03,'4_1':0.0},(128,352):{'3_1':0.06,'6_2':0.0},(128,351):{'3_1':0.03},(128,350):{'3_1':0.03,'4_1':0.0},(128,349):{'3_1':0.0,'4_1':0.0},(128,348):{'3_1':0.0},(128,347):{'3_1':0.0},(128,346):{'3_1':0.03},(128,345):{'3_1':0.0},(128,343):{'3_1':0.0,'4_1':0.0},(128,342):{'3_1':0.03,'4_1':0.0},(128,341):{'3_1':0.0,'4_1':0.0},(128,340):{'3_1':0.0,'4_1':0.0},(128,339):{'3_1':0.03,'4_1':0.0},(128,338):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(128,337):{'3_1':0.03},(128,336):{'3_1':0.06},(128,335):{'3_1':0.03,'4_1':0.0},(128,334):{'3_1':0.0,'4_1':0.0},(128,333):{'3_1':0.03},(128,332):{'3_1':0.03},(128,331):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(128,330):{'3_1':0.03,'4_1':0.0},(128,329):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(128,328):{'3_1':0.0,'4_1':0.0},(128,327):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(128,326):{'3_1':0.03,'4_1':0.0},(128,325):{'3_1':0.03,'4_1':0.0},(128,324):{'3_1':0.0},(128,323):{'6_1':0.0},(128,322):{'3_1':0.0},(128,321):{'3_1':0.0,'4_1':0.0},(128,320):{'3_1':0.0,'4_1':0.0},(128,319):{'3_1':0.0,'4_1':0.0},(128,318):{'4_1':0.0,'3_1':0.0},(128,317):{'3_1':0.0},(128,316):{'3_1':0.0},(128,315):{'3_1':0.0,'4_1':0.0},(128,314):{'3_1':0.0},(128,313):{'3_1':0.03,'4_1':0.0},(128,312):{'3_1':0.03,'4_1':0.0},(128,311):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(128,310):{'4_1':0.0},(128,309):{'4_1':0.0},(128,308):{'3_1':0.0,'4_1':0.0},(128,307):{'4_1':0.0,'3_1':0.0},(128,306):{'3_1':0.0},(128,305):{'3_1':0.0,'4_1':0.0},(128,304):{'3_1':0.0},(128,303):{'3_1':0.0},(128,302):{'3_1':0.0,'5_2':0.0},(128,301):{'3_1':0.03},(128,300):{'3_1':0.0},(128,299):{'3_1':0.03,'4_1':0.0},(128,298):{'3_1':0.0,'5_2':0.0},(128,297):{'3_1':0.0},(128,296):{'3_1':0.0},(128,295):{'3_1':0.0,'4_1':0.0},(128,294):{'4_1':0.0},(128,292):{'3_1':0.0},(128,289):{'3_1':0.0,'4_1':0.0},(128,288):{'4_1':0.0},(128,286):{'3_1':0.0,'6_3':0.0},(128,285):{'3_1':0.0},(128,284):{'3_1':0.0,'4_1':0.0},(128,283):{'3_1':0.0},(128,281):{'3_1':0.0},(128,280):{'3_1':0.0,'4_1':0.0},(128,279):{'3_1':0.0},(128,278):{'3_1':0.0,'4_1':0.0},(128,277):{'3_1':0.0,'4_1':0.0},(128,276):{'3_1':0.0},(128,275):{'3_1':0.0,'4_1':0.0},(128,274):{'3_1':0.0,'4_1':0.0},(128,273):{'3_1':0.0},(128,272):{'3_1':0.03},(128,271):{'3_1':0.0},(128,270):{'3_1':0.0},(128,269):{'3_1':0.03,'4_1':0.0},(128,268):{'3_1':0.0},(128,267):{'3_1':0.03},(128,266):{'3_1':0.03,'4_1':0.0},(128,265):{'3_1':0.03},(128,264):{'3_1':0.06},(128,263):{'3_1':0.0},(128,262):{'3_1':0.0,'4_1':0.0},(128,261):{'3_1':0.0},(128,260):{'3_1':0.0,'4_1':0.0},(128,259):{'3_1':0.0},(128,258):{'3_1':0.0},(128,257):{'3_1':0.0,'5_2':0.0},(128,256):{'3_1':0.0},(128,255):{'3_1':0.0},(128,254):{'3_1':0.0},(128,253):{'3_1':0.0},(128,252):{'3_1':0.0},(128,251):{'3_1':0.0},(128,250):{'3_1':0.0},(128,249):{'3_1':0.0,'4_1':0.0},(128,248):{'4_1':0.0},(128,246):{'3_1':0.0},(128,243):{'3_1':0.0},(128,242):{'3_1':0.0},(128,241):{'3_1':0.0},(128,240):{'3_1':0.0},(128,239):{'3_1':0.0},(128,238):{'3_1':0.0},(128,237):{'3_1':0.0},(128,236):{'3_1':0.0},(128,235):{'3_1':0.0},(128,232):{'3_1':0.0},(128,231):{'3_1':0.0},(128,229):{'3_1':0.0},(128,228):{'3_1':0.0},(128,227):{'3_1':0.0},(128,226):{'3_1':0.0},(128,225):{'3_1':0.03},(128,223):{'3_1':0.0},(128,222):{'3_1':0.0},(128,221):{'3_1':0.0},(128,219):{'3_1':0.0},(128,218):{'3_1':0.0},(128,217):{'3_1':0.0},(128,216):{'3_1':0.0},(128,215):{'3_1':0.0},(128,212):{'3_1':0.0},(128,211):{'3_1':0.0},(128,210):{'3_1':0.03},(128,209):{'3_1':0.0},(128,208):{'3_1':0.0},(128,207):{'3_1':0.0},(128,206):{'3_1':0.0},(128,204):{'3_1':0.0},(128,203):{'3_1':0.0},(128,202):{'3_1':0.0},(128,200):{'3_1':0.0},(128,199):{'3_1':0.0},(128,198):{'3_1':0.0},(128,197):{'3_1':0.0},(128,196):{'3_1':0.0},(128,194):{'3_1':0.0},(128,184):{'3_1':0.0},(128,183):{'3_1':0.0},(129,459):{'3_1':0.9,'5_2':0.0,'6_2':0.0},(129,458):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(129,457):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0},(129,456):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'7_1':0.0},(129,455):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0},(129,454):{'3_1':0.9,'5_1':0.0},(129,453):{'3_1':0.9,'5_1':0.0},(129,452):{'3_1':0.9,'7_1':0.0},(129,451):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0},(129,450):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(129,449):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'6_2':0.0},(129,448):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(129,447):{'3_1':0.9,'5_1':0.0,'7_1':0.0},(129,446):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0},(129,445):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0},(129,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0},(129,443):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0},(129,442):{'3_1':0.84,'5_2':0.03,'8_2':0.0,'5_1':0.0,'7_1':0.0},(129,441):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0},(129,440):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0},(129,439):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_2':0.0,'7_3':0.0},(129,438):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'4_1':0.0},(129,437):{'3_1':0.87,'5_1':0.0,'5_2':0.0},(129,436):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'4_1':0.0},(129,435):{'3_1':0.9,'5_2':0.0,'8_20|3_1#3_1':0.0},(129,434):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_6':0.0,'-3':0.0},(129,433):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(129,432):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0},(129,431):{'3_1':0.87,'8_2':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(129,430):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(129,429):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(129,428):{'3_1':0.75,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(129,427):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'8_2':0.0,'-3':0.0},(129,426):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0},(129,425):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(129,424):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0},(129,423):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(129,422):{'3_1':0.72,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(129,421):{'3_1':0.84,'5_1':0.06,'6_2':0.0,'5_2':0.0,'7_3':0.0},(129,420):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(129,419):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'8_2':0.0,'7_1':0.0,'7_5':0.0},(129,418):{'3_1':0.75,'5_1':0.06,'8_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(129,417):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'5_2':0.0,'6_2':0.0,'8_11':0.0},(129,416):{'3_1':0.78,'5_1':0.09,'5_2':0.03,'8_2':0.0},(129,415):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'4_1':0.0,'8_2':0.0},(129,414):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.03,'8_2':0.0},(129,413):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0},(129,412):{'3_1':0.72,'5_1':0.15,'5_2':0.03,'8_2':0.0,'6_2':0.0},(129,411):{'3_1':0.72,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(129,410):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0},(129,409):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0},(129,408):{'3_1':0.84,'5_1':0.06,'6_2':0.03,'7_3':0.0},(129,407):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0},(129,406):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'-3':0.0},(129,405):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(129,404):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_6':0.0},(129,403):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_11':0.0},(129,402):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0},(129,401):{'3_1':0.75,'4_1':0.03,'5_1':0.03,'5_2':0.0},(129,400):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_4':0.0},(129,399):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(129,398):{'3_1':0.78,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(129,397):{'3_1':0.75,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_17':0.0},(129,396):{'3_1':0.81,'5_1':0.0,'4_1':0.0,'5_2':0.0},(129,395):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0},(129,394):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'5_2':0.0},(129,393):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(129,392):{'3_1':0.57,'5_1':0.03,'4_1':0.03,'5_2':0.0},(129,391):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'6_2':0.0},(129,390):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(129,389):{'3_1':0.51,'5_1':0.03,'4_1':0.03,'7_7':0.0},(129,388):{'3_1':0.42,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(129,387):{'3_1':0.42,'4_1':0.0,'5_2':0.0,'6_2':0.0},(129,386):{'3_1':0.24,'5_1':0.06,'4_1':0.0,'5_2':0.0},(129,385):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'6_2':0.0,'5_2':0.0},(129,384):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(129,383):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(129,382):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(129,381):{'3_1':0.12,'5_1':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0},(129,380):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_7':0.0},(129,379):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(129,378):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(129,377):{'3_1':0.06,'4_1':0.0},(129,376):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(129,375):{'3_1':0.03,'4_1':0.0},(129,374):{'3_1':0.03,'4_1':0.0,'8_8':0.0,'-3':0.0},(129,373):{'3_1':0.03,'4_1':0.0},(129,372):{'3_1':0.09,'5_1':0.0},(129,371):{'3_1':0.0},(129,370):{'3_1':0.03,'5_2':0.0},(129,369):{'3_1':0.09},(129,368):{'3_1':0.03,'4_1':0.0},(129,367):{'3_1':0.03,'5_1':0.0},(129,366):{'3_1':0.06},(129,365):{'3_1':0.03,'4_1':0.0},(129,364):{'3_1':0.06},(129,363):{'3_1':0.0},(129,362):{'3_1':0.03},(129,361):{'3_1':0.03},(129,360):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(129,359):{'3_1':0.03},(129,358):{'3_1':0.0},(129,357):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(129,356):{'3_1':0.0,'5_1':0.0},(129,355):{'3_1':0.03},(129,354):{'3_1':0.0},(129,353):{'3_1':0.06,'5_1':0.0},(129,352):{'3_1':0.0,'4_1':0.0},(129,350):{'4_1':0.0,'3_1':0.0,'8_5':0.0},(129,349):{'3_1':0.0},(129,348):{'3_1':0.0},(129,347):{'3_1':0.0},(129,346):{'3_1':0.0,'4_1':0.0},(129,345):{'3_1':0.0,'4_1':0.0},(129,344):{'3_1':0.0},(129,343):{'3_1':0.03,'4_1':0.0},(129,342):{'3_1':0.03,'4_1':0.0},(129,341):{'3_1':0.03},(129,340):{'3_1':0.03},(129,339):{'3_1':0.0,'4_1':0.0},(129,338):{'3_1':0.09,'4_1':0.0},(129,337):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(129,336):{'3_1':0.03,'4_1':0.0},(129,335):{'3_1':0.0,'4_1':0.0},(129,334):{'3_1':0.03,'4_1':0.0},(129,333):{'3_1':0.03},(129,332):{'3_1':0.0,'4_1':0.0},(129,331):{'3_1':0.06,'4_1':0.0},(129,330):{'3_1':0.03,'4_1':0.0},(129,329):{'3_1':0.06,'4_1':0.0},(129,328):{'6_3':0.0},(129,327):{'3_1':0.0,'6_1':0.0},(129,326):{'3_1':0.0,'4_1':0.0},(129,325):{'3_1':0.0},(129,324):{'3_1':0.0,'4_1':0.0},(129,323):{'3_1':0.0,'4_1':0.0},(129,322):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(129,319):{'3_1':0.03,'4_1':0.0},(129,318):{'3_1':0.0},(129,317):{'3_1':0.0,'4_1':0.0},(129,316):{'3_1':0.0},(129,315):{'3_1':0.0},(129,314):{'3_1':0.03},(129,313):{'3_1':0.0,'6_3':0.0},(129,312):{'3_1':0.0},(129,310):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(129,308):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(129,307):{'3_1':0.0},(129,306):{'3_1':0.0},(129,305):{'3_1':0.0},(129,304):{'3_1':0.03,'5_2':0.0},(129,303):{'3_1':0.0},(129,302):{'3_1':0.03,'4_1':0.0},(129,301):{'3_1':0.0},(129,300):{'3_1':0.03},(129,299):{'3_1':0.0},(129,298):{'3_1':0.0,'6_2':0.0},(129,295):{'3_1':0.0},(129,294):{'3_1':0.0},(129,293):{'4_1':0.0},(129,292):{'3_1':0.03},(129,291):{'3_1':0.0},(129,290):{'3_1':0.03},(129,288):{'4_1':0.0},(129,287):{'3_1':0.0,'4_1':0.0},(129,285):{'3_1':0.0},(129,284):{'3_1':0.0},(129,283):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(129,282):{'3_1':0.03},(129,281):{'3_1':0.0},(129,277):{'3_1':0.0},(129,276):{'3_1':0.03},(129,275):{'3_1':0.0},(129,274):{'3_1':0.0},(129,273):{'3_1':0.0},(129,271):{'3_1':0.0},(129,270):{'3_1':0.03},(129,269):{'3_1':0.0,'4_1':0.0},(129,268):{'3_1':0.0},(129,267):{'3_1':0.03},(129,266):{'3_1':0.0},(129,265):{'3_1':0.0},(129,264):{'3_1':0.03,'4_1':0.0},(129,263):{'3_1':0.03},(129,262):{'3_1':0.0},(129,261):{'3_1':0.0},(129,259):{'3_1':0.03},(129,258):{'3_1':0.0},(129,256):{'3_1':0.0},(129,254):{'3_1':0.0,'4_1':0.0},(129,253):{'3_1':0.0},(129,252):{'3_1':0.0,'4_1':0.0},(129,251):{'3_1':0.0},(129,250):{'3_1':0.0},(129,247):{'3_1':0.0},(129,246):{'3_1':0.0},(129,245):{'3_1':0.0},(129,244):{'3_1':0.03},(129,243):{'3_1':0.0},(129,242):{'3_1':0.0},(129,241):{'3_1':0.0},(129,239):{'3_1':0.0},(129,238):{'3_1':0.03},(129,237):{'4_1':0.0},(129,236):{'3_1':0.0,'4_1':0.0},(129,234):{'3_1':0.0},(129,232):{'3_1':0.0},(129,231):{'3_1':0.0},(129,230):{'3_1':0.0,'4_1':0.0},(129,229):{'3_1':0.0},(129,228):{'3_1':0.0},(129,226):{'3_1':0.0},(129,225):{'3_1':0.0},(129,222):{'3_1':0.0},(129,221):{'3_1':0.0},(129,220):{'3_1':0.0},(129,219):{'3_1':0.0},(129,217):{'3_1':0.0},(129,216):{'3_1':0.0},(129,215):{'3_1':0.0},(129,214):{'3_1':0.0},(129,213):{'3_1':0.0},(129,212):{'3_1':0.03},(129,211):{'3_1':0.0},(129,210):{'3_1':0.0},(129,208):{'3_1':0.0},(129,207):{'3_1':0.0},(129,206):{'3_1':0.0},(129,205):{'3_1':0.03},(129,203):{'3_1':0.0},(129,202):{'3_1':0.0},(129,201):{'3_1':0.0},(129,200):{'3_1':0.0},(129,199):{'3_1':0.03},(129,198):{'3_1':0.0},(129,194):{'3_1':0.0},(129,188):{'3_1':0.0},(129,187):{'3_1':0.0},(130,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(130,458):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(130,457):{'3_1':0.9,'5_2':0.0,'4_1':0.0,'5_1':0.0},(130,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0},(130,455):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(130,454):{'3_1':0.87,'5_1':0.03,'5_2':0.0},(130,453):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0},(130,452):{'3_1':0.87,'5_1':0.03,'8_20|3_1#3_1':0.0},(130,451):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(130,450):{'3_1':0.9,'5_1':0.0,'6_2':0.0},(130,449):{'3_1':0.87,'5_1':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0},(130,448):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(130,447):{'3_1':0.87,'5_1':0.0,'8_2':0.0,'6_2':0.0},(130,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(130,445):{'3_1':0.9,'5_1':0.03,'5_2':0.0},(130,444):{'3_1':0.9,'5_2':0.0,'5_1':0.0},(130,443):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(130,442):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(130,441):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(130,440):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_3':0.0},(130,439):{'3_1':0.87,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0},(130,438):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0},(130,437):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(130,436):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(130,435):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0},(130,434):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(130,433):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0},(130,432):{'3_1':0.9,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(130,431):{'3_1':0.84,'5_1':0.09,'4_1':0.0,'8_2':0.0},(130,430):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0},(130,429):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'4_1':0.0,'8_2':0.0},(130,428):{'3_1':0.84,'5_1':0.09},(130,427):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'4_1':0.0,'-3':0.0},(130,426):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0},(130,425):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_6':0.0,'8_7':0.0},(130,424):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'5_2':0.0,'4_1':0.0},(130,423):{'3_1':0.87,'5_2':0.03,'5_1':0.0},(130,422):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0,'4_1':0.0},(130,421):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0},(130,420):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0},(130,419):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0},(130,418):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(130,417):{'3_1':0.75,'5_1':0.09,'8_2':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0},(130,416):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'8_2':0.0,'8_4':0.0},(130,415):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_2':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(130,414):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(130,413):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'6_2':0.0,'-3':0.0},(130,412):{'3_1':0.63,'5_1':0.18,'8_2':0.03,'5_2':0.0,'6_2':0.0},(130,411):{'3_1':0.69,'5_1':0.12,'8_2':0.03,'4_1':0.0,'7_5':0.0,'5_2':0.0,'6_2':0.0},(130,410):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0},(130,409):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(130,408):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(130,407):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(130,406):{'3_1':0.78,'5_2':0.03,'5_1':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0},(130,405):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(130,404):{'3_1':0.81,'6_2':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(130,403):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_7':0.0},(130,402):{'3_1':0.81,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(130,401):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0},(130,400):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_13':0.0},(130,399):{'3_1':0.84,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(130,398):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'5_2':0.0},(130,397):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(130,396):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0},(130,395):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0},(130,394):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(130,393):{'3_1':0.63,'5_1':0.12,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(130,392):{'3_1':0.72,'5_1':0.0,'6_2':0.0,'7_6':0.0},(130,391):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(130,390):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(130,389):{'3_1':0.48,'4_1':0.0,'6_2':0.0},(130,388):{'3_1':0.42,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(130,387):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(130,386):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(130,385):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'-3':0.0},(130,384):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_4':0.0,'-3':0.0},(130,383):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(130,382):{'3_1':0.09,'6_2':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(130,381):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_4':0.0},(130,380):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(130,379):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(130,378):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(130,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(130,376):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(130,375):{'4_1':0.03,'3_1':0.03},(130,374):{'3_1':0.06,'4_1':0.0},(130,373):{'3_1':0.09,'4_1':0.0},(130,372):{'3_1':0.06,'4_1':0.0},(130,371):{'3_1':0.03,'6_3':0.0},(130,370):{'3_1':0.03,'6_3':0.0},(130,369):{'3_1':0.06,'6_3':0.0},(130,368):{'3_1':0.0,'4_1':0.0},(130,367):{'3_1':0.06},(130,366):{'3_1':0.09,'4_1':0.0},(130,365):{'3_1':0.03},(130,364):{'3_1':0.0,'4_1':0.0},(130,363):{'3_1':0.0},(130,362):{'3_1':0.03},(130,361):{'4_1':0.0,'5_2':0.0},(130,360):{'3_1':0.03},(130,359):{'3_1':0.03,'6_2':0.0},(130,358):{'3_1':0.0},(130,357):{'3_1':0.0},(130,356):{'3_1':0.0,'4_1':0.0,'8_7':0.0},(130,355):{'3_1':0.03},(130,354):{'3_1':0.0},(130,353):{'3_1':0.03},(130,352):{'3_1':0.03,'6_1':0.0},(130,351):{'3_1':0.03},(130,348):{'3_1':0.03},(130,347):{'4_1':0.0,'3_1':0.0},(130,346):{'4_1':0.0,'3_1':0.0},(130,345):{'3_1':0.0},(130,344):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(130,342):{'3_1':0.06,'4_1':0.0},(130,341):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(130,340):{'3_1':0.0},(130,339):{'3_1':0.03,'4_1':0.0},(130,338):{'3_1':0.03,'4_1':0.0},(130,337):{'3_1':0.0,'5_2':0.0},(130,336):{'3_1':0.0,'4_1':0.0},(130,335):{'3_1':0.03,'4_1':0.0},(130,334):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'7_2':0.0},(130,333):{'3_1':0.03,'6_1':0.0},(130,332):{'3_1':0.0,'6_1':0.0},(130,331):{'3_1':0.0},(130,330):{'3_1':0.03,'6_2':0.0},(130,329):{'3_1':0.0,'5_2':0.0},(130,328):{'3_1':0.03,'4_1':0.0},(130,327):{'3_1':0.0,'5_2':0.0},(130,326):{'3_1':0.03},(130,325):{'3_1':0.03,'4_1':0.0},(130,324):{'4_1':0.0},(130,323):{'4_1':0.0},(130,321):{'3_1':0.0},(130,320):{'4_1':0.0,'3_1':0.0},(130,319):{'3_1':0.0,'4_1':0.0},(130,318):{'3_1':0.0},(130,317):{'3_1':0.0},(130,316):{'3_1':0.03,'6_3':0.0},(130,315):{'3_1':0.0,'4_1':0.0},(130,314):{'3_1':0.0},(130,313):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(130,312):{'3_1':0.0},(130,311):{'3_1':0.0,'4_1':0.0},(130,310):{'4_1':0.0,'3_1':0.0},(130,309):{'3_1':0.0,'4_1':0.0},(130,308):{'3_1':0.0,'4_1':0.0},(130,307):{'3_1':0.0},(130,306):{'3_1':0.0},(130,305):{'3_1':0.0},(130,303):{'3_1':0.0,'4_1':0.0},(130,302):{'3_1':0.0},(130,301):{'3_1':0.0},(130,300):{'3_1':0.0},(130,299):{'3_1':0.0},(130,298):{'3_1':0.0,'4_1':0.0},(130,297):{'3_1':0.0},(130,296):{'3_1':0.03},(130,295):{'3_1':0.03,'4_1':0.0},(130,294):{'3_1':0.03},(130,293):{'3_1':0.0},(130,292):{'3_1':0.0},(130,291):{'3_1':0.0,'4_1':0.0},(130,290):{'3_1':0.0,'6_2':0.0},(130,289):{'3_1':0.03,'4_1':0.0},(130,287):{'3_1':0.03},(130,285):{'3_1':0.0},(130,280):{'3_1':0.0},(130,279):{'4_1':0.0},(130,278):{'3_1':0.0},(130,277):{'3_1':0.0,'4_1':0.0},(130,276):{'3_1':0.0},(130,275):{'3_1':0.03},(130,274):{'3_1':0.0,'4_1':0.0},(130,273):{'3_1':0.0,'4_1':0.0},(130,272):{'3_1':0.0},(130,270):{'3_1':0.0,'4_1':0.0},(130,269):{'3_1':0.0},(130,268):{'3_1':0.0},(130,267):{'3_1':0.0},(130,266):{'3_1':0.0,'5_2':0.0},(130,263):{'3_1':0.0},(130,262):{'3_1':0.03},(130,261):{'3_1':0.0},(130,260):{'3_1':0.0},(130,259):{'3_1':0.0,'4_1':0.0},(130,258):{'4_1':0.0},(130,257):{'3_1':0.03},(130,256):{'3_1':0.0},(130,255):{'3_1':0.0,'4_1':0.0},(130,254):{'3_1':0.0},(130,253):{'3_1':0.03,'4_1':0.0},(130,251):{'3_1':0.0,'4_1':0.0},(130,250):{'3_1':0.0},(130,248):{'3_1':0.0},(130,245):{'3_1':0.0},(130,241):{'3_1':0.0},(130,240):{'3_1':0.0},(130,238):{'3_1':0.0},(130,236):{'3_1':0.0},(130,234):{'3_1':0.0},(130,232):{'3_1':0.0},(130,231):{'3_1':0.0},(130,230):{'3_1':0.0},(130,229):{'4_1':0.0},(130,228):{'3_1':0.0},(130,226):{'3_1':0.0,'4_1':0.0},(130,221):{'3_1':0.0},(130,220):{'3_1':0.0},(130,219):{'3_1':0.0},(130,217):{'3_1':0.0},(130,215):{'3_1':0.0},(130,211):{'3_1':0.0},(130,207):{'3_1':0.0},(130,205):{'3_1':0.0},(130,203):{'3_1':0.0},(130,202):{'3_1':0.03},(130,201):{'3_1':0.0},(130,200):{'3_1':0.0},(130,199):{'3_1':0.0},(130,196):{'3_1':0.0},(130,195):{'3_1':0.0},(130,192):{'3_1':0.0},(130,189):{'3_1':0.0},(130,167):{'3_1':0.0},(130,164):{'3_1':0.0},(130,161):{'3_1':0.0},(130,160):{'3_1':0.0},(131,459):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_3':0.0},(131,458):{'3_1':0.9,'5_1':0.0},(131,457):{'3_1':0.9,'7_3':0.0},(131,456):{'3_1':0.9,'5_1':0.03},(131,455):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_6':0.0},(131,454):{'3_1':0.87,'5_1':0.03,'5_2':0.0},(131,453):{'3_1':0.9,'5_1':0.0,'8_20|3_1#3_1':0.0},(131,452):{'3_1':0.9},(131,451):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(131,450):{'3_1':0.9,'5_1':0.0},(131,449):{'3_1':0.9,'5_1':0.03},(131,448):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(131,447):{'3_1':0.9,'5_1':0.03,'4_1':0.0,'7_1':0.0},(131,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(131,445):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_14':0.0},(131,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(131,443):{'3_1':0.87,'6_2':0.0,'5_1':0.0,'-3':0.0},(131,442):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_1':0.0},(131,441):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(131,440):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(131,439):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(131,438):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'6_2':0.0},(131,437):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0},(131,436):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0},(131,435):{'3_1':0.87,'5_1':0.03,'6_2':0.0},(131,434):{'3_1':0.81,'5_1':0.09,'8_2':0.0,'5_2':0.0},(131,433):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_3':0.0},(131,432):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_2':0.0},(131,431):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(131,430):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(131,429):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(131,428):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_2':0.0,'5_2':0.0},(131,427):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(131,426):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0},(131,425):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'6_2':0.0},(131,424):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0},(131,423):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0},(131,422):{'3_1':0.81,'5_1':0.09,'6_2':0.0,'7_1':0.0},(131,421):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0},(131,420):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(131,419):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(131,418):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(131,417):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'5_2':0.0,'4_1':0.0,'8_14':0.0},(131,416):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(131,415):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(131,414):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_2':0.0,'4_1':0.0,'7_1':0.0},(131,413):{'3_1':0.63,'5_1':0.06,'8_2':0.06,'5_2':0.06,'6_2':0.0,'4_1':0.0,'7_3':0.0},(131,412):{'3_1':0.75,'5_1':0.09,'5_2':0.06,'6_2':0.0,'7_2':0.0},(131,411):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0,'7_3':0.0},(131,410):{'3_1':0.72,'5_1':0.18,'5_2':0.03,'6_2':0.0,'8_2':0.0},(131,409):{'3_1':0.69,'5_1':0.15,'5_2':0.06,'8_2':0.0,'7_1':0.0},(131,408):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_4':0.0},(131,407):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(131,406):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'5_2':0.0,'-3':0.0},(131,405):{'3_1':0.72,'5_1':0.09,'7_3':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0},(131,404):{'3_1':0.84,'5_2':0.0,'5_1':0.0,'6_2':0.0},(131,403):{'3_1':0.81,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(131,402):{'3_1':0.81,'5_1':0.0,'5_2':0.0},(131,401):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0},(131,400):{'3_1':0.84,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(131,399):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'7_3':0.0},(131,398):{'3_1':0.75,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(131,397):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_1':0.0},(131,396):{'3_1':0.81,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(131,395):{'3_1':0.75,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(131,394):{'3_1':0.78,'5_2':0.0,'5_1':0.0,'7_4':0.0},(131,393):{'3_1':0.69,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(131,392):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'7_3':0.0,'7_6':0.0},(131,391):{'3_1':0.66,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(131,390):{'3_1':0.6,'5_1':0.06},(131,389):{'3_1':0.42,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0},(131,388):{'3_1':0.57,'5_1':0.03},(131,387):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(131,386):{'3_1':0.3,'5_2':0.0,'6_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(131,385):{'3_1':0.18,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0},(131,384):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0},(131,383):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(131,382):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(131,381):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(131,380):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(131,379):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(131,378):{'3_1':0.03,'4_1':0.03,'5_2':0.03},(131,377):{'3_1':0.06,'4_1':0.0},(131,376):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(131,375):{'3_1':0.06,'4_1':0.03},(131,374):{'3_1':0.06},(131,373):{'3_1':0.06,'4_1':0.0},(131,372):{'3_1':0.03,'6_3':0.0},(131,371):{'3_1':0.0,'6_2':0.0},(131,370):{'3_1':0.03,'8_20|3_1#3_1':0.0},(131,369):{'3_1':0.06},(131,368):{'3_1':0.0,'-3':0.0},(131,367):{'3_1':0.06},(131,366):{'3_1':0.06,'4_1':0.0},(131,365):{'3_1':0.09,'4_1':0.0},(131,364):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(131,363):{'3_1':0.0,'4_1':0.0},(131,362):{'3_1':0.03,'6_2':0.0,'-3':0.0},(131,361):{'3_1':0.0},(131,360):{'3_1':0.0},(131,359):{'3_1':0.0},(131,358):{'3_1':0.0,'4_1':0.0},(131,357):{'3_1':0.0},(131,356):{'3_1':0.0},(131,355):{'3_1':0.0},(131,354):{'3_1':0.03},(131,353):{'3_1':0.03,'4_1':0.0},(131,352):{'3_1':0.03},(131,351):{'3_1':0.0,'5_1':0.0},(131,350):{'3_1':0.0},(131,349):{'3_1':0.0,'4_1':0.0},(131,348):{'3_1':0.0,'4_1':0.0},(131,347):{'3_1':0.0,'4_1':0.0},(131,346):{'3_1':0.0,'4_1':0.0},(131,345):{'4_1':0.0,'3_1':0.0,'6_3':0.0},(131,344):{'3_1':0.03},(131,343):{'4_1':0.0},(131,342):{'3_1':0.0,'4_1':0.0},(131,340):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(131,339):{'3_1':0.03,'4_1':0.0},(131,338):{'3_1':0.03},(131,337):{'3_1':0.03},(131,336):{'3_1':0.03,'4_1':0.0},(131,335):{'3_1':0.03},(131,334):{'3_1':0.0,'4_1':0.0},(131,333):{'3_1':0.03,'4_1':0.0},(131,332):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(131,331):{'3_1':0.09,'4_1':0.0},(131,330):{'3_1':0.0},(131,329):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(131,328):{'3_1':0.0,'4_1':0.0},(131,327):{'3_1':0.0,'4_1':0.0},(131,326):{'3_1':0.0,'4_1':0.0},(131,325):{'3_1':0.03,'4_1':0.0},(131,324):{'3_1':0.0},(131,323):{'4_1':0.0},(131,322):{'3_1':0.0,'4_1':0.0},(131,321):{'3_1':0.03,'4_1':0.0},(131,320):{'4_1':0.0,'3_1':0.0},(131,319):{'3_1':0.0},(131,318):{'3_1':0.0},(131,317):{'4_1':0.0,'3_1':0.0},(131,316):{'3_1':0.0},(131,315):{'3_1':0.0,'4_1':0.0},(131,314):{'3_1':0.0},(131,313):{'3_1':0.0,'4_1':0.0},(131,312):{'3_1':0.0},(131,311):{'3_1':0.0,'4_1':0.0},(131,310):{'3_1':0.0,'4_1':0.0},(131,309):{'4_1':0.0,'3_1':0.0},(131,308):{'3_1':0.0,'6_3':0.0},(131,307):{'3_1':0.03,'5_2':0.0},(131,306):{'3_1':0.0},(131,305):{'3_1':0.0},(131,304):{'3_1':0.0,'-3':0.0},(131,303):{'3_1':0.0,'4_1':0.0},(131,302):{'3_1':0.03},(131,301):{'3_1':0.0},(131,300):{'3_1':0.0},(131,299):{'3_1':0.0},(131,298):{'3_1':0.0},(131,297):{'3_1':0.0},(131,296):{'3_1':0.0},(131,295):{'3_1':0.0},(131,294):{'3_1':0.0},(131,293):{'3_1':0.0,'4_1':0.0},(131,292):{'3_1':0.0,'4_1':0.0},(131,290):{'3_1':0.03},(131,289):{'3_1':0.0},(131,288):{'3_1':0.0,'8_21|3_1#4_1':0.0},(131,287):{'3_1':0.0,'6_2':0.0},(131,286):{'3_1':0.03},(131,285):{'3_1':0.0,'4_1':0.0},(131,283):{'4_1':0.0},(131,282):{'3_1':0.03,'4_1':0.0},(131,281):{'3_1':0.0},(131,280):{'3_1':0.0},(131,279):{'3_1':0.0},(131,277):{'3_1':0.0},(131,275):{'3_1':0.03},(131,274):{'3_1':0.0,'4_1':0.0},(131,273):{'3_1':0.0},(131,271):{'3_1':0.0},(131,269):{'3_1':0.0,'4_1':0.0},(131,268):{'3_1':0.0,'4_1':0.0},(131,267):{'3_1':0.03},(131,266):{'3_1':0.0},(131,265):{'3_1':0.0},(131,264):{'4_1':0.0,'3_1':0.0},(131,263):{'3_1':0.0},(131,262):{'3_1':0.0,'4_1':0.0},(131,261):{'3_1':0.03},(131,260):{'4_1':0.0},(131,259):{'3_1':0.03},(131,258):{'3_1':0.03},(131,257):{'3_1':0.03},(131,256):{'3_1':0.0,'4_1':0.0},(131,255):{'3_1':0.03},(131,253):{'3_1':0.0},(131,252):{'3_1':0.0},(131,251):{'3_1':0.0},(131,250):{'3_1':0.0},(131,249):{'3_1':0.0},(131,247):{'4_1':0.0},(131,246):{'3_1':0.0},(131,245):{'3_1':0.0},(131,244):{'3_1':0.0},(131,243):{'3_1':0.0},(131,242):{'3_1':0.0},(131,241):{'3_1':0.0},(131,240):{'3_1':0.0},(131,239):{'3_1':0.0},(131,238):{'3_1':0.0},(131,237):{'3_1':0.0},(131,236):{'3_1':0.0},(131,235):{'3_1':0.0},(131,234):{'3_1':0.0},(131,233):{'3_1':0.0},(131,232):{'3_1':0.0},(131,231):{'3_1':0.0},(131,230):{'3_1':0.0,'4_1':0.0},(131,229):{'3_1':0.0},(131,228):{'3_1':0.0},(131,227):{'3_1':0.0},(131,225):{'3_1':0.0},(131,218):{'3_1':0.0},(131,215):{'3_1':0.0},(131,214):{'3_1':0.0},(131,211):{'3_1':0.0},(131,208):{'3_1':0.0},(131,207):{'3_1':0.0},(131,206):{'3_1':0.0},(131,205):{'3_1':0.0},(131,204):{'3_1':0.0},(131,203):{'3_1':0.0},(131,202):{'3_1':0.0},(131,199):{'3_1':0.0},(131,198):{'3_1':0.03},(131,197):{'3_1':0.0},(131,192):{'3_1':0.0},(131,189):{'3_1':0.0},(131,187):{'5_2':0.0},(131,184):{'3_1':0.0},(131,180):{'3_1':0.0},(131,179):{'3_1':0.0},(132,459):{'3_1':0.9,'5_1':0.0},(132,458):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(132,457):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0},(132,456):{'3_1':0.9,'5_1':0.03},(132,455):{'3_1':0.9,'5_1':0.0},(132,454):{'3_1':0.9,'5_1':0.03,'7_1':0.0},(132,453):{'3_1':0.9,'5_2':0.0,'5_1':0.0},(132,452):{'3_1':0.87,'5_1':0.06},(132,451):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0},(132,450):{'3_1':0.9,'5_1':0.03,'7_1':0.0},(132,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_2':0.0},(132,448):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_3':0.0},(132,447):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'7_1':0.0},(132,446):{'3_1':0.9,'5_1':0.03,'5_2':0.0},(132,445):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(132,444):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0},(132,443):{'3_1':0.9,'6_2':0.0,'-3':0.0,'7_1':0.0},(132,442):{'3_1':0.84,'5_1':0.03,'7_1':0.0,'6_2':0.0},(132,441):{'3_1':0.9,'5_1':0.0,'7_5':0.0,'8_2':0.0},(132,440):{'3_1':0.9,'5_1':0.03,'-3':0.0},(132,439):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_2':0.0,'7_3':0.0},(132,438):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_3':0.0,'8_2':0.0,'-3':0.0},(132,437):{'3_1':0.9,'5_2':0.0,'5_1':0.0,'7_1':0.0},(132,436):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0},(132,435):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0},(132,434):{'3_1':0.84,'5_1':0.06,'7_1':0.0,'8_2':0.0},(132,433):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(132,432):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0},(132,431):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0},(132,430):{'3_1':0.81,'5_1':0.06,'5_2':0.03},(132,429):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0,'8_7':0.0},(132,428):{'3_1':0.81,'5_1':0.06,'8_2':0.03,'5_2':0.0,'6_2':0.0},(132,427):{'3_1':0.78,'5_2':0.06,'5_1':0.03,'7_3':0.0,'8_2':0.0},(132,426):{'3_1':0.84,'5_1':0.06,'6_2':0.0,'7_1':0.0},(132,425):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'-3':0.0},(132,424):{'3_1':0.72,'5_1':0.12,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_4':0.0},(132,423):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0},(132,422):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0},(132,421):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0},(132,420):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'8_2':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0},(132,419):{'3_1':0.78,'5_1':0.09,'8_2':0.03,'7_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0},(132,418):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(132,417):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_5':0.0},(132,416):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'8_2':0.03,'7_3':0.0,'-3':0.0},(132,415):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.0,'7_1':0.0,'1':-0.03},(132,414):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(132,413):{'3_1':0.66,'5_1':0.12,'8_2':0.06,'5_2':0.03,'7_1':0.0,'8_4':0.0,'8_9':0.0},(132,412):{'3_1':0.69,'5_1':0.18,'5_2':0.03,'8_2':0.0,'6_2':0.0},(132,411):{'3_1':0.63,'5_1':0.18,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(132,410):{'3_1':0.69,'5_1':0.12,'5_2':0.06,'6_2':0.0,'8_2':0.0},(132,409):{'3_1':0.63,'5_1':0.15,'5_2':0.06,'6_2':0.0,'7_1':0.0,'-3':0.0},(132,408):{'3_1':0.72,'5_2':0.06,'5_1':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(132,407):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0},(132,406):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(132,405):{'3_1':0.81,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(132,404):{'3_1':0.84,'5_1':0.03,'6_1':0.0,'6_2':0.0},(132,403):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0},(132,402):{'3_1':0.87,'6_1':0.0,'5_1':0.0,'4_1':0.0},(132,401):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0},(132,400):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_11':0.0,'4_1':0.0},(132,399):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'6_2':0.0},(132,398):{'3_1':0.87,'5_1':0.0,'6_1':0.0},(132,397):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(132,396):{'3_1':0.78,'5_1':0.0,'4_1':0.0,'6_2':0.0},(132,395):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_6':0.0},(132,394):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(132,393):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0},(132,392):{'3_1':0.54,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0},(132,391):{'3_1':0.54,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(132,390):{'3_1':0.63,'5_1':0.09,'4_1':0.0,'7_1':0.0},(132,389):{'3_1':0.54,'4_1':0.03,'5_1':0.0},(132,388):{'3_1':0.45,'5_1':0.03,'4_1':0.0,'6_2':0.0},(132,387):{'3_1':0.3,'4_1':0.03,'5_1':0.03,'6_2':0.03,'7_1':0.0},(132,386):{'3_1':0.3,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(132,385):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0},(132,384):{'3_1':0.18,'6_2':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0},(132,383):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(132,382):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(132,381):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(132,380):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(132,379):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(132,378):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(132,377):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(132,376):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(132,375):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(132,374):{'3_1':0.0,'4_1':0.0},(132,373):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(132,372):{'3_1':0.06},(132,371):{'3_1':0.0},(132,370):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(132,369):{'3_1':0.03,'4_1':0.0},(132,368):{'3_1':0.06},(132,367):{'3_1':0.09},(132,366):{'3_1':0.06},(132,365):{'3_1':0.06,'4_1':0.0},(132,364):{'3_1':0.12,'4_1':0.0},(132,363):{'3_1':0.03,'6_3':0.0},(132,362):{'3_1':0.03,'4_1':0.0,'8_5':0.0},(132,361):{'3_1':0.0},(132,360):{'3_1':0.03},(132,359):{'3_1':0.03},(132,358):{'3_1':0.0,'4_1':0.0},(132,357):{'3_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0},(132,356):{'3_1':0.03},(132,355):{'3_1':0.0},(132,354):{'3_1':0.0},(132,353):{'3_1':0.03},(132,352):{'3_1':0.0},(132,351):{'3_1':0.03,'6_3':0.0},(132,350):{'3_1':0.0},(132,349):{'3_1':0.0},(132,348):{'4_1':0.0,'3_1':0.0},(132,347):{'4_1':0.0,'3_1':0.0},(132,346):{'3_1':0.0,'4_1':0.0},(132,345):{'3_1':0.03},(132,344):{'3_1':0.0,'4_1':0.0},(132,342):{'3_1':0.03},(132,341):{'3_1':0.0,'4_1':0.0},(132,340):{'4_1':0.03,'3_1':0.03},(132,339):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(132,338):{'4_1':0.0,'3_1':0.0},(132,337):{'4_1':0.0,'3_1':0.0,'8_20|3_1#3_1':0.0},(132,336):{'3_1':0.03,'4_1':0.0},(132,335):{'3_1':0.0,'6_1':0.0},(132,334):{'3_1':0.03,'4_1':0.0},(132,333):{'3_1':0.03,'4_1':0.0},(132,332):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(132,331):{'3_1':0.0},(132,330):{'3_1':0.03},(132,329):{'3_1':0.03,'6_1':0.0},(132,328):{'3_1':0.03,'4_1':0.0},(132,327):{'3_1':0.0,'4_1':0.0},(132,326):{'3_1':0.03},(132,325):{'3_1':0.0,'4_1':0.0},(132,324):{'3_1':0.0,'4_1':0.0},(132,323):{'4_1':0.0,'3_1':0.0},(132,322):{'3_1':0.0},(132,321):{'3_1':0.03,'4_1':0.0},(132,319):{'3_1':0.03,'4_1':0.0},(132,318):{'3_1':0.0,'4_1':0.0},(132,317):{'3_1':0.03},(132,316):{'3_1':0.03,'4_1':0.0},(132,315):{'3_1':0.0,'4_1':0.0},(132,314):{'3_1':0.03},(132,313):{'4_1':0.0},(132,312):{'4_1':0.0,'3_1':0.0},(132,311):{'4_1':0.0},(132,310):{'3_1':0.0,'4_1':0.0},(132,309):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(132,308):{'3_1':0.03},(132,307):{'3_1':0.0},(132,306):{'3_1':0.0},(132,305):{'3_1':0.03,'6_2':0.0},(132,304):{'3_1':0.03,'4_1':0.0},(132,303):{'3_1':0.0},(132,302):{'3_1':0.03,'4_1':0.0},(132,301):{'3_1':0.03},(132,300):{'3_1':0.0},(132,299):{'3_1':0.03},(132,298):{'3_1':0.03},(132,297):{'3_1':0.0,'5_2':0.0},(132,295):{'3_1':0.0},(132,294):{'3_1':0.0},(132,293):{'3_1':0.03},(132,292):{'3_1':0.0,'4_1':0.0},(132,291):{'3_1':0.0,'6_2':0.0},(132,290):{'3_1':0.03},(132,289):{'3_1':0.0,'4_1':0.0},(132,288):{'3_1':0.0},(132,287):{'3_1':0.0,'4_1':0.0},(132,286):{'3_1':0.0},(132,285):{'3_1':0.03},(132,284):{'3_1':0.0},(132,283):{'3_1':0.03},(132,282):{'3_1':0.0},(132,281):{'3_1':0.0},(132,280):{'3_1':0.0},(132,279):{'3_1':0.0},(132,278):{'3_1':0.0},(132,277):{'3_1':0.0},(132,276):{'3_1':0.0,'4_1':0.0},(132,275):{'3_1':0.0,'4_1':0.0},(132,274):{'3_1':0.0,'4_1':0.0},(132,273):{'3_1':0.0,'4_1':0.0},(132,272):{'3_1':0.0},(132,271):{'3_1':0.0},(132,270):{'3_1':0.0},(132,269):{'3_1':0.0,'4_1':0.0},(132,268):{'3_1':0.0},(132,267):{'3_1':0.0},(132,265):{'3_1':0.03},(132,264):{'3_1':0.03},(132,263):{'3_1':0.0},(132,262):{'3_1':0.0},(132,261):{'3_1':0.0},(132,260):{'3_1':0.03},(132,259):{'3_1':0.03},(132,258):{'3_1':0.0},(132,257):{'3_1':0.03},(132,256):{'3_1':0.0},(132,255):{'3_1':0.0,'4_1':0.0},(132,254):{'3_1':0.03},(132,252):{'3_1':0.0},(132,251):{'3_1':0.0},(132,250):{'3_1':0.0,'4_1':0.0},(132,249):{'3_1':0.0},(132,247):{'3_1':0.0},(132,246):{'3_1':0.0},(132,245):{'3_1':0.0},(132,244):{'3_1':0.0},(132,242):{'3_1':0.03},(132,241):{'3_1':0.0,'5_2':0.0},(132,240):{'3_1':0.0},(132,239):{'3_1':0.0},(132,236):{'3_1':0.0},(132,235):{'3_1':0.0},(132,234):{'3_1':0.0},(132,233):{'3_1':0.0},(132,232):{'3_1':0.0},(132,231):{'3_1':0.0},(132,230):{'3_1':0.0},(132,229):{'3_1':0.0},(132,227):{'3_1':0.0,'4_1':0.0},(132,226):{'3_1':0.0},(132,225):{'3_1':0.0},(132,222):{'3_1':0.0},(132,221):{'3_1':0.0},(132,220):{'3_1':0.0},(132,219):{'3_1':0.0},(132,215):{'3_1':0.0},(132,214):{'3_1':0.0},(132,213):{'3_1':0.0},(132,212):{'3_1':0.0},(132,211):{'3_1':0.0},(132,210):{'3_1':0.0},(132,203):{'3_1':0.0},(132,202):{'3_1':0.0},(132,201):{'3_1':0.0},(132,200):{'3_1':0.0},(132,199):{'3_1':0.0,'4_1':0.0},(132,198):{'3_1':0.0},(132,197):{'3_1':0.0},(132,195):{'3_1':0.0},(132,191):{'3_1':0.0},(132,189):{'3_1':0.0},(132,182):{'3_1':0.0},(132,181):{'3_1':0.0},(132,152):{'3_1':0.0},(133,459):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0},(133,458):{'3_1':0.9,'5_1':0.03,'5_2':0.0},(133,457):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'4_1':0.0},(133,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(133,455):{'3_1':0.9,'5_2':0.03,'8_2':0.0},(133,454):{'3_1':0.9,'4_1':0.0,'5_1':0.0,'5_2':0.0},(133,453):{'3_1':0.9,'5_1':0.0},(133,452):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'5_2':0.0},(133,451):{'3_1':0.9,'5_1':0.0},(133,450):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(133,449):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'-3':0.0},(133,448):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'6_2':0.0},(133,447):{'3_1':0.87,'5_1':0.03,'7_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(133,446):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0},(133,445):{'3_1':0.87,'5_1':0.03,'5_2':0.0},(133,444):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0},(133,443):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'4_1':0.0},(133,442):{'3_1':0.9,'8_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(133,441):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(133,440):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'1':-0.03},(133,439):{'3_1':0.9,'6_2':0.0,'8_2':0.0},(133,438):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(133,437):{'3_1':0.9,'5_1':0.0,'7_1':0.0},(133,436):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_3':0.0,'-3':0.0},(133,435):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0},(133,434):{'3_1':0.84,'5_2':0.0,'8_2':0.0,'5_1':0.0,'6_2':0.0},(133,433):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'8_2':0.0},(133,432):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(133,431):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'5_2':0.0,'4_1':0.0,'8_2':0.0},(133,430):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'-3':0.0},(133,429):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_2':0.0},(133,428):{'3_1':0.84,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(133,427):{'3_1':0.72,'5_1':0.12,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(133,426):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'8_2':0.0,'8_14':0.0},(133,425):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(133,424):{'3_1':0.78,'5_1':0.03,'8_2':0.03,'4_1':0.0,'6_2':0.0,'8_14':0.0},(133,423):{'3_1':0.75,'5_1':0.09,'8_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(133,422):{'3_1':0.78,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0},(133,421):{'3_1':0.78,'5_1':0.09,'8_2':0.0,'6_2':0.0,'7_1':0.0,'5_2':0.0},(133,420):{'3_1':0.6,'5_1':0.12,'5_2':0.09,'8_2':0.03,'4_1':0.0,'6_2':0.0},(133,419):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(133,418):{'3_1':0.72,'5_2':0.03,'5_1':0.03,'7_3':0.0,'8_2':0.0,'4_1':0.0,'6_1':0.0,'8_10':0.0},(133,417):{'3_1':0.69,'5_1':0.12,'5_2':0.0,'7_3':0.0,'8_2':0.0,'7_5':0.0,'8_6':0.0},(133,416):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(133,415):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(133,414):{'3_1':0.66,'5_1':0.15,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0,'8_11':0.0},(133,413):{'3_1':0.66,'5_1':0.18,'8_2':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(133,412):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.0,'4_1':0.0,'7_5':0.0},(133,411):{'3_1':0.6,'5_1':0.18,'5_2':0.06,'6_2':0.0,'7_1':0.0,'8_2':0.0,'7_3':0.0,'7_5':0.0},(133,410):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(133,409):{'3_1':0.63,'5_1':0.18,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0},(133,408):{'3_1':0.75,'5_1':0.06,'5_2':0.06,'6_2':0.0,'7_1':0.0,'8_2':0.0},(133,407):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'5_2':0.0,'-3':0.0,'8_9':0.0,'8_10':0.0},(133,406):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0},(133,405):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0},(133,404):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(133,403):{'3_1':0.84,'5_2':0.03,'8_11':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(133,402):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(133,401):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(133,400):{'3_1':0.84,'5_1':0.0,'6_2':0.0},(133,399):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_3':0.0,'7_2':0.0},(133,398):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0},(133,397):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'6_1':0.0},(133,396):{'3_1':0.66,'5_1':0.03,'7_6':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(133,395):{'3_1':0.78,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(133,394):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(133,393):{'3_1':0.57,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(133,392):{'3_1':0.6,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(133,391):{'3_1':0.51,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0},(133,390):{'3_1':0.57,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_10':0.0},(133,389):{'3_1':0.45,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(133,388):{'3_1':0.42,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(133,387):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(133,386):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0},(133,385):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(133,384):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_4':0.0},(133,383):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(133,382):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0},(133,381):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(133,380):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0},(133,379):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(133,378):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'-3':0.0},(133,377):{'3_1':0.06,'4_1':0.0},(133,376):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(133,375):{'3_1':0.03,'4_1':0.0},(133,374):{'3_1':0.03,'4_1':0.03,'6_3':0.0,'7_6':0.0},(133,373):{'3_1':0.09},(133,372):{'3_1':0.09,'4_1':0.0},(133,371):{'3_1':0.03,'4_1':0.0},(133,370):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(133,369):{'3_1':0.06,'8_21|3_1#4_1':0.0},(133,368):{'3_1':0.06},(133,367):{'3_1':0.09,'5_1':0.0},(133,366):{'3_1':0.06,'4_1':0.0},(133,365):{'3_1':0.06,'4_1':0.0},(133,364):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(133,363):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(133,362):{'3_1':0.03,'8_17':0.0},(133,361):{'3_1':0.03,'5_1':0.0},(133,360):{'3_1':0.0},(133,359):{'3_1':0.06},(133,358):{'3_1':0.0,'5_1':0.0,'6_3':0.0},(133,357):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(133,356):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(133,355):{'3_1':0.06,'5_1':0.0},(133,354):{'3_1':0.03,'5_1':0.0,'6_3':0.0,'7_6':0.0},(133,353):{'3_1':0.0},(133,352):{'3_1':0.03},(133,351):{'3_1':0.0},(133,350):{'3_1':0.0},(133,349):{'3_1':0.03,'4_1':0.0},(133,348):{'3_1':0.0},(133,347):{'3_1':0.0,'4_1':0.0},(133,345):{'3_1':0.0,'4_1':0.0},(133,344):{'3_1':0.0},(133,343):{'3_1':0.0,'4_1':0.0},(133,342):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(133,341):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(133,340):{'3_1':0.03,'4_1':0.0},(133,339):{'3_1':0.03,'6_2':0.0},(133,338):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(133,337):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(133,336):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(133,335):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(133,334):{'3_1':0.09,'4_1':0.0},(133,333):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(133,332):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(133,331):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(133,330):{'3_1':0.03,'4_1':0.0},(133,329):{'3_1':0.0,'4_1':0.0},(133,328):{'3_1':0.0},(133,327):{'3_1':0.0},(133,326):{'3_1':0.0,'4_1':0.0},(133,325):{'3_1':0.0,'4_1':0.0},(133,324):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(133,323):{'3_1':0.03,'4_1':0.0},(133,322):{'3_1':0.0},(133,321):{'3_1':0.03},(133,320):{'4_1':0.0,'6_3':0.0},(133,319):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(133,318):{'3_1':0.03,'4_1':0.0},(133,317):{'3_1':0.0,'5_2':0.0},(133,316):{'3_1':0.0,'5_2':0.0},(133,315):{'3_1':0.0,'4_1':0.0},(133,314):{'3_1':0.03,'4_1':0.0},(133,313):{'3_1':0.03,'4_1':0.0},(133,311):{'4_1':0.0},(133,310):{'3_1':0.03},(133,309):{'3_1':0.0},(133,307):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(133,306):{'3_1':0.0,'4_1':0.0},(133,305):{'3_1':0.0},(133,304):{'3_1':0.03},(133,303):{'3_1':0.03},(133,302):{'3_1':0.0},(133,301):{'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(133,300):{'3_1':0.0,'4_1':0.0},(133,299):{'3_1':0.0},(133,298):{'3_1':0.03},(133,297):{'3_1':0.0},(133,296):{'3_1':0.0},(133,295):{'3_1':0.0,'4_1':0.0},(133,294):{'3_1':0.0},(133,293):{'3_1':0.0},(133,292):{'3_1':0.0},(133,291):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(133,290):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(133,289):{'3_1':0.0},(133,288):{'3_1':0.0,'4_1':0.0},(133,287):{'3_1':0.03},(133,286):{'3_1':0.0,'4_1':0.0},(133,285):{'3_1':0.0},(133,284):{'3_1':0.03,'4_1':0.0},(133,283):{'3_1':0.0},(133,282):{'3_1':0.03},(133,281):{'3_1':0.0},(133,280):{'3_1':0.0},(133,279):{'3_1':0.0,'4_1':0.0},(133,278):{'3_1':0.0},(133,277):{'4_1':0.0},(133,276):{'3_1':0.0},(133,275):{'3_1':0.0},(133,273):{'4_1':0.0},(133,272):{'3_1':0.0,'4_1':0.0},(133,271):{'3_1':0.03,'4_1':0.0},(133,270):{'3_1':0.0,'4_1':0.0},(133,269):{'3_1':0.0,'6_3':0.0},(133,268):{'3_1':0.0},(133,267):{'3_1':0.0},(133,266):{'4_1':0.0,'3_1':0.0},(133,265):{'3_1':0.0},(133,263):{'3_1':0.0},(133,262):{'3_1':0.0},(133,261):{'3_1':0.0},(133,260):{'3_1':0.0},(133,259):{'3_1':0.0},(133,258):{'3_1':0.0},(133,257):{'3_1':0.0},(133,256):{'3_1':0.0},(133,255):{'3_1':0.0},(133,254):{'3_1':0.0,'4_1':0.0},(133,253):{'3_1':0.0},(133,251):{'3_1':0.0},(133,249):{'3_1':0.0},(133,247):{'3_1':0.0},(133,245):{'3_1':0.0},(133,244):{'3_1':0.0},(133,243):{'3_1':0.0},(133,242):{'3_1':0.0},(133,241):{'3_1':0.0},(133,240):{'3_1':0.0},(133,239):{'3_1':0.0},(133,238):{'3_1':0.0},(133,237):{'3_1':0.0},(133,236):{'3_1':0.0},(133,233):{'3_1':0.03},(133,232):{'3_1':0.0},(133,231):{'5_1':0.0},(133,230):{'3_1':0.0},(133,228):{'3_1':0.0},(133,227):{'3_1':0.0},(133,226):{'3_1':0.0},(133,225):{'3_1':0.0},(133,224):{'3_1':0.0},(133,222):{'3_1':0.0},(133,221):{'3_1':0.0},(133,217):{'3_1':0.0},(133,214):{'3_1':0.0},(133,213):{'3_1':0.0},(133,212):{'3_1':0.0},(133,211):{'3_1':0.0},(133,210):{'3_1':0.03},(133,208):{'3_1':0.0},(133,206):{'3_1':0.0},(133,205):{'5_2':0.0},(133,202):{'3_1':0.0},(133,200):{'3_1':0.0},(133,199):{'3_1':0.0},(133,198):{'3_1':0.0},(133,197):{'3_1':0.0},(133,195):{'3_1':0.0},(133,185):{'3_1':0.0},(133,178):{'3_1':0.0},(133,152):{'3_1':0.0},(134,459):{'3_1':0.9,'5_1':0.03},(134,458):{'3_1':0.9,'5_1':0.03},(134,457):{'3_1':0.9,'5_1':0.03,'7_1':0.0},(134,456):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'7_1':0.0},(134,455):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'8_2':0.0},(134,454):{'3_1':0.9,'5_1':0.0,'7_1':0.0},(134,453):{'3_1':0.87,'5_1':0.03,'5_2':0.0},(134,452):{'3_1':0.87,'5_1':0.06},(134,451):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(134,450):{'3_1':0.9,'5_1':0.03,'6_2':0.0},(134,449):{'3_1':0.9,'7_1':0.0,'5_1':0.0,'6_2':0.0},(134,448):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(134,447):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(134,446):{'3_1':0.78,'5_1':0.06,'4_1':0.0},(134,445):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'8_2':0.0},(134,444):{'3_1':0.9,'5_1':0.03,'5_2':0.0},(134,443):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(134,442):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_2':0.0,'7_1':0.0},(134,441):{'3_1':0.84,'5_1':0.06,'5_2':0.03},(134,440):{'3_1':0.84,'5_1':0.06,'5_2':0.0},(134,439):{'3_1':0.9,'5_1':0.03,'8_2':0.0},(134,438):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(134,437):{'3_1':0.84,'5_1':0.06,'5_2':0.03,'8_2':0.0},(134,436):{'3_1':0.87,'5_1':0.06,'6_2':0.0,'-3':0.0},(134,435):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'-3':0.0,'8_2':0.0},(134,434):{'3_1':0.81,'5_1':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0},(134,433):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(134,432):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(134,431):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(134,430):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'-3':0.0},(134,429):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(134,428):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'4_1':0.0,'8_2':0.0},(134,427):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0},(134,426):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0,'8_11':0.0,'9_1':0.0,'-3':0.0},(134,425):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(134,424):{'3_1':0.78,'5_1':0.06,'4_1':0.0,'8_2':0.0,'5_2':0.0},(134,423):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'8_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0},(134,422):{'3_1':0.78,'5_1':0.09,'6_2':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(134,421):{'3_1':0.72,'5_1':0.06,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(134,420):{'3_1':0.84,'5_1':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0},(134,419):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0},(134,418):{'3_1':0.69,'5_1':0.15,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_9':0.0},(134,417):{'3_1':0.69,'5_1':0.12,'8_2':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(134,416):{'3_1':0.78,'5_1':0.12,'5_2':0.0,'8_2':0.0},(134,415):{'3_1':0.69,'5_1':0.15,'6_2':0.0,'5_2':0.0,'-3':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(134,414):{'3_1':0.63,'5_1':0.15,'5_2':0.06,'6_2':0.0,'-3':0.0},(134,413):{'3_1':0.63,'5_1':0.21,'8_2':0.03,'5_2':0.03,'4_1':0.0},(134,412):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'7_1':0.03,'8_2':0.03,'4_1':0.0,'6_2':0.0},(134,411):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0},(134,410):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'6_2':0.0,'8_2':0.0},(134,409):{'3_1':0.63,'5_1':0.12,'5_2':0.06,'8_2':0.03,'6_2':0.0,'7_1':0.0},(134,408):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'9_1':0.0},(134,407):{'3_1':0.72,'5_2':0.06,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_7':0.0,'-3':0.0},(134,406):{'3_1':0.78,'5_1':0.09,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(134,405):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(134,404):{'3_1':0.78,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(134,403):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_11':0.0,'4_1':0.0},(134,402):{'3_1':0.75,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0},(134,401):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'6_2':0.0},(134,400):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0},(134,399):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(134,398):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_2':0.0},(134,397):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'5_2':0.0},(134,396):{'3_1':0.72,'5_2':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0},(134,395):{'3_1':0.69,'4_1':0.03,'5_1':0.0,'5_2':0.0},(134,394):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(134,393):{'3_1':0.54,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_8':0.0},(134,392):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0},(134,391):{'3_1':0.57,'5_1':0.09,'4_1':0.03,'5_2':0.03,'-3':0.0},(134,390):{'3_1':0.57,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(134,389):{'3_1':0.42,'5_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(134,388):{'3_1':0.39,'5_1':0.03,'6_2':0.03,'4_1':0.0},(134,387):{'3_1':0.36,'6_2':0.03,'4_1':0.0,'5_1':0.0},(134,386):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(134,385):{'3_1':0.27,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(134,384):{'3_1':0.15,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(134,383):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0},(134,382):{'3_1':0.15,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(134,381):{'3_1':0.15,'5_1':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0},(134,380):{'3_1':0.15,'6_1':0.0,'4_1':0.0,'5_2':0.0},(134,379):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(134,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_6':0.0},(134,377):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(134,376):{'3_1':0.06,'5_2':0.0},(134,375):{'3_1':0.06,'4_1':0.03},(134,374):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(134,373):{'3_1':0.06,'4_1':0.0},(134,372):{'4_1':0.0,'3_1':0.0},(134,371):{'3_1':0.06,'5_2':0.0},(134,370):{'3_1':0.03},(134,369):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(134,368):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(134,367):{'3_1':0.03,'5_1':0.0},(134,366):{'3_1':0.06,'4_1':0.0},(134,365):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(134,364):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0},(134,363):{'3_1':0.03,'4_1':0.0},(134,362):{'3_1':0.06},(134,361):{'3_1':0.03,'5_1':0.0},(134,360):{'3_1':0.0},(134,359):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(134,358):{'3_1':0.06},(134,357):{'3_1':0.03},(134,356):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(134,355):{'3_1':0.03,'7_6':0.0},(134,354):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(134,353):{'3_1':0.06},(134,352):{'3_1':0.09,'8_20|3_1#3_1':0.0},(134,351):{'3_1':0.03},(134,350):{'3_1':0.0},(134,349):{'3_1':0.0,'5_2':0.0},(134,348):{'3_1':0.03},(134,347):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(134,346):{'3_1':0.0,'4_1':0.0},(134,345):{'3_1':0.0},(134,344):{'3_1':0.03},(134,343):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(134,342):{'3_1':0.0},(134,341):{'3_1':0.03,'4_1':0.0},(134,340):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(134,339):{'3_1':0.06,'5_2':0.0},(134,338):{'3_1':0.03,'4_1':0.0},(134,337):{'3_1':0.09,'4_1':0.0},(134,336):{'3_1':0.0,'4_1':0.0,'8_4':0.0},(134,335):{'3_1':0.09},(134,334):{'3_1':0.03,'4_1':0.0},(134,333):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(134,332):{'3_1':0.06,'4_1':0.0},(134,331):{'3_1':0.03,'4_1':0.0},(134,330):{'3_1':0.06,'4_1':0.0},(134,329):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(134,328):{'3_1':0.0},(134,327):{'3_1':0.0,'4_1':0.0},(134,326):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(134,325):{'3_1':0.03,'4_1':0.0},(134,324):{'4_1':0.03,'3_1':0.0},(134,323):{'3_1':0.0},(134,322):{'3_1':0.0,'4_1':0.0},(134,321):{'3_1':0.0,'4_1':0.0},(134,320):{'3_1':0.0},(134,319):{'4_1':0.0,'3_1':0.0},(134,318):{'3_1':0.0},(134,317):{'3_1':0.0,'5_1':0.0},(134,316):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(134,315):{'3_1':0.0,'4_1':0.0},(134,314):{'3_1':0.0,'4_1':0.0},(134,313):{'3_1':0.0,'4_1':0.0},(134,312):{'3_1':0.0,'4_1':0.0},(134,311):{'3_1':0.0,'4_1':0.0},(134,310):{'3_1':0.0,'-3':0.0},(134,309):{'3_1':0.0},(134,308):{'3_1':0.03,'-3':0.0},(134,307):{'3_1':0.0},(134,306):{'3_1':0.03},(134,305):{'3_1':0.03},(134,304):{'3_1':0.0},(134,303):{'3_1':0.0},(134,302):{'3_1':0.0,'6_2':0.0},(134,301):{'3_1':0.06,'4_1':0.0},(134,300):{'3_1':0.06},(134,299):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(134,298):{'3_1':0.03},(134,297):{'3_1':0.0},(134,296):{'3_1':0.0,'4_1':0.0},(134,295):{'3_1':0.03},(134,294):{'3_1':0.0},(134,293):{'3_1':0.03,'4_1':0.0},(134,292):{'3_1':0.0},(134,291):{'3_1':0.0,'6_2':0.0},(134,290):{'3_1':0.06,'4_1':0.0},(134,289):{'3_1':0.0},(134,288):{'3_1':0.0},(134,287):{'3_1':0.0},(134,285):{'3_1':0.0,'4_1':0.0},(134,283):{'3_1':0.03},(134,282):{'3_1':0.0},(134,281):{'3_1':0.0},(134,279):{'3_1':0.0,'4_1':0.0},(134,278):{'3_1':0.0},(134,277):{'3_1':0.0},(134,276):{'3_1':0.0},(134,275):{'3_1':0.0,'4_1':0.0},(134,274):{'3_1':0.0},(134,273):{'3_1':0.0},(134,272):{'3_1':0.0},(134,271):{'3_1':0.0,'4_1':0.0},(134,270):{'3_1':0.0,'4_1':0.0},(134,269):{'4_1':0.0},(134,268):{'3_1':0.0},(134,267):{'3_1':0.0},(134,266):{'3_1':0.0},(134,264):{'3_1':0.0},(134,263):{'3_1':0.0},(134,262):{'3_1':0.0,'6_2':0.0},(134,261):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(134,260):{'3_1':0.03,'4_1':0.0},(134,259):{'3_1':0.03},(134,258):{'3_1':0.0},(134,257):{'3_1':0.0,'4_1':0.0},(134,256):{'3_1':0.0,'4_1':0.0},(134,254):{'3_1':0.0},(134,253):{'3_1':0.03},(134,251):{'3_1':0.0},(134,250):{'3_1':0.0},(134,249):{'3_1':0.0,'4_1':0.0},(134,247):{'3_1':0.0},(134,246):{'3_1':0.0},(134,245):{'3_1':0.0},(134,244):{'3_1':0.0},(134,243):{'3_1':0.0},(134,241):{'3_1':0.0},(134,240):{'3_1':0.0},(134,238):{'3_1':0.0},(134,237):{'3_1':0.0},(134,236):{'3_1':0.0},(134,235):{'3_1':0.0},(134,234):{'3_1':0.0},(134,233):{'4_1':0.0},(134,231):{'3_1':0.0},(134,230):{'3_1':0.0},(134,229):{'3_1':0.0},(134,228):{'3_1':0.0},(134,227):{'3_1':0.0},(134,225):{'3_1':0.0},(134,224):{'3_1':0.0},(134,222):{'3_1':0.0},(134,221):{'3_1':0.0},(134,220):{'5_2':0.0},(134,219):{'3_1':0.0},(134,218):{'3_1':0.0},(134,217):{'3_1':0.0},(134,216):{'3_1':0.0},(134,215):{'3_1':0.0},(134,214):{'3_1':0.0},(134,213):{'3_1':0.0},(134,208):{'3_1':0.0},(134,207):{'3_1':0.0},(134,205):{'3_1':0.0},(134,204):{'3_1':0.0},(134,203):{'3_1':0.0},(134,202):{'3_1':0.0},(134,201):{'3_1':0.0},(134,200):{'3_1':0.0},(134,199):{'3_1':0.0},(134,198):{'3_1':0.0},(134,197):{'3_1':0.0},(134,196):{'3_1':0.0},(134,195):{'3_1':0.0},(134,193):{'3_1':0.0},(134,192):{'3_1':0.0},(134,153):{'3_1':0.0},(134,149):{'3_1':0.0},(135,459):{'3_1':0.9,'5_1':0.03},(135,458):{'3_1':0.9,'5_1':0.0,'7_1':0.0,'8_2':0.0},(135,457):{'3_1':0.9,'4_1':0.0,'5_2':0.0,'-3':0.0},(135,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(135,455):{'3_1':0.9,'5_1':0.03,'8_2':0.0},(135,454):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'5_2':0.0},(135,453):{'3_1':0.87,'5_1':0.06,'4_1':0.0,'7_1':0.0},(135,452):{'3_1':0.9,'5_1':0.06},(135,451):{'3_1':0.87,'5_1':0.06,'5_2':0.0},(135,450):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0},(135,449):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'8_2':0.0},(135,448):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_3':0.0},(135,447):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0},(135,446):{'3_1':0.81,'5_2':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(135,445):{'3_1':0.81,'5_1':0.09,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0},(135,444):{'3_1':0.87,'5_1':0.03,'5_2':0.0},(135,443):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'7_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(135,442):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(135,441):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0},(135,440):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0},(135,439):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'6_2':0.0},(135,438):{'3_1':0.87,'5_1':0.06,'5_2':0.0},(135,437):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0},(135,436):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(135,435):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0},(135,434):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0},(135,433):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0},(135,432):{'3_1':0.78,'5_1':0.09,'8_2':0.0,'3_1#5_2':0.0},(135,431):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(135,430):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(135,429):{'3_1':0.81,'5_1':0.03,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(135,428):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(135,427):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'7_3':0.0,'4_1':0.0,'8_7':0.0},(135,426):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.0,'8_9':0.0},(135,425):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0,'6_2':0.0},(135,424):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_7':0.0},(135,423):{'3_1':0.75,'5_1':0.06,'8_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0},(135,422):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0},(135,421):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'7_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(135,420):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.0,'-3':0.0},(135,419):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0,'-3':0.0},(135,418):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0},(135,417):{'3_1':0.63,'5_1':0.15,'5_2':0.06,'6_2':0.0,'8_2':0.0,'7_3':0.0,'7_1':0.0,'8_7':0.0,'-3':0.0},(135,416):{'3_1':0.63,'5_1':0.12,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_3':0.0,'8_2':0.0,'8_9':0.0,'-3':0.0},(135,415):{'3_1':0.66,'5_1':0.15,'8_2':0.03,'5_2':0.0,'7_1':0.0,'4_1':0.0,'-3':0.0},(135,414):{'3_1':0.69,'5_1':0.12,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_9':0.0},(135,413):{'3_1':0.66,'5_1':0.12,'5_2':0.06,'8_2':0.03,'-3':0.0,'6_2':0.0,'7_5':0.0},(135,412):{'3_1':0.63,'5_1':0.06,'8_2':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(135,411):{'3_1':0.6,'5_1':0.15,'6_2':0.03,'5_2':0.03,'8_2':0.03,'7_1':0.0,'8_4':0.0,'8_17':0.0},(135,410):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.03,'8_2':0.03,'-3':0.0,'8_9':0.0},(135,409):{'3_1':0.57,'5_1':0.21,'5_2':0.06,'7_1':0.03,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(135,408):{'3_1':0.57,'5_1':0.15,'5_2':0.06,'-3':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0},(135,407):{'3_1':0.66,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0},(135,406):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'7_3':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0,'8_2':0.0},(135,405):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'7_3':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(135,404):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(135,403):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(135,402):{'3_1':0.72,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0},(135,401):{'3_1':0.75,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0},(135,400):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(135,399):{'3_1':0.75,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(135,398):{'3_1':0.72,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(135,397):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_6':0.0},(135,396):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0},(135,395):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(135,394):{'3_1':0.63,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(135,393):{'3_1':0.57,'5_1':0.09,'5_2':0.06,'4_1':0.03},(135,392):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'7_3':0.0,'7_7':0.0},(135,391):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0},(135,390):{'3_1':0.48,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(135,389):{'3_1':0.36,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0},(135,388):{'3_1':0.51,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(135,387):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(135,386):{'3_1':0.3,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(135,385):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0},(135,384):{'3_1':0.12,'6_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(135,383):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(135,382):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(135,381):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_3':0.0},(135,380):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_16':0.0},(135,379):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(135,378):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(135,377):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(135,376):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(135,375):{'4_1':0.06,'3_1':0.0},(135,374):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'5_1':0.0,'7_6':0.0},(135,373):{'3_1':0.06,'4_1':0.0},(135,372):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(135,371):{'3_1':0.06,'6_2':0.0,'-3':0.0},(135,370):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(135,369):{'3_1':0.03,'4_1':0.0},(135,368):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(135,367):{'3_1':0.06,'4_1':0.0},(135,366):{'3_1':0.09},(135,365):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(135,364):{'3_1':0.06,'6_1':0.0},(135,363):{'3_1':0.06,'-3':0.0},(135,362):{'3_1':0.06,'4_1':0.0},(135,361):{'3_1':0.06},(135,360):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(135,359):{'3_1':0.09},(135,358):{'3_1':0.06,'4_1':0.0},(135,357):{'3_1':0.03},(135,356):{'3_1':0.0,'8_9':0.0},(135,355):{'3_1':0.06},(135,354):{'3_1':0.03},(135,353):{'3_1':0.03,'4_1':0.0},(135,352):{'3_1':0.03},(135,351):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(135,350):{'4_1':0.0},(135,349):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(135,348):{'4_1':0.03,'3_1':0.0},(135,347):{'3_1':0.0,'6_2':0.0},(135,346):{'3_1':0.0,'4_1':0.0},(135,345):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(135,344):{'4_1':0.03,'3_1':0.03},(135,343):{'3_1':0.03,'4_1':0.0},(135,342):{'3_1':0.03},(135,341):{'3_1':0.03,'4_1':0.0},(135,340):{'4_1':0.03,'3_1':0.03,'6_2':0.0},(135,339):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(135,338):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(135,337):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(135,336):{'3_1':0.09,'4_1':0.0},(135,335):{'3_1':0.0},(135,334):{'3_1':0.03,'4_1':0.0},(135,333):{'3_1':0.0,'4_1':0.0},(135,332):{'3_1':0.09},(135,331):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(135,330):{'3_1':0.06,'4_1':0.0},(135,329):{'3_1':0.03,'4_1':0.0},(135,328):{'3_1':0.06,'4_1':0.0},(135,327):{'3_1':0.03},(135,326):{'3_1':0.03,'4_1':0.0},(135,325):{'3_1':0.03,'4_1':0.0},(135,324):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(135,323):{'3_1':0.0,'4_1':0.0},(135,322):{'3_1':0.0,'4_1':0.0},(135,321):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(135,320):{'3_1':0.0},(135,319):{'3_1':0.0,'5_2':0.0},(135,318):{'3_1':0.0},(135,317):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(135,316):{'3_1':0.0,'4_1':0.0},(135,315):{'3_1':0.06,'4_1':0.0},(135,314):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(135,313):{'3_1':0.06},(135,312):{'3_1':0.0,'4_1':0.0},(135,311):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(135,310):{'3_1':0.0,'4_1':0.0},(135,309):{'3_1':0.0,'4_1':0.0},(135,308):{'3_1':0.0,'4_1':0.0},(135,307):{'3_1':0.03,'6_2':0.0},(135,306):{'6_2':0.0},(135,305):{'3_1':0.0},(135,304):{'3_1':0.0},(135,303):{'3_1':0.03},(135,302):{'3_1':0.03},(135,301):{'3_1':0.0},(135,300):{'3_1':0.06,'4_1':0.0},(135,299):{'3_1':0.0,'6_2':0.0},(135,298):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(135,297):{'3_1':0.03,'4_1':0.0},(135,296):{'3_1':0.0,'4_1':0.0},(135,295):{'3_1':0.03},(135,294):{'3_1':0.0},(135,293):{'3_1':0.0,'4_1':0.0},(135,292):{'3_1':0.03},(135,291):{'3_1':0.0,'6_3':0.0},(135,290):{'3_1':0.0},(135,289):{'3_1':0.03},(135,288):{'3_1':0.03,'4_1':0.0},(135,287):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(135,286):{'3_1':0.03,'4_1':0.0},(135,285):{'3_1':0.03,'4_1':0.0},(135,284):{'3_1':0.0},(135,283):{'3_1':0.03},(135,282):{'3_1':0.0,'4_1':0.0},(135,281):{'3_1':0.0},(135,280):{'3_1':0.0},(135,279):{'3_1':0.0},(135,278):{'3_1':0.0,'4_1':0.0},(135,277):{'3_1':0.0,'5_2':0.0},(135,276):{'3_1':0.0},(135,275):{'3_1':0.0,'5_2':0.0},(135,274):{'3_1':0.0},(135,273):{'3_1':0.0},(135,272):{'3_1':0.03,'4_1':0.0},(135,271):{'3_1':0.0},(135,270):{'3_1':0.03},(135,269):{'3_1':0.06},(135,268):{'3_1':0.0,'4_1':0.0},(135,267):{'3_1':0.0},(135,266):{'3_1':0.03},(135,265):{'3_1':0.03,'4_1':0.0},(135,264):{'3_1':0.03},(135,263):{'3_1':0.0,'4_1':0.0},(135,262):{'3_1':0.03},(135,261):{'3_1':0.0},(135,260):{'3_1':0.06},(135,259):{'3_1':0.03,'4_1':0.0},(135,258):{'3_1':0.0},(135,257):{'3_1':0.03},(135,256):{'3_1':0.03,'4_1':0.0},(135,255):{'3_1':0.0,'4_1':0.0},(135,254):{'3_1':0.03,'4_1':0.0},(135,253):{'3_1':0.0},(135,252):{'3_1':0.0,'4_1':0.0},(135,251):{'3_1':0.0},(135,250):{'3_1':0.0},(135,249):{'3_1':0.03},(135,245):{'3_1':0.0},(135,244):{'3_1':0.0},(135,243):{'3_1':0.0,'4_1':0.0},(135,242):{'3_1':0.0},(135,241):{'3_1':0.0},(135,240):{'3_1':0.0},(135,239):{'3_1':0.0},(135,238):{'3_1':0.0},(135,237):{'3_1':0.0},(135,236):{'3_1':0.0},(135,235):{'3_1':0.0},(135,234):{'3_1':0.0},(135,233):{'3_1':0.0},(135,232):{'3_1':0.0},(135,231):{'3_1':0.0},(135,230):{'3_1':0.0},(135,229):{'3_1':0.0},(135,228):{'3_1':0.0},(135,227):{'3_1':0.0,'4_1':0.0},(135,226):{'3_1':0.0},(135,225):{'3_1':0.0},(135,224):{'3_1':0.0},(135,223):{'3_1':0.0},(135,221):{'3_1':0.03},(135,220):{'3_1':0.0},(135,218):{'3_1':0.0},(135,217):{'3_1':0.0},(135,216):{'3_1':0.0},(135,215):{'3_1':0.03},(135,214):{'3_1':0.0},(135,213):{'3_1':0.0,'4_1':0.0},(135,212):{'3_1':0.0},(135,211):{'3_1':0.0},(135,210):{'3_1':0.0},(135,207):{'3_1':0.0},(135,206):{'3_1':0.0},(135,205):{'3_1':0.0},(135,203):{'3_1':0.0},(135,201):{'3_1':0.0},(135,200):{'3_1':0.0},(135,199):{'3_1':0.0},(135,193):{'3_1':0.0},(135,190):{'3_1':0.0},(135,175):{'3_1':0.0},(135,153):{'3_1':0.0},(135,152):{'3_1':0.0},(135,151):{'3_1':0.0},(135,147):{'3_1':0.0},(136,459):{'3_1':0.84,'5_1':0.03,'6_2':0.0},(136,458):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'7_1':0.0},(136,457):{'3_1':0.9,'4_1':0.0},(136,456):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'8_6':0.0},(136,455):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0},(136,454):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0},(136,453):{'3_1':0.9,'5_1':0.03,'5_2':0.0},(136,452):{'3_1':0.87,'5_1':0.0},(136,451):{'3_1':0.84,'5_1':0.03,'5_2':0.0},(136,450):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(136,449):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(136,448):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0},(136,447):{'3_1':0.87,'5_1':0.03,'5_2':0.0},(136,446):{'3_1':0.9,'5_1':0.0,'5_2':0.0},(136,445):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_3':0.0},(136,444):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(136,443):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_1':0.0},(136,442):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(136,441):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0},(136,440):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0},(136,439):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_2':0.0,'8_4':0.0},(136,438):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'8_2':0.0},(136,437):{'3_1':0.78,'5_1':0.09,'8_2':0.0,'4_1':0.0,'5_2':0.0},(136,436):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(136,435):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(136,434):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(136,433):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'8_2':0.0},(136,432):{'3_1':0.78,'8_2':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0},(136,431):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(136,430):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0},(136,429):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0},(136,428):{'3_1':0.69,'5_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(136,427):{'3_1':0.81,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'-3':0.0},(136,426):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0},(136,425):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0},(136,424):{'3_1':0.78,'5_1':0.09,'5_2':0.03,'7_1':0.0,'8_2':0.0},(136,423):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0},(136,422):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(136,421):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(136,420):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0},(136,419):{'3_1':0.75,'5_2':0.06,'5_1':0.03,'7_1':0.0,'6_2':0.0,'8_2':0.0},(136,418):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'8_2':0.0,'6_2':0.0,'8_14':0.0,'-3':0.0},(136,417):{'3_1':0.6,'5_1':0.12,'6_2':0.03,'5_2':0.03,'8_2':0.0,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_6':0.0},(136,416):{'3_1':0.6,'5_1':0.12,'8_2':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(136,415):{'3_1':0.72,'5_1':0.12,'5_2':0.0,'8_2':0.0,'6_2':0.0},(136,414):{'3_1':0.6,'5_1':0.12,'8_2':0.06,'5_2':0.06,'4_1':0.0,'7_2':0.0,'8_4':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(136,413):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_6':0.0},(136,412):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(136,411):{'3_1':0.54,'5_1':0.18,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_9':0.0,'9_1':0.0},(136,410):{'3_1':0.72,'5_1':0.15,'8_2':0.03,'5_2':0.0,'-3':0.0},(136,409):{'3_1':0.45,'5_1':0.27,'5_2':0.03,'8_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(136,408):{'3_1':0.6,'5_1':0.12,'5_2':0.06,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(136,407):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'-3':0.0,'7_1':0.0},(136,406):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0},(136,405):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'8_11':0.0},(136,404):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(136,403):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0},(136,402):{'3_1':0.66,'5_1':0.06,'6_2':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0,'8_11':0.0},(136,401):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0},(136,400):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(136,399):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(136,398):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(136,397):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_2':0.0,'-3':0.0},(136,396):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.03,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0,'8_18':0.0},(136,395):{'3_1':0.57,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_6':0.0},(136,394):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(136,393):{'3_1':0.51,'5_1':0.09,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0},(136,392):{'3_1':0.48,'5_1':0.12,'6_2':0.0,'5_2':0.0,'-3':0.0,'4_1':0.0},(136,391):{'3_1':0.6,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(136,390):{'3_1':0.57,'5_1':0.03,'4_1':0.0},(136,389):{'3_1':0.33,'6_2':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_7':0.0,'8_4':0.0},(136,388):{'3_1':0.45,'5_1':0.06,'4_1':0.03,'-3':0.0,'6_2':0.0},(136,387):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(136,386):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_6':0.0},(136,385):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.0},(136,384):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(136,383):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'6_2':0.03,'8_6':0.0},(136,382):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0},(136,381):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.03,'6_1':0.0,'-3':0.0},(136,380):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(136,379):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'8_16':0.0},(136,378):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'8_16':0.0},(136,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0},(136,376):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(136,375):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(136,374):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(136,373):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(136,372):{'3_1':0.09,'4_1':0.0},(136,371):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(136,370):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(136,369):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(136,368):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(136,367):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(136,366):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(136,365):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(136,364):{'3_1':0.06,'4_1':0.0},(136,363):{'3_1':0.12,'4_1':0.0},(136,362):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(136,361):{'3_1':0.03},(136,360):{'3_1':0.06,'5_1':0.0},(136,359):{'3_1':0.03,'6_2':0.0,'6_3':0.0},(136,358):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(136,357):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(136,356):{'3_1':0.03,'4_1':0.0},(136,355):{'3_1':0.09,'8_20|3_1#3_1':0.0},(136,354):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(136,353):{'3_1':0.06,'4_1':0.0},(136,352):{'3_1':0.06},(136,351):{'3_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(136,350):{'3_1':0.0,'5_1':0.0},(136,349):{'3_1':0.03},(136,348):{'4_1':0.0,'3_1':0.0},(136,347):{'3_1':0.03,'4_1':0.0},(136,346):{'4_1':0.0,'3_1':0.0},(136,345):{'3_1':0.03,'4_1':0.03},(136,344):{'4_1':0.0,'3_1':0.0},(136,343):{'3_1':0.03,'4_1':0.0},(136,342):{'3_1':0.03,'4_1':0.0},(136,341):{'3_1':0.0,'4_1':0.0},(136,340):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0},(136,339):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(136,338):{'4_1':0.03,'3_1':0.03,'6_1':0.0},(136,337):{'3_1':0.09,'4_1':0.06},(136,336):{'3_1':0.06,'4_1':0.0},(136,335):{'3_1':0.03,'4_1':0.0},(136,334):{'3_1':0.03,'4_1':0.0},(136,333):{'3_1':0.03},(136,332):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(136,331):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(136,330):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(136,329):{'3_1':0.06,'4_1':0.03},(136,328):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(136,327):{'3_1':0.03},(136,326):{'3_1':0.0},(136,325):{'3_1':0.0,'4_1':0.0},(136,324):{'3_1':0.03},(136,323):{'3_1':0.03,'4_1':0.0},(136,322):{'3_1':0.0,'4_1':0.0},(136,321):{'3_1':0.03},(136,320):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(136,319):{'3_1':0.03,'4_1':0.0},(136,318):{'3_1':0.06},(136,317):{'3_1':0.0,'4_1':0.0},(136,316):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0},(136,315):{'3_1':0.03,'4_1':0.0},(136,314):{'3_1':0.03,'4_1':0.0},(136,313):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(136,312):{'4_1':0.03,'3_1':0.0},(136,311):{'3_1':0.0,'4_1':0.0},(136,310):{'4_1':0.0},(136,309):{'3_1':0.03,'4_1':0.0},(136,308):{'3_1':0.0},(136,307):{'3_1':0.0,'6_3':0.0},(136,306):{'3_1':0.06,'4_1':0.0},(136,305):{'3_1':0.0},(136,304):{'3_1':0.03},(136,303):{'3_1':0.0},(136,302):{'3_1':0.03},(136,301):{'3_1':0.06},(136,300):{'4_1':0.0},(136,299):{'3_1':0.03},(136,298):{'3_1':0.06},(136,297):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(136,296):{'3_1':0.0},(136,295):{'3_1':0.09,'4_1':0.0},(136,294):{'3_1':0.0,'4_1':0.0},(136,293):{'3_1':0.03,'4_1':0.0},(136,292):{'3_1':0.0},(136,291):{'3_1':0.03,'4_1':0.0},(136,289):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(136,288):{'3_1':0.03},(136,287):{'3_1':0.03},(136,286):{'3_1':0.03,'5_1':0.0},(136,285):{'3_1':0.0},(136,284):{'3_1':0.03},(136,283):{'3_1':0.0},(136,282):{'3_1':0.0},(136,281):{'3_1':0.0},(136,280):{'3_1':0.0,'4_1':0.0},(136,279):{'3_1':0.0},(136,278):{'3_1':0.0,'4_1':0.0},(136,277):{'3_1':0.06,'5_2':0.0},(136,276):{'3_1':0.0},(136,275):{'3_1':0.0},(136,274):{'3_1':0.0},(136,273):{'3_1':0.03},(136,272):{'3_1':0.03},(136,271):{'3_1':0.0},(136,270):{'3_1':0.06},(136,269):{'3_1':0.03,'4_1':0.0},(136,268):{'3_1':0.03,'5_2':0.0},(136,267):{'3_1':0.06,'4_1':0.0},(136,266):{'3_1':0.03},(136,265):{'3_1':0.0,'4_1':0.0},(136,264):{'3_1':0.03},(136,263):{'4_1':0.0,'3_1':0.0},(136,262):{'3_1':0.0},(136,261):{'3_1':0.03},(136,260):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(136,259):{'3_1':0.0},(136,258):{'3_1':0.09},(136,257):{'3_1':0.0,'5_2':0.0},(136,256):{'3_1':0.0},(136,255):{'3_1':0.03},(136,254):{'3_1':0.0},(136,253):{'3_1':0.06},(136,252):{'3_1':0.03},(136,251):{'3_1':0.0,'4_1':0.0},(136,250):{'3_1':0.0},(136,249):{'3_1':0.0},(136,248):{'3_1':0.0},(136,247):{'3_1':0.0},(136,246):{'3_1':0.0},(136,245):{'3_1':0.0},(136,244):{'3_1':0.03},(136,243):{'3_1':0.0},(136,242):{'3_1':0.0},(136,241):{'3_1':0.06},(136,240):{'3_1':0.0},(136,239):{'3_1':0.0},(136,238):{'3_1':0.0},(136,237):{'3_1':0.0},(136,236):{'3_1':0.0},(136,235):{'3_1':0.0},(136,233):{'3_1':0.0},(136,232):{'3_1':0.0},(136,231):{'3_1':0.0},(136,230):{'3_1':0.0},(136,229):{'3_1':0.0},(136,228):{'3_1':0.0},(136,227):{'3_1':0.0},(136,226):{'3_1':0.0},(136,225):{'3_1':0.0},(136,224):{'3_1':0.0},(136,223):{'3_1':0.0},(136,222):{'3_1':0.0},(136,219):{'3_1':0.0},(136,218):{'3_1':0.0},(136,217):{'3_1':0.0},(136,216):{'3_1':0.03},(136,215):{'3_1':0.03},(136,208):{'3_1':0.0},(136,206):{'3_1':0.0},(136,205):{'3_1':0.0},(136,204):{'3_1':0.0},(136,203):{'3_1':0.0},(136,202):{'3_1':0.0},(136,201):{'3_1':0.0},(136,200):{'3_1':0.03},(136,199):{'3_1':0.0},(136,198):{'3_1':0.0},(136,197):{'3_1':0.0},(136,195):{'3_1':0.0},(136,149):{'3_1':0.0},(137,459):{'3_1':0.87,'5_1':0.03,'6_2':0.03},(137,458):{'3_1':0.9,'5_1':0.0,'6_2':0.0,'8_2':0.0},(137,457):{'3_1':0.87,'5_1':0.0,'6_2':0.0,'7_1':0.0},(137,456):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0},(137,455):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'6_2':0.0},(137,454):{'3_1':0.87,'5_1':0.03},(137,453):{'3_1':0.87,'5_1':0.0},(137,452):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0},(137,451):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(137,450):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'6_2':0.0},(137,449):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'7_1':0.0},(137,448):{'3_1':0.78,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(137,447):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'4_1':0.0},(137,446):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(137,445):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(137,444):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0},(137,443):{'3_1':0.87,'5_1':0.0,'5_2':0.0},(137,442):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_2':0.0},(137,441):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_14':0.0,'9_1':0.0},(137,440):{'3_1':0.84,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(137,439):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(137,438):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_5':0.0},(137,437):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(137,436):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0},(137,435):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'4_1':0.0,'8_2':0.0},(137,434):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0},(137,433):{'3_1':0.81,'5_1':0.09,'4_1':0.0,'7_1':0.0,'5_2':0.0,'-3':0.0},(137,432):{'3_1':0.78,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(137,431):{'3_1':0.78,'5_1':0.06,'5_2':0.03},(137,430):{'3_1':0.81,'5_1':0.06,'6_1':0.0,'7_3':0.0,'-3':0.0},(137,429):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0},(137,428):{'3_1':0.84,'5_1':0.06,'4_1':0.0,'-3':0.0},(137,427):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0},(137,426):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(137,425):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0},(137,424):{'3_1':0.78,'5_1':0.09,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(137,423):{'3_1':0.75,'5_1':0.12,'4_1':0.0,'8_2':0.0},(137,422):{'3_1':0.69,'5_1':0.09,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(137,421):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(137,420):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(137,419):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(137,418):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(137,417):{'3_1':0.75,'5_1':0.09,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0,'-3':0.0},(137,416):{'3_1':0.66,'5_1':0.09,'8_2':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(137,415):{'3_1':0.72,'5_1':0.12,'6_2':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0},(137,414):{'3_1':0.63,'5_1':0.15,'5_2':0.06,'8_2':0.03,'6_2':0.0,'7_1':0.0},(137,413):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(137,412):{'3_1':0.57,'5_1':0.15,'5_2':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(137,411):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'8_2':0.03,'7_5':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(137,410):{'3_1':0.66,'5_1':0.15,'6_2':0.03,'8_2':0.03,'5_2':0.0,'4_1':0.0},(137,409):{'3_1':0.54,'5_1':0.18,'6_2':0.06,'5_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(137,408):{'3_1':0.6,'5_1':0.09,'6_2':0.06,'5_2':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(137,407):{'3_1':0.69,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(137,406):{'3_1':0.72,'5_1':0.09,'6_2':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0},(137,405):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0},(137,404):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0},(137,403):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0},(137,402):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(137,401):{'3_1':0.75,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(137,400):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'4_1':0.0},(137,399):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(137,398):{'3_1':0.63,'5_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0},(137,397):{'3_1':0.69,'5_1':0.03,'6_2':0.0,'7_6':0.0},(137,396):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_3':0.0},(137,395):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(137,394):{'3_1':0.51,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_6':0.0},(137,393):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'8_15':0.0},(137,392):{'3_1':0.57,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(137,391):{'3_1':0.54,'5_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(137,390):{'3_1':0.39,'5_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(137,389):{'3_1':0.36,'6_2':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0},(137,388):{'3_1':0.42,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(137,387):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_10':0.0,'-3':0.0},(137,386):{'3_1':0.27,'6_2':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0,'-3':0.0},(137,385):{'3_1':0.27,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0},(137,384):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(137,383):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(137,382):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_9':0.0},(137,381):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0,'8_9':0.0,'8_17':0.0,'-3':0.0},(137,380):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_9':0.0,'-3':0.0},(137,379):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(137,378):{'5_2':0.03,'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_16':0.0},(137,377):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_2':0.0},(137,376):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'-3':0.0},(137,375):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(137,374):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(137,373):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(137,372):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(137,371):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(137,370):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_5':0.0},(137,369):{'3_1':0.12,'4_1':0.0},(137,368):{'3_1':0.12},(137,367):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'6_3':0.0},(137,366):{'3_1':0.15,'8_2':0.0},(137,365):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(137,364):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(137,363):{'3_1':0.03},(137,362):{'3_1':0.06,'4_1':0.0},(137,361):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(137,360):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(137,359):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_3':0.0},(137,358):{'3_1':0.03,'4_1':0.0},(137,357):{'3_1':0.06},(137,356):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(137,355):{'3_1':0.06},(137,354):{'3_1':0.12,'4_1':0.0},(137,353):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(137,352):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(137,351):{'3_1':0.03,'4_1':0.0},(137,350):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(137,349):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(137,348):{'3_1':0.03,'4_1':0.03},(137,347):{'3_1':0.03,'4_1':0.0},(137,346):{'3_1':0.0,'4_1':0.0},(137,345):{'3_1':0.03,'4_1':0.0},(137,344):{'3_1':0.03,'4_1':0.0},(137,343):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(137,342):{'3_1':0.0,'4_1':0.0},(137,341):{'3_1':0.0,'4_1':0.0},(137,340):{'3_1':0.06,'4_1':0.0},(137,339):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(137,338):{'3_1':0.0,'4_1':0.0},(137,337):{'3_1':0.03,'4_1':0.0},(137,336):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(137,335):{'3_1':0.06,'4_1':0.0},(137,334):{'3_1':0.03,'4_1':0.0},(137,333):{'3_1':0.06,'4_1':0.06,'8_20|3_1#3_1':0.0},(137,332):{'3_1':0.09,'4_1':0.0},(137,331):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(137,330):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(137,329):{'3_1':0.03,'4_1':0.0},(137,328):{'3_1':0.06,'4_1':0.0},(137,327):{'3_1':0.03,'4_1':0.03},(137,326):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(137,325):{'3_1':0.03,'4_1':0.0},(137,324):{'3_1':0.0,'4_1':0.0},(137,323):{'3_1':0.03,'4_1':0.0},(137,322):{'3_1':0.03,'4_1':0.0},(137,321):{'3_1':0.0,'4_1':0.0},(137,320):{'3_1':0.03,'6_3':0.0},(137,319):{'3_1':0.03,'4_1':0.0},(137,318):{'3_1':0.03,'4_1':0.0},(137,317):{'3_1':0.03},(137,316):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(137,315):{'3_1':0.03,'4_1':0.0},(137,314):{'3_1':0.03,'4_1':0.0},(137,313):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(137,312):{'3_1':0.03},(137,311):{'3_1':0.03,'4_1':0.0},(137,310):{'3_1':0.03,'4_1':0.0},(137,309):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(137,308):{'3_1':0.03,'4_1':0.0},(137,307):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(137,306):{'3_1':0.0,'6_2':0.0},(137,305):{'3_1':0.0,'4_1':0.0},(137,304):{'3_1':0.0,'4_1':0.0},(137,303):{'3_1':0.0,'4_1':0.0},(137,302):{'3_1':0.03,'4_1':0.0},(137,301):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(137,300):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(137,299):{'3_1':0.03,'4_1':0.0},(137,298):{'3_1':0.03,'4_1':0.0},(137,297):{'3_1':0.0},(137,296):{'3_1':0.0,'4_1':0.0},(137,295):{'3_1':0.06,'6_2':0.0},(137,294):{'3_1':0.0,'4_1':0.0},(137,293):{'3_1':0.0,'4_1':0.0},(137,292):{'3_1':0.03,'4_1':0.0},(137,291):{'3_1':0.0,'4_1':0.0},(137,290):{'3_1':0.03},(137,289):{'3_1':0.0,'4_1':0.0},(137,288):{'3_1':0.0},(137,287):{'3_1':0.0,'6_2':0.0},(137,286):{'3_1':0.03},(137,285):{'3_1':0.0,'4_1':0.0},(137,284):{'3_1':0.0},(137,283):{'3_1':0.0,'6_2':0.0},(137,282):{'3_1':0.03},(137,281):{'3_1':0.0,'4_1':0.0},(137,280):{'3_1':0.03},(137,279):{'3_1':0.03},(137,278):{'3_1':0.0},(137,277):{'3_1':0.0},(137,276):{'3_1':0.03,'4_1':0.0},(137,275):{'3_1':0.0},(137,274):{'3_1':0.03},(137,273):{'3_1':0.0},(137,272):{'3_1':0.0},(137,271):{'3_1':0.03,'4_1':0.0},(137,270):{'3_1':0.03,'4_1':0.0},(137,269):{'3_1':0.0},(137,268):{'3_1':0.03,'4_1':0.0},(137,267):{'3_1':0.0},(137,266):{'3_1':0.0,'4_1':0.0},(137,265):{'3_1':0.06},(137,264):{'3_1':0.03},(137,263):{'3_1':0.03,'4_1':0.0},(137,262):{'3_1':0.03,'4_1':0.0},(137,261):{'3_1':0.03},(137,260):{'3_1':0.03,'4_1':0.0},(137,259):{'3_1':0.03,'4_1':0.0},(137,258):{'3_1':0.0,'5_2':0.0},(137,257):{'3_1':0.0},(137,256):{'3_1':0.0},(137,255):{'3_1':0.03,'4_1':0.0},(137,254):{'3_1':0.06},(137,252):{'3_1':0.0},(137,251):{'3_1':0.03},(137,250):{'3_1':0.03},(137,249):{'3_1':0.0},(137,248):{'3_1':0.0},(137,247):{'3_1':0.0,'4_1':0.0},(137,246):{'3_1':0.0,'4_1':0.0},(137,245):{'3_1':0.0,'4_1':0.0},(137,244):{'3_1':0.0},(137,243):{'3_1':0.03},(137,242):{'3_1':0.0},(137,241):{'3_1':0.0},(137,240):{'3_1':0.0},(137,239):{'3_1':0.06},(137,238):{'3_1':0.03},(137,237):{'3_1':0.03},(137,236):{'3_1':0.03},(137,235):{'3_1':0.0},(137,234):{'3_1':0.0},(137,233):{'3_1':0.0},(137,232):{'3_1':0.0,'4_1':0.0},(137,231):{'3_1':0.0},(137,229):{'3_1':0.0},(137,228):{'3_1':0.0},(137,227):{'3_1':0.0},(137,226):{'3_1':0.0},(137,225):{'3_1':0.0},(137,224):{'3_1':0.0,'4_1':0.0},(137,222):{'3_1':0.0},(137,221):{'3_1':0.0},(137,220):{'5_2':0.0},(137,219):{'3_1':0.0},(137,218):{'3_1':0.0},(137,215):{'3_1':0.0},(137,214):{'3_1':0.0},(137,213):{'3_1':0.03},(137,209):{'3_1':0.0},(137,207):{'3_1':0.0},(137,206):{'3_1':0.0},(137,205):{'3_1':0.0},(137,204):{'3_1':0.0},(137,203):{'3_1':0.0},(137,202):{'3_1':0.0},(137,201):{'3_1':0.0},(137,197):{'3_1':0.0},(137,196):{'3_1':0.0},(137,184):{'3_1':0.0},(137,182):{'3_1':0.0},(137,163):{'3_1':0.0},(137,162):{'3_1':0.0},(137,158):{'3_1':0.0},(137,156):{'3_1':0.0},(137,151):{'3_1':0.0},(138,459):{'3_1':0.81,'5_1':0.03,'5_2':0.0},(138,458):{'3_1':0.9,'5_1':0.03,'6_2':0.0,'7_3':0.0},(138,457):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_2':0.0},(138,456):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(138,455):{'3_1':0.87,'5_1':0.0,'6_2':0.0},(138,454):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0},(138,453):{'3_1':0.9,'5_1':0.03},(138,452):{'3_1':0.84,'5_1':0.03,'5_2':0.0},(138,451):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'4_1':0.0},(138,450):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(138,449):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(138,448):{'3_1':0.84,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(138,447):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(138,446):{'3_1':0.9,'5_1':0.03,'4_1':0.0,'5_2':0.0},(138,445):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'-3':0.0},(138,444):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'8_2':0.0},(138,443):{'3_1':0.81,'5_1':0.03,'7_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(138,442):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0},(138,441):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0},(138,440):{'3_1':0.87,'5_1':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0},(138,439):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(138,438):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'8_2':0.0},(138,437):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(138,436):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(138,435):{'3_1':0.78,'5_1':0.06,'8_2':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(138,434):{'3_1':0.81,'5_2':0.03,'5_1':0.03,'8_2':0.0,'6_2':0.0,'7_3':0.0},(138,433):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_14':0.0},(138,432):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'7_3':0.0,'8_2':0.0},(138,431):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_5':0.0,'4_1':0.0},(138,430):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_1':0.0},(138,429):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_5':0.0,'8_7':0.0},(138,428):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0},(138,427):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_3':0.0},(138,426):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(138,425):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'-3':0.0},(138,424):{'3_1':0.63,'5_1':0.09,'-3':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'6_2':0.0},(138,423):{'3_1':0.72,'5_1':0.06,'8_2':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_14':0.0},(138,422):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'-3':0.0},(138,421):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'5_2':0.0,'8_2':0.0},(138,420):{'3_1':0.69,'5_1':0.12,'8_2':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(138,419):{'3_1':0.63,'5_1':0.12,'6_2':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(138,418):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0},(138,417):{'3_1':0.63,'5_1':0.15,'5_2':0.06,'8_2':0.03,'-3':0.0},(138,416):{'3_1':0.57,'5_1':0.12,'5_2':0.09,'8_2':0.03,'6_2':0.0,'8_7':0.0,'8_9':0.0,'8_14':0.0,'-3':0.0},(138,415):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(138,414):{'3_1':0.57,'5_1':0.09,'5_2':0.06,'8_2':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0},(138,413):{'3_1':0.57,'5_1':0.12,'5_2':0.06,'8_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0},(138,412):{'3_1':0.54,'5_1':0.18,'5_2':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_7':0.0},(138,411):{'3_1':0.54,'5_1':0.21,'8_2':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(138,410):{'3_1':0.57,'5_1':0.15,'5_2':0.06,'8_2':0.03,'6_2':0.0,'4_1':0.0,'8_7':0.0},(138,409):{'3_1':0.54,'5_1':0.18,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(138,408):{'3_1':0.63,'5_1':0.15,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(138,407):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0,'8_6':0.0},(138,406):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_2':0.0,'8_2':0.0},(138,405):{'3_1':0.75,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(138,404):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0},(138,403):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(138,402):{'3_1':0.75,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(138,401):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(138,400):{'3_1':0.72,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_7':0.0},(138,399):{'3_1':0.69,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(138,398):{'3_1':0.66,'5_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(138,397):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0},(138,396):{'3_1':0.72,'5_2':0.03,'5_1':0.03,'7_5':0.0,'6_2':0.0,'6_3':0.0},(138,395):{'3_1':0.54,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(138,394):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(138,393):{'3_1':0.45,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(138,392):{'3_1':0.48,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(138,391):{'3_1':0.54,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0},(138,390):{'3_1':0.42,'5_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_7':0.0},(138,389):{'3_1':0.42,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0},(138,388):{'3_1':0.27,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'9_1':0.0},(138,387):{'3_1':0.39,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(138,386):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'6_2':0.0,'4_1':0.0,'7_6':0.0},(138,385):{'3_1':0.21,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0},(138,384):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'6_2':0.0,'6_1':0.0},(138,383):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_2':0.03,'-3':0.0},(138,382):{'3_1':0.15,'6_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(138,381):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(138,380):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(138,379):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(138,378):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(138,377):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_5':0.0,'-3':0.0},(138,376):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(138,375):{'3_1':0.09,'4_1':0.06},(138,374):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(138,373):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(138,372):{'3_1':0.06,'6_3':0.0,'5_1':0.0,'5_2':0.0},(138,371):{'3_1':0.03,'5_2':0.0},(138,370):{'3_1':0.06,'4_1':0.0},(138,369):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(138,368):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(138,367):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(138,366):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(138,365):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(138,364):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(138,363):{'3_1':0.09,'6_3':0.0},(138,362):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(138,361):{'3_1':0.06,'4_1':0.0},(138,360):{'3_1':0.09,'4_1':0.0},(138,359):{'3_1':0.06,'4_1':0.0},(138,358):{'3_1':0.03,'4_1':0.0,'-3':0.0},(138,357):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(138,356):{'3_1':0.03,'4_1':0.0},(138,355):{'3_1':0.03,'4_1':0.0,'8_17':0.0,'8_20|3_1#3_1':0.0},(138,354):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(138,353):{'3_1':0.09},(138,352):{'3_1':0.06},(138,351):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(138,350):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_2':0.0},(138,349):{'3_1':0.0,'4_1':0.0},(138,348):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(138,347):{'3_1':0.06,'4_1':0.0},(138,346):{'3_1':0.06,'4_1':0.0},(138,345):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(138,344):{'3_1':0.03},(138,343):{'3_1':0.09,'4_1':0.0},(138,342):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(138,341):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(138,340):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(138,339):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(138,338):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(138,337):{'3_1':0.06,'4_1':0.0},(138,336):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(138,335):{'3_1':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0},(138,334):{'3_1':0.09,'4_1':0.03},(138,333):{'3_1':0.03,'4_1':0.0},(138,332):{'3_1':0.0,'4_1':0.0},(138,331):{'3_1':0.09,'4_1':0.03},(138,330):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(138,329):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(138,328):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(138,327):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(138,326):{'3_1':0.03,'4_1':0.03},(138,325):{'3_1':0.03,'4_1':0.03},(138,324):{'4_1':0.0,'3_1':0.0},(138,323):{'3_1':0.03,'4_1':0.0},(138,322):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(138,321):{'3_1':0.03,'4_1':0.0},(138,320):{'3_1':0.06,'4_1':0.0},(138,319):{'3_1':0.03,'4_1':0.0},(138,318):{'3_1':0.0,'4_1':0.0},(138,317):{'3_1':0.0,'4_1':0.0},(138,316):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(138,315):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(138,314):{'3_1':0.03},(138,313):{'3_1':0.03,'4_1':0.0},(138,312):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(138,311):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(138,310):{'4_1':0.03,'3_1':0.0},(138,309):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(138,308):{'3_1':0.03,'4_1':0.0},(138,307):{'3_1':0.03,'4_1':0.0},(138,306):{'3_1':0.0},(138,305):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(138,304):{'3_1':0.0,'6_2':0.0},(138,303):{'3_1':0.0},(138,302):{'3_1':0.06,'4_1':0.0},(138,301):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(138,300):{'3_1':0.03,'6_2':0.0},(138,299):{'3_1':0.03,'4_1':0.0},(138,298):{'3_1':0.0,'5_1':0.0},(138,297):{'3_1':0.06,'4_1':0.0},(138,296):{'3_1':0.03},(138,295):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(138,294):{'3_1':0.03},(138,293):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(138,292):{'3_1':0.03},(138,291):{'3_1':0.03,'4_1':0.03},(138,290):{'3_1':0.0,'4_1':0.0},(138,289):{'3_1':0.06,'4_1':0.0},(138,288):{'3_1':0.06},(138,287):{'3_1':0.0},(138,286):{'3_1':0.0,'4_1':0.0},(138,285):{'3_1':0.03},(138,284):{'3_1':0.0},(138,283):{'3_1':0.03},(138,282):{'3_1':0.0,'4_1':0.0},(138,281):{'3_1':0.06},(138,280):{'3_1':0.03,'4_1':0.0},(138,279):{'3_1':0.0},(138,278):{'3_1':0.0,'4_1':0.0},(138,277):{'3_1':0.03,'4_1':0.0},(138,276):{'3_1':0.0},(138,275):{'3_1':0.03,'4_1':0.0},(138,274):{'3_1':0.03,'4_1':0.0},(138,273):{'3_1':0.06,'4_1':0.0},(138,272):{'3_1':0.06,'4_1':0.0},(138,271):{'3_1':0.03,'4_1':0.0},(138,270):{'3_1':0.06},(138,269):{'3_1':0.0},(138,268):{'3_1':0.0},(138,267):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(138,266):{'3_1':0.03},(138,265):{'3_1':0.03},(138,264):{'3_1':0.06},(138,263):{'3_1':0.03,'4_1':0.0},(138,262):{'3_1':0.0,'4_1':0.0},(138,261):{'3_1':0.0,'4_1':0.0},(138,260):{'3_1':0.03},(138,259):{'3_1':0.0},(138,258):{'3_1':0.03,'4_1':0.0},(138,257):{'3_1':0.03},(138,256):{'3_1':0.03},(138,255):{'3_1':0.06},(138,254):{'3_1':0.03},(138,253):{'3_1':0.03},(138,252):{'3_1':0.0,'4_1':0.0},(138,251):{'3_1':0.0,'4_1':0.0},(138,250):{'3_1':0.03},(138,249):{'3_1':0.0,'4_1':0.0},(138,248):{'3_1':0.0},(138,246):{'3_1':0.03,'4_1':0.0},(138,245):{'3_1':0.0},(138,244):{'3_1':0.0},(138,243):{'3_1':0.0},(138,242):{'3_1':0.0},(138,241):{'3_1':0.0},(138,240):{'3_1':0.03},(138,239):{'3_1':0.06},(138,238):{'3_1':0.0},(138,237):{'3_1':0.0},(138,236):{'3_1':0.03},(138,235):{'3_1':0.0,'4_1':0.0},(138,234):{'3_1':0.03},(138,233):{'3_1':0.03},(138,232):{'3_1':0.0},(138,231):{'3_1':0.06},(138,230):{'3_1':0.0},(138,229):{'3_1':0.0},(138,228):{'3_1':0.0},(138,227):{'3_1':0.03},(138,226):{'3_1':0.0},(138,225):{'3_1':0.0},(138,224):{'4_1':0.0},(138,223):{'3_1':0.0},(138,222):{'3_1':0.0},(138,220):{'3_1':0.03},(138,219):{'3_1':0.03},(138,218):{'3_1':0.0},(138,217):{'3_1':0.0},(138,216):{'3_1':0.03},(138,215):{'3_1':0.0},(138,214):{'3_1':0.0},(138,213):{'3_1':0.0},(138,212):{'3_1':0.0},(138,211):{'3_1':0.0},(138,210):{'3_1':0.0},(138,209):{'3_1':0.03,'5_2':0.0},(138,208):{'3_1':0.03},(138,207):{'3_1':0.0},(138,206):{'3_1':0.0},(138,204):{'3_1':0.0},(138,203):{'3_1':0.0},(138,202):{'3_1':0.0},(138,201):{'3_1':0.03},(138,200):{'3_1':0.03},(138,199):{'3_1':0.0},(138,198):{'3_1':0.0},(138,197):{'3_1':0.0},(138,196):{'3_1':0.0},(138,195):{'3_1':0.0},(138,188):{'3_1':0.0},(138,179):{'3_1':0.0},(138,176):{'3_1':0.0},(138,173):{'3_1':0.0},(138,172):{'3_1':0.0},(138,163):{'3_1':0.0},(138,161):{'3_1':0.0},(138,160):{'3_1':0.0},(138,156):{'3_1':0.0},(138,155):{'3_1':0.0},(138,150):{'3_1':0.0},(138,147):{'3_1':0.0},(139,459):{'3_1':0.75,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0},(139,458):{'3_1':0.75,'5_1':0.12,'5_2':0.03,'8_2':0.0},(139,457):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0,'7_1':0.0},(139,456):{'3_1':0.84,'5_1':0.09,'5_2':0.0},(139,455):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_2':0.0},(139,454):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'8_2':0.0},(139,453):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(139,452):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'7_1':0.0,'-3':0.0},(139,451):{'3_1':0.81,'5_1':0.03,'5_2':0.0},(139,450):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(139,449):{'3_1':0.87,'5_1':0.03,'8_2':0.0},(139,448):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(139,447):{'3_1':0.78,'5_2':0.06,'5_1':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(139,446):{'3_1':0.84,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_2':0.0},(139,445):{'3_1':0.81,'5_1':0.03,'7_3':0.0,'5_2':0.0},(139,444):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(139,443):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'-3':0.0},(139,442):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0},(139,441):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(139,440):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'8_2':0.0},(139,439):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'-3':0.0},(139,438):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_6':0.0},(139,437):{'3_1':0.78,'5_1':0.06,'5_2':0.03},(139,436):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(139,435):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'5_2':0.0,'-3':0.0,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(139,434):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_2':0.0},(139,433):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(139,432):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0},(139,431):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(139,430):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_2':0.0},(139,429):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'-3':0.0},(139,428):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(139,427):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0},(139,426):{'3_1':0.69,'5_1':0.15,'5_2':0.0,'7_1':0.0,'-3':0.0},(139,425):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(139,424):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(139,423):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_3':0.0},(139,422):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0},(139,421):{'3_1':0.66,'5_1':0.15,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(139,420):{'3_1':0.69,'5_1':0.06,'8_2':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0},(139,419):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'8_2':0.0,'-3':0.0,'7_1':0.0},(139,418):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'1':-0.03},(139,417):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'8_2':0.03,'5_2':0.0,'7_5':0.0},(139,416):{'3_1':0.63,'5_1':0.18,'8_2':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(139,415):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(139,414):{'3_1':0.63,'5_1':0.15,'5_2':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0},(139,413):{'3_1':0.63,'5_1':0.18,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0},(139,412):{'3_1':0.48,'5_1':0.21,'5_2':0.03,'6_2':0.03,'8_2':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0},(139,411):{'3_1':0.54,'5_1':0.15,'5_2':0.06,'6_2':0.03,'8_2':0.03,'-3':0.0,'7_1':0.0},(139,410):{'3_1':0.54,'5_1':0.12,'5_2':0.06,'8_2':0.06,'7_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(139,409):{'3_1':0.51,'5_1':0.18,'5_2':0.03,'6_2':0.03,'-3':0.0,'7_1':0.0,'4_1':0.0,'8_2':0.0},(139,408):{'3_1':0.57,'5_1':0.15,'5_2':0.06,'6_2':0.03,'8_9':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(139,407):{'3_1':0.63,'5_1':0.06,'5_2':0.06,'6_2':0.03,'7_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(139,406):{'3_1':0.69,'5_1':0.12,'6_2':0.0,'4_1':0.0,'5_2':0.0},(139,405):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0,'8_4':0.0,'8_9':0.0,'8_19':0.0},(139,404):{'3_1':0.66,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_7':0.0,'6_1':0.0,'7_5':0.0},(139,403):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_2':0.0},(139,402):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_1':0.0,'6_3':0.0,'-3':0.0},(139,401):{'3_1':0.57,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_2':0.0,'8_19':0.0},(139,400):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0},(139,399):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0,'-3':0.0},(139,398):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(139,397):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(139,396):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_4':0.0,'8_9':0.0},(139,395):{'3_1':0.57,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(139,394):{'3_1':0.66,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(139,393):{'3_1':0.45,'5_1':0.09,'4_1':0.03,'5_2':0.03,'7_6':0.0},(139,392):{'3_1':0.42,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_19':0.0,'7_6':0.0},(139,391):{'3_1':0.51,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_9':0.0,'-3':0.0},(139,390):{'3_1':0.45,'5_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(139,389):{'3_1':0.39,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(139,388):{'3_1':0.39,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_16':0.0,'8_17':0.0},(139,387):{'3_1':0.39,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0},(139,386):{'3_1':0.3,'5_2':0.06,'6_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0},(139,385):{'3_1':0.21,'4_1':0.06,'5_1':0.06,'6_2':0.0,'5_2':0.0,'8_4':0.0,'-3':0.0},(139,384):{'3_1':0.3,'4_1':0.06,'6_2':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'8_19':0.0},(139,383):{'3_1':0.24,'4_1':0.06,'6_2':0.0,'5_1':0.0,'7_4':0.0},(139,382):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_4':0.0,'8_16':0.0},(139,381):{'3_1':0.21,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(139,380):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0},(139,379):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(139,378):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'8_6':0.0,'8_8':0.0,'3_1#5_2':0.0,'-3':0.0},(139,377):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(139,376):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_6':0.0},(139,375):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(139,374):{'3_1':0.09,'6_3':0.0},(139,373):{'3_1':0.15,'4_1':0.03},(139,372):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(139,371):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(139,370):{'3_1':0.06,'4_1':0.0},(139,369):{'3_1':0.09},(139,368):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(139,367):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(139,366):{'3_1':0.12,'5_2':0.0},(139,365):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(139,364):{'3_1':0.12,'5_1':0.0},(139,363):{'3_1':0.06},(139,362):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(139,361):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(139,360):{'3_1':0.0},(139,359):{'3_1':0.09,'4_1':0.0},(139,358):{'3_1':0.06,'4_1':0.0},(139,357):{'3_1':0.06,'6_3':0.0,'8_9':0.0},(139,356):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(139,355):{'3_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(139,354):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(139,353):{'3_1':0.09,'5_1':0.0},(139,352):{'3_1':0.06,'4_1':0.0},(139,351):{'3_1':0.06,'4_1':0.0},(139,350):{'3_1':0.09,'4_1':0.0},(139,349):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(139,348):{'3_1':0.09,'4_1':0.0},(139,347):{'3_1':0.06,'4_1':0.0},(139,346):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(139,345):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(139,344):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(139,343):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(139,342):{'3_1':0.03,'4_1':0.03},(139,341):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(139,340):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(139,339):{'3_1':0.06,'4_1':0.03},(139,338):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(139,337):{'3_1':0.0,'6_1':0.0},(139,336):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(139,335):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(139,334):{'3_1':0.09,'4_1':0.0},(139,333):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(139,332):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(139,331):{'3_1':0.03,'4_1':0.03},(139,330):{'3_1':0.03,'4_1':0.03},(139,329):{'3_1':0.03,'4_1':0.0},(139,328):{'3_1':0.03,'4_1':0.03},(139,327):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(139,326):{'3_1':0.03,'4_1':0.0},(139,325):{'3_1':0.03,'4_1':0.0},(139,324):{'3_1':0.03,'4_1':0.03},(139,323):{'3_1':0.06,'4_1':0.03},(139,322):{'3_1':0.03,'4_1':0.0},(139,321):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(139,320):{'3_1':0.03},(139,319):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(139,318):{'3_1':0.03,'4_1':0.0},(139,317):{'3_1':0.0,'4_1':0.0},(139,316):{'3_1':0.0,'4_1':0.0},(139,315):{'3_1':0.06},(139,314):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(139,313):{'3_1':0.03,'4_1':0.0},(139,312):{'3_1':0.03,'6_2':0.0},(139,311):{'4_1':0.0,'3_1':0.0,'6_3':0.0},(139,310):{'4_1':0.03,'3_1':0.03},(139,309):{'3_1':0.06,'4_1':0.0},(139,308):{'3_1':0.0},(139,307):{'3_1':0.0,'4_1':0.0},(139,306):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(139,305):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(139,304):{'3_1':0.03},(139,303):{'3_1':0.03,'4_1':0.0},(139,302):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0},(139,301):{'3_1':0.06,'5_2':0.0},(139,300):{'3_1':0.0},(139,299):{'3_1':0.06,'6_2':0.0},(139,298):{'4_1':0.0,'3_1':0.0},(139,297):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(139,296):{'3_1':0.0,'4_1':0.0},(139,295):{'3_1':0.03,'4_1':0.0},(139,294):{'3_1':0.03,'4_1':0.0},(139,293):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(139,292):{'3_1':0.06,'4_1':0.0},(139,291):{'3_1':0.06,'4_1':0.0},(139,290):{'3_1':0.0},(139,289):{'3_1':0.03,'4_1':0.0},(139,288):{'3_1':0.03,'4_1':0.0},(139,287):{'3_1':0.09,'4_1':0.0},(139,286):{'3_1':0.03,'4_1':0.0},(139,285):{'3_1':0.0,'4_1':0.0},(139,284):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(139,283):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(139,282):{'3_1':0.03},(139,281):{'3_1':0.06},(139,280):{'3_1':0.0,'4_1':0.0},(139,279):{'3_1':0.0,'4_1':0.0},(139,278):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(139,277):{'3_1':0.03,'5_2':0.0},(139,276):{'3_1':0.09},(139,275):{'3_1':0.03},(139,274):{'3_1':0.0},(139,273):{'3_1':0.0,'5_2':0.0},(139,272):{'3_1':0.0,'4_1':0.0},(139,271):{'3_1':0.03,'5_2':0.0},(139,270):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(139,269):{'3_1':0.03,'4_1':0.0},(139,268):{'3_1':0.03},(139,267):{'3_1':0.0,'5_2':0.0},(139,266):{'3_1':0.06},(139,265):{'3_1':0.0},(139,264):{'3_1':0.09},(139,263):{'3_1':0.06},(139,262):{'3_1':0.0,'4_1':0.0},(139,261):{'3_1':0.0,'4_1':0.0},(139,260):{'3_1':0.0},(139,259):{'3_1':0.03,'4_1':0.0},(139,258):{'3_1':0.03},(139,257):{'3_1':0.06,'4_1':0.0},(139,256):{'4_1':0.0,'3_1':0.0},(139,255):{'3_1':0.03},(139,254):{'3_1':0.03,'4_1':0.0},(139,253):{'3_1':0.0,'4_1':0.0},(139,252):{'3_1':0.03},(139,251):{'3_1':0.0,'4_1':0.0},(139,250):{'3_1':0.0,'4_1':0.0},(139,249):{'3_1':0.03,'4_1':0.0},(139,248):{'3_1':0.03,'4_1':0.0},(139,247):{'3_1':0.06},(139,245):{'3_1':0.06},(139,244):{'3_1':0.0},(139,243):{'3_1':0.0},(139,242):{'3_1':0.0},(139,241):{'3_1':0.03},(139,240):{'3_1':0.0},(139,239):{'3_1':0.03,'4_1':0.0},(139,238):{'3_1':0.0},(139,237):{'3_1':0.0},(139,236):{'3_1':0.0},(139,235):{'3_1':0.03},(139,234):{'3_1':0.03},(139,233):{'3_1':0.03,'4_1':0.0},(139,232):{'3_1':0.0,'5_1':0.0},(139,231):{'3_1':0.0},(139,230):{'3_1':0.0,'4_1':0.0},(139,229):{'3_1':0.03},(139,228):{'3_1':0.0},(139,226):{'3_1':0.0},(139,225):{'3_1':0.0},(139,224):{'3_1':0.0},(139,223):{'5_2':0.0},(139,221):{'3_1':0.0},(139,220):{'3_1':0.0},(139,219):{'3_1':0.0},(139,217):{'3_1':0.0},(139,216):{'3_1':0.0},(139,215):{'3_1':0.0},(139,214):{'3_1':0.0},(139,213):{'3_1':0.0},(139,212):{'3_1':0.03},(139,211):{'3_1':0.03,'4_1':0.0},(139,209):{'3_1':0.0},(139,208):{'3_1':0.0},(139,206):{'3_1':0.0},(139,205):{'3_1':0.0},(139,203):{'3_1':0.0},(139,202):{'3_1':0.0},(139,201):{'3_1':0.0},(139,200):{'3_1':0.0},(139,198):{'3_1':0.0},(139,197):{'3_1':0.0},(139,195):{'3_1':0.0},(139,180):{'3_1':0.0},(139,163):{'3_1':0.0},(139,162):{'3_1':0.0},(139,160):{'3_1':0.0},(139,158):{'3_1':0.0},(139,156):{'3_1':0.0},(139,155):{'3_1':0.0},(139,153):{'3_1':0.0},(139,152):{'3_1':0.0},(139,151):{'3_1':0.0},(139,149):{'3_1':0.0},(139,147):{'3_1':0.0},(140,459):{'3_1':0.81,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(140,458):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(140,457):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0},(140,456):{'3_1':0.81,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(140,455):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0},(140,454):{'3_1':0.84,'5_1':0.06,'5_2':0.03,'4_1':0.0},(140,453):{'3_1':0.9,'5_1':0.03,'7_3':0.0,'5_2':0.0,'7_1':0.0},(140,452):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0},(140,451):{'3_1':0.81,'5_1':0.06,'5_2':0.0},(140,450):{'3_1':0.78,'5_1':0.06,'5_2':0.0},(140,449):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'4_1':0.0},(140,448):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0},(140,447):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'-3':0.0},(140,446):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'5_2':0.0},(140,445):{'3_1':0.78,'5_1':0.06,'5_2':0.0},(140,444):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0},(140,443):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(140,442):{'3_1':0.75,'5_1':0.03,'8_2':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0},(140,441):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0},(140,440):{'3_1':0.81,'5_1':0.03,'-3':0.0,'5_2':0.0},(140,439):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'8_2':0.0,'6_2':0.0},(140,438):{'3_1':0.87,'5_2':0.0},(140,437):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'5_2':0.0,'-3':0.0},(140,436):{'3_1':0.69,'5_1':0.06,'-3':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0,'8_9':0.0},(140,435):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'5_2':0.0,'7_1':0.0},(140,434):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0},(140,433):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(140,432):{'3_1':0.72,'5_1':0.03,'7_3':0.0,'8_2':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0,'8_6':0.0},(140,431):{'3_1':0.66,'5_1':0.15,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(140,430):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0},(140,429):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(140,428):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0},(140,427):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'7_1':0.0},(140,426):{'3_1':0.72,'5_1':0.12,'7_1':0.03,'6_2':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(140,425):{'3_1':0.75,'5_1':0.12,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(140,424):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'8_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(140,423):{'3_1':0.72,'5_1':0.09,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(140,422):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0,'8_17':0.0},(140,421):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0,'8_14':0.0},(140,420):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0,'1':-0.03},(140,419):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(140,418):{'3_1':0.66,'5_1':0.09,'6_2':0.06,'8_2':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(140,417):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'6_2':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(140,416):{'3_1':0.6,'5_1':0.12,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'1':-0.03},(140,415):{'3_1':0.57,'5_1':0.21,'6_2':0.03,'5_2':0.03,'8_4':0.0},(140,414):{'3_1':0.54,'5_1':0.15,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'4_1':0.0,'8_9':0.0},(140,413):{'3_1':0.57,'5_1':0.15,'4_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_5':0.0,'8_6':0.0,'8_14':0.0},(140,412):{'3_1':0.51,'5_1':0.12,'5_2':0.03,'8_2':0.03,'4_1':0.03,'6_2':0.03,'7_1':0.0,'-3':0.0,'8_7':0.0},(140,411):{'3_1':0.57,'5_1':0.15,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0},(140,410):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_9':0.0,'-3':0.0,'7_1':0.0},(140,409):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0},(140,408):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'7_1':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0,'8_9':0.0},(140,407):{'3_1':0.54,'5_1':0.15,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_7':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0},(140,406):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_7':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(140,405):{'3_1':0.54,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_11':0.0,'7_1':0.0},(140,404):{'3_1':0.75,'5_1':0.06,'4_1':0.03,'5_2':0.0},(140,403):{'3_1':0.75,'5_1':0.09,'6_2':0.0,'7_1':0.0},(140,402):{'3_1':0.66,'5_1':0.06,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0,'8_2':0.0},(140,401):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(140,400):{'3_1':0.66,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(140,399):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(140,398):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(140,397):{'3_1':0.6,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_6':0.0},(140,396):{'3_1':0.6,'5_1':0.03,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(140,395):{'3_1':0.51,'5_1':0.12,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(140,394):{'3_1':0.57,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(140,393):{'3_1':0.48,'5_1':0.09,'5_2':0.06,'6_2':0.0,'4_1':0.0,'7_4':0.0,'8_9':0.0,'-3':0.0},(140,392):{'3_1':0.42,'5_1':0.03,'5_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0},(140,391):{'3_1':0.45,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'6_3':0.0},(140,390):{'3_1':0.42,'5_1':0.09,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(140,389):{'3_1':0.39,'5_1':0.06,'6_2':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(140,388):{'3_1':0.39,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(140,387):{'3_1':0.36,'6_2':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(140,386):{'3_1':0.24,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0},(140,385):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(140,384):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(140,383):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(140,382):{'3_1':0.15,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(140,381):{'3_1':0.21,'6_2':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0},(140,380):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(140,379):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(140,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_6':0.0,'6_3':0.0},(140,377):{'3_1':0.18,'4_1':0.03,'6_3':0.0,'-3':0.0},(140,376):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'-3':0.0},(140,375):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0},(140,374):{'3_1':0.09,'4_1':0.0},(140,373):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(140,372):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(140,371):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(140,370):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(140,369):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(140,368):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(140,367):{'3_1':0.09,'6_3':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(140,366):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(140,365):{'3_1':0.12,'6_2':0.0},(140,364):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(140,363):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(140,362):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(140,361):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(140,360):{'3_1':0.09},(140,359):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(140,358):{'3_1':0.09,'4_1':0.0,'7_5':0.0},(140,357):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(140,356):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(140,355):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(140,354):{'3_1':0.03,'4_1':0.0},(140,353):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(140,352):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(140,351):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(140,350):{'3_1':0.03,'4_1':0.0},(140,349):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(140,348):{'3_1':0.03,'8_20|3_1#3_1':0.0},(140,347):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(140,346):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(140,345):{'3_1':0.0,'4_1':0.0},(140,344):{'4_1':0.06,'3_1':0.0,'7_5':0.0},(140,343):{'4_1':0.03,'3_1':0.03},(140,342):{'3_1':0.06,'4_1':0.03},(140,341):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(140,340):{'4_1':0.06,'3_1':0.0,'5_2':0.0},(140,339):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(140,338):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0},(140,337):{'3_1':0.09,'4_1':0.03},(140,336):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(140,335):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'7_5':0.0},(140,334):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(140,333):{'3_1':0.06,'4_1':0.0},(140,332):{'3_1':0.06,'4_1':0.03},(140,331):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(140,330):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(140,329):{'3_1':0.03,'4_1':0.03},(140,328):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(140,327):{'3_1':0.09,'4_1':0.03},(140,326):{'3_1':0.06,'4_1':0.03},(140,325):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(140,324):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(140,323):{'3_1':0.03,'4_1':0.03},(140,322):{'3_1':0.03,'4_1':0.0},(140,321):{'3_1':0.06,'4_1':0.0},(140,320):{'3_1':0.03,'4_1':0.03},(140,319):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(140,318):{'3_1':0.03},(140,317):{'3_1':0.03,'4_1':0.0},(140,316):{'3_1':0.03,'4_1':0.03},(140,315):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(140,314):{'3_1':0.03,'4_1':0.0},(140,313):{'3_1':0.06,'4_1':0.03},(140,312):{'3_1':0.03,'4_1':0.0},(140,311):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(140,310):{'3_1':0.0,'4_1':0.0},(140,309):{'3_1':0.03,'4_1':0.03},(140,308):{'3_1':0.0,'4_1':0.0},(140,307):{'3_1':0.03,'4_1':0.0},(140,306):{'4_1':0.0,'5_1':0.0},(140,305):{'3_1':0.0,'4_1':0.0},(140,304):{'3_1':0.0,'6_2':0.0},(140,303):{'3_1':0.03},(140,302):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(140,301):{'3_1':0.03},(140,300):{'3_1':0.06,'8_21|3_1#4_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(140,299):{'3_1':0.03,'4_1':0.0},(140,298):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(140,297):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(140,296):{'3_1':0.03},(140,295):{'3_1':0.0,'4_1':0.0},(140,294):{'3_1':0.0,'4_1':0.0},(140,293):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(140,292):{'3_1':0.03,'4_1':0.0},(140,291):{'3_1':0.03,'4_1':0.0},(140,290):{'3_1':0.06,'4_1':0.0},(140,289):{'3_1':0.03,'6_2':0.0},(140,288):{'3_1':0.06,'4_1':0.0},(140,287):{'3_1':0.03,'4_1':0.0},(140,286):{'3_1':0.03,'6_2':0.0},(140,285):{'5_2':0.0},(140,284):{'3_1':0.03,'4_1':0.0},(140,283):{'3_1':0.0,'4_1':0.0},(140,282):{'3_1':0.03},(140,281):{'3_1':0.03},(140,280):{'3_1':0.0},(140,279):{'3_1':0.0},(140,278):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(140,277):{'3_1':0.03},(140,276):{'3_1':0.03,'4_1':0.0},(140,275):{'3_1':0.09,'4_1':0.0},(140,274):{'3_1':0.06},(140,273):{'3_1':0.0,'4_1':0.0},(140,272):{'3_1':0.06},(140,271):{'3_1':0.03},(140,270):{'3_1':0.03,'4_1':0.0},(140,269):{'3_1':0.09,'4_1':0.0},(140,268):{'3_1':0.03},(140,267):{'3_1':0.03,'4_1':0.0},(140,266):{'3_1':0.06},(140,265):{'3_1':0.03},(140,264):{'3_1':0.06},(140,263):{'3_1':0.03,'4_1':0.0},(140,262):{'3_1':0.03,'4_1':0.0},(140,261):{'3_1':0.03,'4_1':0.0},(140,260):{'3_1':0.12,'4_1':0.0},(140,259):{'3_1':0.03,'4_1':0.0},(140,258):{'3_1':0.03},(140,257):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(140,256):{'3_1':0.03},(140,255):{'3_1':0.03},(140,254):{'3_1':0.03,'4_1':0.0},(140,253):{'3_1':0.0},(140,252):{'3_1':0.0,'4_1':0.0},(140,251):{'3_1':0.0,'4_1':0.0},(140,250):{'4_1':0.0},(140,249):{'3_1':0.0},(140,248):{'3_1':0.0,'4_1':0.0},(140,247):{'3_1':0.0,'6_2':0.0},(140,246):{'3_1':0.0},(140,245):{'3_1':0.03},(140,244):{'3_1':0.0},(140,243):{'3_1':0.03},(140,242):{'3_1':0.0},(140,241):{'3_1':0.0},(140,240):{'3_1':0.03},(140,239):{'3_1':0.03},(140,238):{'3_1':0.03},(140,237):{'3_1':0.03},(140,236):{'3_1':0.0},(140,235):{'3_1':0.0},(140,234):{'3_1':0.03},(140,233):{'3_1':0.0,'4_1':0.0},(140,232):{'3_1':0.0,'4_1':0.0},(140,231):{'3_1':0.03},(140,230):{'3_1':0.03},(140,229):{'3_1':0.0},(140,228):{'3_1':0.03},(140,227):{'3_1':0.0},(140,226):{'3_1':0.03},(140,225):{'3_1':0.0},(140,223):{'3_1':0.0},(140,222):{'3_1':0.0},(140,221):{'3_1':0.03},(140,220):{'3_1':0.0},(140,219):{'3_1':0.0},(140,218):{'3_1':0.0},(140,216):{'3_1':0.0},(140,215):{'3_1':0.0},(140,214):{'3_1':0.0},(140,213):{'3_1':0.0},(140,211):{'3_1':0.0},(140,209):{'3_1':0.0},(140,208):{'3_1':0.0},(140,206):{'3_1':0.0},(140,204):{'3_1':0.0},(140,201):{'3_1':0.0},(140,200):{'3_1':0.0},(140,199):{'3_1':0.0},(140,198):{'3_1':0.0},(140,197):{'3_1':0.0},(140,195):{'3_1':0.0},(140,186):{'3_1':0.0},(140,184):{'3_1':0.0},(140,182):{'3_1':0.0},(140,181):{'3_1':0.0},(140,172):{'3_1':0.0},(140,163):{'3_1':0.0},(140,155):{'3_1':0.0},(140,153):{'3_1':0.0},(140,152):{'3_1':0.0},(140,149):{'3_1':0.0},(140,148):{'3_1':0.0},(141,459):{'3_1':0.84,'5_1':0.06,'5_2':0.0},(141,458):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0},(141,457):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'6_2':0.0,'-3':0.0},(141,456):{'3_1':0.78,'5_1':0.06,'5_2':0.0},(141,455):{'3_1':0.87,'5_1':0.0,'5_2':0.0,'7_1':0.0},(141,454):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0},(141,453):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0},(141,452):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(141,451):{'3_1':0.81,'5_1':0.03,'6_2':0.0},(141,450):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0},(141,449):{'3_1':0.78,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(141,448):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(141,447):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.0},(141,446):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(141,445):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(141,444):{'3_1':0.81,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(141,443):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(141,442):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(141,441):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'7_1':0.0,'4_1':0.0,'8_2':0.0},(141,440):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0},(141,439):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(141,438):{'3_1':0.72,'5_1':0.12,'5_2':0.0},(141,437):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'4_1':0.0,'7_3':0.0,'9_1':0.0},(141,436):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'-3':0.0},(141,435):{'3_1':0.81,'6_2':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(141,434):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.0},(141,433):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(141,432):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0},(141,431):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(141,430):{'3_1':0.72,'5_1':0.12,'5_2':0.0,'9_1':0.0,'4_1':0.0,'-3':0.0},(141,429):{'3_1':0.72,'5_1':0.12,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'9_1':0.0},(141,428):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_14':0.0},(141,427):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_2':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'9_1':0.0},(141,426):{'3_1':0.69,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_5':0.0,'8_11':0.0},(141,425):{'3_1':0.69,'5_1':0.09,'4_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(141,424):{'3_1':0.63,'5_1':0.09,'6_2':0.03,'8_2':0.0,'4_1':0.0,'7_2':0.0,'8_6':0.0,'-3':0.0},(141,423):{'3_1':0.69,'5_1':0.06,'6_2':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0},(141,422):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(141,421):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_5':0.0},(141,420):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_5':0.0},(141,419):{'3_1':0.51,'5_1':0.21,'8_2':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0},(141,418):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(141,417):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(141,416):{'3_1':0.54,'5_1':0.15,'5_2':0.03,'6_2':0.03,'8_2':0.03,'-3':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'9_1':0.0},(141,415):{'3_1':0.57,'5_1':0.15,'5_2':0.03,'6_2':0.03,'7_1':0.0,'8_2':0.0,'7_2':0.0,'-3':0.0},(141,414):{'3_1':0.6,'5_1':0.15,'8_2':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(141,413):{'3_1':0.54,'5_1':0.12,'8_2':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'7_5':0.0},(141,412):{'3_1':0.6,'5_1':0.15,'5_2':0.06,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_4':0.0},(141,411):{'3_1':0.57,'5_1':0.15,'5_2':0.03,'8_2':0.03,'7_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(141,410):{'3_1':0.54,'5_1':0.12,'8_2':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0},(141,409):{'3_1':0.51,'5_1':0.15,'5_2':0.03,'8_2':0.03,'6_2':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(141,408):{'3_1':0.69,'5_2':0.06,'5_1':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0,'7_2':0.0},(141,407):{'3_1':0.57,'5_1':0.09,'6_2':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(141,406):{'3_1':0.69,'5_1':0.09,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_6':0.0},(141,405):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_9':0.0},(141,404):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0},(141,403):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_3':0.0},(141,402):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'4_1':0.0,'-3':0.0},(141,401):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0},(141,400):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(141,399):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'6_2':0.0,'6_1':0.0},(141,398):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'7_3':0.0},(141,397):{'3_1':0.6,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'8_18':0.0},(141,396):{'3_1':0.57,'5_1':0.15,'5_2':0.06,'7_4':0.0,'6_1':0.0,'-3':0.0},(141,395):{'3_1':0.48,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0,'8_16':0.0},(141,394):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'4_1':0.0,'-3':0.0},(141,393):{'3_1':0.45,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(141,392):{'3_1':0.57,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'7_6':0.0},(141,391):{'3_1':0.45,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_17':0.0},(141,390):{'3_1':0.39,'5_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(141,389):{'3_1':0.36,'4_1':0.06,'5_1':0.03,'6_2':0.03,'7_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0},(141,388):{'3_1':0.36,'5_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(141,387):{'3_1':0.36,'5_1':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0},(141,386):{'3_1':0.3,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(141,385):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_4':0.0,'8_17':0.0},(141,384):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_9':0.0,'8_13':0.0},(141,383):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_7':0.0,'-3':0.0},(141,382):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(141,381):{'3_1':0.18,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0},(141,380):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(141,379):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_16':0.0},(141,378):{'3_1':0.12,'5_1':0.0,'6_3':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(141,377):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(141,376):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'7_6':0.0},(141,375):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(141,374):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(141,373):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_3':0.0},(141,372):{'3_1':0.06,'4_1':0.0},(141,371):{'3_1':0.12},(141,370):{'3_1':0.15,'4_1':0.0},(141,369):{'3_1':0.21,'4_1':0.0,'6_2':0.0},(141,368):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'6_3':0.0},(141,367):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0},(141,366):{'3_1':0.12,'4_1':0.03,'8_21|3_1#4_1':0.0,'-3':0.0},(141,365):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(141,364):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(141,363):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(141,362):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(141,361):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(141,360):{'3_1':0.09,'4_1':0.0},(141,359):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(141,358):{'3_1':0.09,'4_1':0.03,'-3':0.0},(141,357):{'3_1':0.09,'4_1':0.0},(141,356):{'3_1':0.09,'4_1':0.03},(141,355):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(141,354):{'3_1':0.12},(141,353):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(141,352):{'3_1':0.09,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(141,351):{'3_1':0.03,'5_2':0.0},(141,350):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(141,349):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(141,348):{'3_1':0.03,'4_1':0.0},(141,347):{'3_1':0.06,'4_1':0.03},(141,346):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(141,345):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(141,344):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(141,343):{'3_1':0.09,'4_1':0.03},(141,342):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(141,341):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(141,340):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(141,339):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(141,338):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0},(141,337):{'3_1':0.06,'4_1':0.03},(141,336):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(141,335):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(141,334):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(141,333):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(141,332):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(141,331):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(141,330):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(141,329):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(141,328):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(141,327):{'3_1':0.03,'4_1':0.0},(141,326):{'3_1':0.06,'4_1':0.0},(141,325):{'3_1':0.03,'4_1':0.0},(141,324):{'3_1':0.03,'4_1':0.0},(141,323):{'3_1':0.03,'4_1':0.0},(141,322):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(141,321):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(141,320):{'3_1':0.03},(141,319):{'3_1':0.0},(141,318):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(141,317):{'3_1':0.06,'4_1':0.0},(141,316):{'4_1':0.0,'3_1':0.0,'6_3':0.0},(141,315):{'3_1':0.06},(141,314):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(141,313):{'3_1':0.03,'6_2':0.0},(141,312):{'3_1':0.03,'4_1':0.0},(141,311):{'4_1':0.0},(141,310):{'3_1':0.0,'4_1':0.0},(141,309):{'3_1':0.03,'4_1':0.0},(141,308):{'3_1':0.03},(141,307):{'3_1':0.03,'5_2':0.0},(141,306):{'3_1':0.03},(141,305):{'3_1':0.06,'5_1':0.0},(141,304):{'3_1':0.03,'5_1':0.0},(141,303):{'3_1':0.03},(141,302):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(141,301):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(141,300):{'3_1':0.06},(141,299):{'3_1':0.0,'4_1':0.0},(141,298):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(141,297):{'3_1':0.03,'4_1':0.0},(141,296):{'3_1':0.03,'8_20|3_1#3_1':0.0},(141,295):{'3_1':0.03,'4_1':0.0},(141,294):{'3_1':0.03},(141,293):{'3_1':0.03,'4_1':0.0},(141,292):{'3_1':0.09,'4_1':0.0},(141,291):{'3_1':0.03,'4_1':0.0},(141,290):{'3_1':0.06,'4_1':0.0},(141,289):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(141,288):{'3_1':0.03,'4_1':0.0},(141,287):{'3_1':0.03,'4_1':0.0},(141,286):{'3_1':0.03,'4_1':0.0},(141,285):{'3_1':0.0},(141,284):{'3_1':0.0,'4_1':0.0},(141,283):{'3_1':0.06,'5_2':0.0},(141,282):{'3_1':0.06,'4_1':0.0},(141,281):{'3_1':0.0},(141,280):{'3_1':0.03,'4_1':0.0},(141,279):{'3_1':0.03,'4_1':0.0},(141,278):{'3_1':0.09},(141,277):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(141,276):{'3_1':0.03},(141,275):{'3_1':0.06},(141,274):{'3_1':0.03,'5_2':0.0},(141,273):{'3_1':0.0},(141,272):{'3_1':0.03},(141,271):{'3_1':0.03,'4_1':0.0},(141,270):{'3_1':0.06,'4_1':0.0},(141,269):{'3_1':0.06},(141,268):{'3_1':0.06},(141,267):{'3_1':0.03,'6_3':0.0},(141,266):{'3_1':0.06,'4_1':0.0},(141,265):{'3_1':0.06,'4_1':0.0},(141,264):{'3_1':0.03},(141,263):{'3_1':0.06,'4_1':0.0},(141,262):{'3_1':0.03},(141,261):{'3_1':0.03,'4_1':0.0},(141,260):{'3_1':0.03},(141,259):{'3_1':0.03},(141,258):{'3_1':0.03},(141,257):{'3_1':0.06,'4_1':0.0},(141,256):{'3_1':0.03},(141,255):{'3_1':0.0,'4_1':0.0},(141,254):{'3_1':0.03,'4_1':0.0},(141,253):{'3_1':0.03,'4_1':0.0},(141,252):{'3_1':0.0},(141,251):{'3_1':0.03},(141,250):{'3_1':0.0,'4_1':0.0},(141,249):{'3_1':0.0},(141,248):{'3_1':0.0,'4_1':0.0},(141,247):{'3_1':0.03},(141,246):{'3_1':0.0},(141,245):{'3_1':0.03},(141,244):{'3_1':0.06},(141,243):{'3_1':0.03,'4_1':0.0},(141,242):{'3_1':0.0},(141,241):{'3_1':0.0},(141,240):{'3_1':0.09},(141,239):{'3_1':0.06},(141,238):{'3_1':0.09},(141,237):{'3_1':0.0},(141,236):{'3_1':0.0},(141,235):{'3_1':0.03},(141,234):{'3_1':0.03},(141,232):{'3_1':0.0},(141,231):{'3_1':0.0},(141,230):{'3_1':0.0},(141,229):{'3_1':0.03},(141,228):{'3_1':0.0},(141,227):{'3_1':0.0},(141,226):{'3_1':0.0},(141,225):{'3_1':0.03},(141,223):{'3_1':0.0},(141,222):{'3_1':0.03},(141,221):{'3_1':0.0},(141,220):{'3_1':0.0},(141,219):{'3_1':0.0},(141,218):{'3_1':0.0},(141,217):{'3_1':0.0},(141,215):{'3_1':0.0},(141,214):{'3_1':0.0},(141,213):{'3_1':0.0},(141,212):{'3_1':0.0},(141,211):{'3_1':0.0},(141,210):{'3_1':0.03},(141,209):{'3_1':0.0},(141,207):{'3_1':0.0},(141,206):{'3_1':0.0},(141,205):{'3_1':0.0},(141,204):{'3_1':0.0},(141,203):{'3_1':0.0},(141,202):{'3_1':0.0},(141,201):{'3_1':0.0},(141,200):{'3_1':0.0},(141,185):{'3_1':0.0},(141,184):{'3_1':0.0},(141,180):{'3_1':0.0},(141,179):{'3_1':0.0},(141,176):{'3_1':0.0},(141,174):{'3_1':0.0},(141,171):{'3_1':0.0},(141,170):{'3_1':0.0},(141,163):{'3_1':0.0},(141,162):{'3_1':0.0},(141,161):{'3_1':0.0},(141,160):{'3_1':0.0},(141,159):{'3_1':0.0},(141,151):{'3_1':0.0},(141,150):{'3_1':0.0},(141,149):{'3_1':0.0},(141,148):{'3_1':0.0},(142,459):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(142,458):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0},(142,457):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.03},(142,456):{'3_1':0.78,'5_1':0.03,'4_1':0.0},(142,455):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0},(142,454):{'3_1':0.81,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_2':0.0},(142,453):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(142,452):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0},(142,451):{'3_1':0.75,'5_1':0.09,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_11':0.0},(142,450):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(142,449):{'3_1':0.78,'5_1':0.06,'5_2':0.0},(142,448):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0},(142,447):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(142,446):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(142,445):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'4_1':0.0},(142,444):{'3_1':0.72,'5_1':0.12,'5_2':0.0},(142,443):{'3_1':0.75,'5_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(142,442):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0},(142,441):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'6_2':0.0,'8_2':0.0},(142,440):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_7':0.0,'-3':0.0},(142,439):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0},(142,438):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(142,437):{'3_1':0.69,'5_1':0.09,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(142,436):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'8_2':0.0},(142,435):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.03,'4_1':0.0,'8_2':0.0},(142,434):{'3_1':0.66,'5_1':0.15,'6_2':0.03,'5_2':0.0,'7_1':0.0},(142,433):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(142,432):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0},(142,431):{'3_1':0.66,'5_1':0.12,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0},(142,430):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0},(142,429):{'3_1':0.63,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_3':0.0,'4_1':0.0,'8_7':0.0},(142,428):{'3_1':0.66,'5_1':0.18,'5_2':0.0,'6_2':0.0},(142,427):{'3_1':0.6,'5_1':0.18,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(142,426):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.03,'8_2':0.0,'8_6':0.0,'-3':0.0},(142,425):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_6':0.0},(142,424):{'3_1':0.66,'5_1':0.12,'6_2':0.03,'8_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0,'-3':0.0},(142,423):{'3_1':0.6,'5_1':0.12,'6_2':0.0,'5_2':0.0,'8_2':0.0},(142,422):{'3_1':0.66,'5_1':0.15,'5_2':0.03,'6_2':0.03,'8_2':0.0},(142,421):{'3_1':0.6,'5_1':0.12,'5_2':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(142,420):{'3_1':0.57,'5_1':0.18,'5_2':0.06,'8_2':0.0,'6_2':0.0,'4_1':0.0,'8_6':0.0},(142,419):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0},(142,418):{'3_1':0.6,'5_1':0.15,'6_2':0.03,'7_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0},(142,417):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'8_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(142,416):{'3_1':0.6,'5_1':0.12,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0,'8_2':0.0},(142,415):{'3_1':0.51,'5_1':0.15,'6_2':0.06,'5_2':0.03,'7_1':0.0,'8_2':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(142,414):{'3_1':0.54,'5_1':0.18,'6_2':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0,'8_9':0.0},(142,413):{'3_1':0.54,'5_1':0.18,'6_2':0.03,'5_2':0.0,'7_5':0.0,'8_2':0.0,'7_3':0.0},(142,412):{'3_1':0.54,'5_1':0.12,'6_2':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(142,411):{'3_1':0.51,'5_1':0.18,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_9':0.0},(142,410):{'3_1':0.51,'5_1':0.18,'5_2':0.03,'8_2':0.03,'7_1':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0},(142,409):{'3_1':0.51,'5_1':0.18,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_9':0.0},(142,408):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'7_1':0.03,'6_2':0.0,'8_2':0.0,'6_1':0.0,'7_3':0.0,'8_9':0.0,'9_1':0.0},(142,407):{'3_1':0.57,'5_1':0.15,'5_2':0.06,'6_2':0.0,'4_1':0.0,'7_3':0.0},(142,406):{'3_1':0.6,'5_1':0.09,'6_2':0.0,'7_3':0.0,'-3':0.0,'5_2':0.0,'8_2':0.0,'8_9':0.0},(142,405):{'3_1':0.63,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(142,404):{'3_1':0.63,'5_1':0.12,'4_1':0.03,'6_2':0.03,'7_1':0.0},(142,403):{'3_1':0.66,'5_1':0.12,'6_2':0.03,'4_1':0.0,'5_2':0.0},(142,402):{'3_1':0.66,'5_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(142,401):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_3':0.0},(142,400):{'3_1':0.66,'5_1':0.09,'6_2':0.0,'5_2':0.0,'7_5':0.0},(142,399):{'3_1':0.6,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(142,398):{'3_1':0.57,'5_1':0.15,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0,'7_5':0.0},(142,397):{'3_1':0.63,'5_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(142,396):{'3_1':0.48,'5_1':0.12,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'8_16':0.0},(142,395):{'3_1':0.6,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_4':0.0},(142,394):{'3_1':0.42,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0},(142,393):{'3_1':0.45,'5_1':0.12,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(142,392):{'3_1':0.42,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0},(142,391):{'3_1':0.42,'5_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(142,390):{'3_1':0.36,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(142,389):{'3_1':0.39,'4_1':0.06,'5_1':0.06,'7_1':0.03,'6_2':0.03,'5_2':0.0,'7_4':0.0},(142,388):{'3_1':0.42,'5_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_9':0.0,'-3':0.0},(142,387):{'3_1':0.3,'6_2':0.03,'5_1':0.03,'4_1':0.0},(142,386):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_2':0.03,'7_3':0.0,'7_5':0.0,'8_7':0.0},(142,385):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(142,384):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'8_19':0.0,'-3':0.0},(142,383):{'3_1':0.27,'4_1':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0},(142,382):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(142,381):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_9':0.0},(142,380):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_1':0.0,'-3':0.0},(142,379):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(142,378):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(142,377):{'3_1':0.12,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(142,376):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(142,375):{'3_1':0.03,'4_1':0.03,'6_3':0.0,'5_2':0.0,'8_13':0.0,'-3':0.0},(142,374):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(142,373):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(142,372):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(142,371):{'3_1':0.12,'4_1':0.0},(142,370):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_6':0.0},(142,369):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(142,368):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(142,367):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(142,366):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(142,365):{'3_1':0.21,'4_1':0.0},(142,364):{'3_1':0.15,'4_1':0.0,'8_9':0.0},(142,363):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(142,362):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(142,361):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(142,360):{'3_1':0.06,'4_1':0.0},(142,359):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(142,358):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(142,357):{'3_1':0.09,'4_1':0.0,'7_6':0.0},(142,356):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(142,355):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(142,354):{'3_1':0.06,'6_2':0.0,'8_8':0.0},(142,353):{'3_1':0.03,'4_1':0.0},(142,352):{'3_1':0.06,'4_1':0.0},(142,351):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(142,350):{'3_1':0.03,'4_1':0.0},(142,349):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(142,348):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(142,347):{'3_1':0.03,'4_1':0.0},(142,346):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(142,345):{'3_1':0.03,'4_1':0.03},(142,344):{'3_1':0.06,'4_1':0.06},(142,343):{'3_1':0.03,'4_1':0.03},(142,342):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(142,341):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(142,340):{'3_1':0.06,'4_1':0.06},(142,339):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(142,338):{'3_1':0.12},(142,337):{'3_1':0.12,'4_1':0.06,'8_20|3_1#3_1':0.0},(142,336):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(142,335):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_3':0.0},(142,334):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(142,333):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(142,332):{'3_1':0.06,'4_1':0.03},(142,331):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(142,330):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(142,329):{'3_1':0.09,'4_1':0.0},(142,328):{'3_1':0.03,'4_1':0.03},(142,327):{'3_1':0.06,'4_1':0.06,'6_1':0.0},(142,326):{'3_1':0.03,'4_1':0.0},(142,325):{'3_1':0.06,'4_1':0.03},(142,324):{'3_1':0.06,'4_1':0.03},(142,323):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(142,322):{'3_1':0.03,'4_1':0.03},(142,321):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(142,320):{'3_1':0.03,'4_1':0.03},(142,319):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(142,318):{'4_1':0.06,'3_1':0.03},(142,317):{'3_1':0.06,'4_1':0.0},(142,316):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(142,315):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(142,314):{'3_1':0.06,'4_1':0.03},(142,313):{'4_1':0.03,'3_1':0.0},(142,312):{'3_1':0.06,'6_2':0.0,'6_3':0.0},(142,311):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(142,310):{'3_1':0.03,'4_1':0.0},(142,309):{'3_1':0.06,'4_1':0.0},(142,308):{'4_1':0.03,'3_1':0.03},(142,307):{'3_1':0.06,'4_1':0.0},(142,306):{'3_1':0.06,'4_1':0.0},(142,305):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(142,304):{'3_1':0.03,'4_1':0.0},(142,303):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(142,302):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(142,301):{'3_1':0.03,'8_21|3_1#4_1':0.0},(142,300):{'3_1':0.06},(142,299):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(142,298):{'3_1':0.03},(142,297):{'3_1':0.0,'4_1':0.0},(142,296):{'3_1':0.06,'4_1':0.03},(142,295):{'3_1':0.06,'4_1':0.0},(142,294):{'3_1':0.03,'4_1':0.0},(142,293):{'3_1':0.03,'4_1':0.0},(142,292):{'3_1':0.03,'4_1':0.0},(142,291):{'3_1':0.06,'4_1':0.0},(142,290):{'3_1':0.06,'4_1':0.0},(142,289):{'3_1':0.06,'4_1':0.03},(142,288):{'3_1':0.0,'4_1':0.0},(142,287):{'3_1':0.06,'4_1':0.0},(142,286):{'4_1':0.03,'6_2':0.0,'3_1':0.0},(142,285):{'3_1':0.06,'5_2':0.0},(142,284):{'3_1':0.0,'4_1':0.0},(142,283):{'3_1':0.0,'4_1':0.0},(142,282):{'3_1':0.03},(142,281):{'3_1':0.03,'4_1':0.0},(142,280):{'3_1':0.0,'4_1':0.0},(142,279):{'3_1':0.06},(142,278):{'3_1':0.03},(142,277):{'3_1':0.03,'4_1':0.0},(142,276):{'3_1':0.0},(142,275):{'3_1':0.06,'4_1':0.0},(142,274):{'3_1':0.03,'5_2':0.0},(142,273):{'3_1':0.03},(142,272):{'3_1':0.03},(142,271):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(142,270):{'3_1':0.03,'4_1':0.0},(142,269):{'3_1':0.03,'4_1':0.0},(142,268):{'3_1':0.12,'5_2':0.0},(142,267):{'3_1':0.09,'4_1':0.0},(142,266):{'3_1':0.03,'4_1':0.0},(142,265):{'3_1':0.06,'4_1':0.03},(142,264):{'3_1':0.03},(142,263):{'3_1':0.03,'4_1':0.0},(142,262):{'3_1':0.0,'4_1':0.0},(142,261):{'3_1':0.06,'4_1':0.0},(142,260):{'3_1':0.03,'4_1':0.03},(142,259):{'3_1':0.06,'4_1':0.0},(142,258):{'3_1':0.0,'4_1':0.0},(142,257):{'3_1':0.03},(142,256):{'3_1':0.06,'5_2':0.0},(142,255):{'3_1':0.03,'4_1':0.0},(142,254):{'3_1':0.0},(142,253):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(142,252):{'3_1':0.0},(142,251):{'3_1':0.0,'4_1':0.0},(142,250):{'3_1':0.0,'4_1':0.0},(142,249):{'3_1':0.0,'4_1':0.0},(142,248):{'3_1':0.06},(142,247):{'3_1':0.0,'4_1':0.0},(142,246):{'3_1':0.06},(142,245):{'3_1':0.03},(142,244):{'3_1':0.03},(142,243):{'3_1':0.03},(142,242):{'3_1':0.09},(142,241):{'3_1':0.03},(142,240):{'3_1':0.03},(142,239):{'3_1':0.03},(142,238):{'3_1':0.03},(142,237):{'3_1':0.03,'4_1':0.0},(142,236):{'3_1':0.03},(142,235):{'3_1':0.03},(142,234):{'3_1':0.0},(142,233):{'3_1':0.0},(142,232):{'3_1':0.0},(142,231):{'3_1':0.0},(142,230):{'3_1':0.06,'4_1':0.0},(142,228):{'3_1':0.0},(142,227):{'3_1':0.0},(142,226):{'3_1':0.0},(142,225):{'3_1':0.0,'4_1':0.0},(142,224):{'3_1':0.0},(142,223):{'3_1':0.0},(142,220):{'3_1':0.0},(142,219):{'3_1':0.0},(142,217):{'3_1':0.0},(142,216):{'3_1':0.0},(142,215):{'3_1':0.0},(142,214):{'3_1':0.0},(142,213):{'3_1':0.0},(142,211):{'3_1':0.0},(142,210):{'3_1':0.0},(142,208):{'3_1':0.0},(142,205):{'3_1':0.0},(142,200):{'3_1':0.0},(142,190):{'3_1':0.0},(142,187):{'3_1':0.0},(142,177):{'3_1':0.0},(142,175):{'3_1':0.0},(142,162):{'3_1':0.0},(142,158):{'3_1':0.0},(142,157):{'3_1':0.0},(142,156):{'3_1':0.0},(142,155):{'3_1':0.0},(142,153):{'3_1':0.0},(142,150):{'3_1':0.0},(142,147):{'3_1':0.0},(143,459):{'3_1':0.78,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0},(143,458):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(143,457):{'3_1':0.75,'5_1':0.12,'7_1':0.0,'5_2':0.0,'6_2':0.0},(143,456):{'3_1':0.81,'5_1':0.06,'5_2':0.0},(143,455):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0},(143,454):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'7_1':0.0},(143,453):{'3_1':0.81,'5_1':0.03,'7_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(143,452):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(143,451):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0},(143,450):{'3_1':0.72,'5_1':0.06,'5_2':0.06,'6_2':0.0,'7_1':0.0},(143,449):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0},(143,448):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(143,447):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(143,446):{'3_1':0.69,'5_1':0.12,'6_2':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0},(143,445):{'3_1':0.72,'5_1':0.12,'6_2':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(143,444):{'3_1':0.72,'5_1':0.09,'6_2':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0},(143,443):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(143,442):{'3_1':0.78,'5_1':0.12,'7_1':0.0,'6_2':0.0,'7_3':0.0},(143,441):{'3_1':0.69,'5_1':0.09,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_14':0.0},(143,440):{'3_1':0.69,'5_1':0.12,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(143,439):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0},(143,438):{'3_1':0.69,'5_1':0.09,'7_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0},(143,437):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_2':0.0},(143,436):{'3_1':0.66,'5_1':0.15,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0},(143,435):{'3_1':0.66,'5_1':0.15,'5_2':0.0,'6_2':0.0,'8_17':0.0},(143,434):{'3_1':0.63,'5_1':0.06,'6_2':0.06,'5_2':0.0,'8_2':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(143,433):{'3_1':0.72,'5_1':0.06,'6_2':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(143,432):{'3_1':0.69,'5_1':0.15,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0,'8_14':0.0},(143,431):{'3_1':0.66,'5_1':0.18,'6_2':0.03,'-3':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(143,430):{'3_1':0.66,'5_1':0.15,'6_2':0.03,'8_2':0.0,'5_2':0.0},(143,429):{'3_1':0.66,'5_1':0.09,'6_2':0.06,'5_2':0.0,'7_3':0.0,'7_5':0.0},(143,428):{'3_1':0.69,'5_1':0.12,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(143,427):{'3_1':0.63,'5_1':0.15,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(143,426):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_9':0.0,'-3':0.0},(143,425):{'3_1':0.69,'5_1':0.15,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(143,424):{'3_1':0.57,'5_1':0.15,'6_2':0.0,'7_1':0.0,'5_2':0.0,'8_2':0.0,'7_5':0.0,'8_14':0.0,'-3':0.0},(143,423):{'3_1':0.66,'5_1':0.09,'6_2':0.0,'-3':0.0,'5_2':0.0,'8_2':0.0},(143,422):{'3_1':0.57,'5_1':0.18,'6_2':0.06,'7_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(143,421):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(143,420):{'3_1':0.69,'5_1':0.06,'6_2':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(143,419):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'9_1':0.0,'-3':0.0},(143,418):{'3_1':0.66,'5_1':0.12,'8_2':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'8_14':0.0,'9_1':0.0},(143,417):{'3_1':0.51,'5_1':0.18,'5_2':0.06,'6_2':0.03,'7_5':0.0,'7_3':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(143,416):{'3_1':0.63,'5_1':0.12,'7_1':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(143,415):{'3_1':0.54,'5_1':0.18,'5_2':0.06,'6_2':0.03,'7_1':0.0,'8_2':0.0,'8_9':0.0},(143,414):{'3_1':0.57,'5_1':0.18,'7_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'-3':0.0},(143,413):{'3_1':0.72,'5_1':0.09,'8_2':0.0,'7_5':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0},(143,412):{'3_1':0.48,'5_1':0.21,'5_2':0.03,'6_2':0.03,'8_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(143,411):{'3_1':0.54,'5_1':0.24,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(143,410):{'3_1':0.54,'5_1':0.15,'5_2':0.03,'6_2':0.03,'7_1':0.03,'8_2':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(143,409):{'3_1':0.51,'5_1':0.18,'7_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0},(143,408):{'3_1':0.57,'5_1':0.18,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'7_3':0.0,'8_9':0.0,'9_1':0.0,'-3':0.0},(143,407):{'3_1':0.54,'5_1':0.12,'6_2':0.09,'5_2':0.03,'8_2':0.0,'7_1':0.0,'7_3':0.0},(143,406):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(143,405):{'3_1':0.57,'5_1':0.18,'6_2':0.03,'5_2':0.0,'6_1':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(143,404):{'3_1':0.57,'5_1':0.09,'6_2':0.03,'6_1':0.0,'7_1':0.0},(143,403):{'3_1':0.66,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(143,402):{'3_1':0.66,'5_1':0.09,'6_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(143,401):{'3_1':0.63,'5_1':0.12,'6_2':0.03,'4_1':0.0,'5_2':0.0},(143,400):{'3_1':0.6,'5_1':0.09,'6_2':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_7':0.0,'8_8':0.0,'-3':0.0},(143,399):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_16':0.0,'-3':0.0},(143,398):{'3_1':0.63,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_7':0.0},(143,397):{'3_1':0.6,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(143,396):{'3_1':0.63,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(143,395):{'3_1':0.51,'5_1':0.09,'6_2':0.03,'4_1':0.03,'5_2':0.03,'8_21|3_1#4_1':0.0,'-3':0.0},(143,394):{'3_1':0.45,'5_1':0.18,'5_2':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0},(143,393):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(143,392):{'3_1':0.42,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0,'1':-0.03},(143,391):{'3_1':0.51,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_16':0.0},(143,390):{'3_1':0.45,'5_1':0.12,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(143,389):{'3_1':0.33,'5_1':0.06,'6_2':0.06,'4_1':0.03,'7_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(143,388):{'3_1':0.27,'5_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_9':0.0},(143,387):{'3_1':0.42,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(143,386):{'3_1':0.3,'5_1':0.06,'6_2':0.03,'4_1':0.03,'-3':0.0,'5_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(143,385):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(143,384):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'6_2':0.03,'7_3':0.0,'6_3':0.0,'7_4':0.0,'-3':0.0},(143,383):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(143,382):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(143,381):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(143,380):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_13':0.0},(143,379):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_16':0.0},(143,378):{'3_1':0.12,'4_1':0.03,'-3':0.03,'5_2':0.0,'5_1':0.0},(143,377):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0,'5_1':0.0},(143,376):{'3_1':0.12,'4_1':0.06,'-3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(143,375):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0},(143,374):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(143,373):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(143,372):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(143,371):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(143,370):{'3_1':0.15,'4_1':0.0,'8_21|3_1#4_1':0.0},(143,369):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(143,368):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(143,367):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(143,366):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(143,365):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(143,364):{'3_1':0.09,'4_1':0.0},(143,363):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(143,362):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(143,361):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(143,360):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(143,359):{'3_1':0.06,'4_1':0.0},(143,358):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'7_6':0.0},(143,357):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(143,356):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(143,355):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(143,354):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(143,353):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(143,352):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(143,351):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(143,350):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_4':0.0},(143,349):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(143,348):{'3_1':0.03,'4_1':0.0},(143,347):{'3_1':0.03},(143,346):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(143,345):{'4_1':0.06,'3_1':0.03},(143,344):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(143,343):{'3_1':0.09,'4_1':0.03},(143,342):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(143,341):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(143,340):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(143,339):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(143,338):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(143,337):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(143,336):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(143,335):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_2':0.0},(143,334):{'3_1':0.09,'4_1':0.03},(143,333):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(143,332):{'3_1':0.09,'4_1':0.06},(143,331):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(143,330):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(143,329):{'3_1':0.06,'4_1':0.03},(143,328):{'4_1':0.06,'3_1':0.03},(143,327):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_3':0.0},(143,326):{'3_1':0.03,'4_1':0.03},(143,325):{'4_1':0.03,'3_1':0.03},(143,324):{'3_1':0.03,'4_1':0.0},(143,323):{'3_1':0.03,'4_1':0.0},(143,322):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(143,321):{'3_1':0.03,'4_1':0.0},(143,320):{'4_1':0.03,'3_1':0.0,'8_20|3_1#3_1':0.0},(143,319):{'3_1':0.03,'4_1':0.0},(143,318):{'3_1':0.03,'4_1':0.0},(143,317):{'3_1':0.03,'4_1':0.0},(143,316):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(143,315):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(143,314):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(143,313):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(143,312):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(143,311):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(143,310):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(143,309):{'4_1':0.03,'3_1':0.0},(143,308):{'3_1':0.0,'4_1':0.0},(143,307):{'3_1':0.03,'4_1':0.0},(143,306):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(143,305):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(143,304):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(143,303):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(143,302):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(143,301):{'3_1':0.03,'4_1':0.0},(143,300):{'3_1':0.03,'4_1':0.0},(143,299):{'3_1':0.06,'4_1':0.0},(143,298):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(143,297):{'3_1':0.03,'4_1':0.0},(143,296):{'3_1':0.06,'4_1':0.0},(143,295):{'4_1':0.03,'3_1':0.0},(143,294):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(143,293):{'3_1':0.03,'4_1':0.0},(143,292):{'3_1':0.0,'6_3':0.0},(143,291):{'4_1':0.0,'3_1':0.0},(143,290):{'3_1':0.03,'4_1':0.0},(143,289):{'3_1':0.03,'4_1':0.0},(143,288):{'3_1':0.03,'4_1':0.0},(143,287):{'3_1':0.03,'4_1':0.0},(143,286):{'3_1':0.03},(143,285):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(143,284):{'3_1':0.03},(143,283):{'3_1':0.03},(143,282):{'3_1':0.0,'4_1':0.0},(143,281):{'3_1':0.06,'4_1':0.0},(143,280):{'3_1':0.0,'4_1':0.0},(143,279):{'3_1':0.03,'4_1':0.0},(143,278):{'3_1':0.03},(143,277):{'3_1':0.06,'4_1':0.0},(143,276):{'3_1':0.03,'4_1':0.0},(143,275):{'3_1':0.03,'4_1':0.0},(143,274):{'3_1':0.03},(143,273):{'3_1':0.03,'4_1':0.03},(143,272):{'3_1':0.03,'4_1':0.0},(143,271):{'3_1':0.06,'4_1':0.0},(143,270):{'3_1':0.06,'4_1':0.0},(143,269):{'3_1':0.06,'4_1':0.0},(143,268):{'3_1':0.03,'4_1':0.0},(143,267):{'3_1':0.06,'4_1':0.0},(143,266):{'3_1':0.06,'4_1':0.0},(143,265):{'3_1':0.06,'4_1':0.0},(143,264):{'3_1':0.03},(143,263):{'3_1':0.06,'4_1':0.0},(143,262):{'3_1':0.03,'4_1':0.0},(143,261):{'3_1':0.03,'4_1':0.0},(143,260):{'3_1':0.03,'4_1':0.0},(143,259):{'3_1':0.0,'4_1':0.0},(143,258):{'3_1':0.03,'4_1':0.0},(143,257):{'3_1':0.03,'4_1':0.0},(143,256):{'3_1':0.06,'4_1':0.0},(143,255):{'3_1':0.06,'4_1':0.0},(143,254):{'3_1':0.03,'4_1':0.03},(143,253):{'3_1':0.0,'4_1':0.0},(143,252):{'3_1':0.03,'4_1':0.0},(143,251):{'3_1':0.03},(143,250):{'3_1':0.0,'4_1':0.0},(143,249):{'3_1':0.0,'4_1':0.0},(143,248):{'3_1':0.03},(143,247):{'3_1':0.03,'4_1':0.0},(143,246):{'3_1':0.0,'4_1':0.0},(143,245):{'3_1':0.0},(143,244):{'3_1':0.06},(143,243):{'3_1':0.03},(143,242):{'3_1':0.0},(143,241):{'3_1':0.0},(143,240):{'3_1':0.03},(143,239):{'3_1':0.03},(143,238):{'3_1':0.03},(143,237):{'3_1':0.0},(143,236):{'3_1':0.03},(143,235):{'3_1':0.03},(143,234):{'3_1':0.06,'4_1':0.0},(143,233):{'3_1':0.0},(143,232):{'3_1':0.0},(143,231):{'3_1':0.0},(143,230):{'3_1':0.0},(143,227):{'3_1':0.03},(143,226):{'3_1':0.0},(143,225):{'3_1':0.0},(143,224):{'3_1':0.03},(143,223):{'3_1':0.03},(143,222):{'3_1':0.0},(143,220):{'3_1':0.0},(143,217):{'3_1':0.0},(143,216):{'3_1':0.0},(143,214):{'3_1':0.0},(143,213):{'3_1':0.03},(143,212):{'3_1':0.0},(143,211):{'3_1':0.0},(143,210):{'3_1':0.0},(143,209):{'3_1':0.0},(143,208):{'3_1':0.0},(143,207):{'3_1':0.0},(143,205):{'3_1':0.0},(143,203):{'3_1':0.0},(143,201):{'3_1':0.0},(143,200):{'3_1':0.0},(143,193):{'3_1':0.0},(143,192):{'3_1':0.0},(143,185):{'3_1':0.0},(143,172):{'3_1':0.0},(144,459):{'3_1':0.84,'5_1':0.06,'6_2':0.0,'7_1':0.0,'7_5':0.0},(144,458):{'3_1':0.87,'5_1':0.06,'6_2':0.0,'7_1':0.0,'8_2':0.0},(144,457):{'3_1':0.78,'5_1':0.09,'6_2':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0},(144,456):{'3_1':0.81,'5_1':0.09,'6_2':0.0,'7_1':0.0,'7_5':0.0},(144,455):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'7_5':0.0,'7_1':0.0},(144,454):{'3_1':0.84,'5_1':0.06,'7_1':0.0,'7_5':0.0,'6_2':0.0},(144,453):{'3_1':0.72,'5_1':0.12,'6_2':0.0,'7_1':0.0,'5_2':0.0,'7_5':0.0},(144,452):{'3_1':0.78,'5_1':0.09,'6_2':0.0,'7_5':0.0,'7_1':0.0},(144,451):{'3_1':0.84,'5_1':0.06,'6_2':0.03,'5_2':0.0,'7_1':0.0},(144,450):{'3_1':0.78,'5_1':0.03,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(144,449):{'3_1':0.75,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(144,448):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'7_1':0.0,'5_2':0.0,'-3':0.0},(144,447):{'3_1':0.81,'5_1':0.09,'6_2':0.0,'5_2':0.0,'8_2':0.0},(144,446):{'3_1':0.63,'5_1':0.12,'7_5':0.03,'6_2':0.03,'7_1':0.03,'5_2':0.0},(144,445):{'3_1':0.69,'5_1':0.12,'6_2':0.03,'7_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'9_1':0.0},(144,444):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(144,443):{'3_1':0.72,'5_1':0.09,'6_2':0.03,'7_1':0.0,'7_5':0.0},(144,442):{'3_1':0.78,'5_1':0.06,'7_5':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0},(144,441):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(144,440):{'3_1':0.78,'5_1':0.12,'7_1':0.0,'6_2':0.0,'8_2':0.0},(144,439):{'3_1':0.78,'5_1':0.09,'6_2':0.03,'7_1':0.0,'5_2':0.0},(144,438):{'3_1':0.69,'5_1':0.12,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(144,437):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'7_1':0.03,'7_3':0.0,'5_2':0.0},(144,436):{'3_1':0.72,'5_1':0.12,'6_2':0.0,'7_1':0.0,'7_5':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(144,435):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'7_5':0.0,'5_2':0.0,'7_1':0.0},(144,434):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'7_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(144,433):{'3_1':0.75,'6_2':0.06,'5_1':0.03,'7_1':0.03,'5_2':0.0},(144,432):{'3_1':0.78,'5_1':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'6_2':0.0,'-3':0.0},(144,431):{'3_1':0.72,'5_1':0.09,'6_2':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'5_2':0.0},(144,430):{'3_1':0.75,'5_1':0.06,'7_5':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(144,429):{'3_1':0.72,'5_1':0.06,'6_2':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0},(144,428):{'3_1':0.66,'5_1':0.09,'6_2':0.03,'7_1':0.03,'7_5':0.0,'5_2':0.0,'-3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(144,427):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'7_5':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(144,426):{'3_1':0.75,'5_1':0.06,'7_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0,'8_9':0.0},(144,425):{'3_1':0.72,'5_1':0.12,'7_5':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(144,424):{'3_1':0.72,'5_1':0.09,'6_2':0.03,'5_2':0.0,'7_5':0.0,'7_1':0.0,'-3':0.0},(144,423):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0},(144,422):{'3_1':0.63,'5_1':0.15,'7_5':0.03,'7_1':0.03,'6_2':0.0,'5_2':0.0},(144,421):{'3_1':0.69,'5_1':0.09,'7_1':0.03,'8_2':0.03,'6_2':0.0,'5_2':0.0,'7_5':0.0},(144,420):{'3_1':0.66,'5_1':0.06,'6_2':0.03,'7_1':0.03,'7_5':0.03,'8_2':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0,'8_9':0.0},(144,419):{'3_1':0.63,'5_1':0.12,'6_2':0.03,'7_1':0.03,'8_2':0.0,'5_2':0.0,'-3':0.0,'7_5':0.0,'8_14':0.0},(144,418):{'3_1':0.54,'5_1':0.18,'6_2':0.03,'7_1':0.03,'7_5':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(144,417):{'3_1':0.57,'5_1':0.15,'-3':0.03,'5_2':0.03,'7_1':0.03,'6_2':0.0,'8_2':0.0,'8_6':0.0,'9_1':0.0},(144,416):{'3_1':0.57,'5_1':0.12,'8_2':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(144,415):{'3_1':0.57,'5_1':0.12,'6_2':0.06,'5_2':0.03,'7_1':0.03,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0,'1':-0.03},(144,414):{'3_1':0.57,'5_1':0.18,'8_2':0.03,'7_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(144,413):{'3_1':0.6,'5_1':0.15,'6_2':0.06,'5_2':0.03,'7_5':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0},(144,412):{'3_1':0.57,'5_1':0.15,'6_2':0.06,'5_2':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'4_1':0.0,'8_11':0.0,'9_1':0.0},(144,411):{'3_1':0.54,'5_1':0.15,'5_2':0.03,'6_2':0.03,'-3':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'8_2':0.0},(144,410):{'3_1':0.6,'5_1':0.18,'8_2':0.03,'5_2':0.03,'7_5':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0,'9_1':0.0},(144,409):{'3_1':0.51,'5_1':0.18,'6_2':0.06,'7_1':0.03,'5_2':0.03,'8_2':0.0,'7_5':0.0,'-3':0.0},(144,408):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_3':0.0,'7_1':0.0,'-3':0.0,'7_5':0.0,'8_6':0.0},(144,407):{'3_1':0.57,'5_1':0.15,'6_2':0.03,'5_2':0.03,'7_1':0.03,'7_5':0.03,'7_3':0.0},(144,406):{'3_1':0.6,'5_1':0.12,'7_1':0.06,'6_2':0.0,'5_2':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(144,405):{'3_1':0.57,'5_1':0.15,'6_2':0.06,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'8_1':0.0},(144,404):{'3_1':0.69,'5_1':0.09,'7_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(144,403):{'3_1':0.66,'5_1':0.12,'6_2':0.03,'7_1':0.0,'7_5':0.0,'6_1':0.0,'5_2':0.0},(144,402):{'3_1':0.66,'5_1':0.06,'7_1':0.0,'6_2':0.0,'4_1':0.0,'7_5':0.0,'8_4':0.0,'8_14':0.0},(144,401):{'3_1':0.66,'5_1':0.06,'6_2':0.03,'7_5':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0},(144,400):{'3_1':0.72,'5_1':0.09,'4_1':0.0,'7_5':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(144,399):{'3_1':0.6,'5_1':0.06,'6_2':0.06,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(144,398):{'3_1':0.66,'5_1':0.06,'6_2':0.0,'4_1':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(144,397):{'3_1':0.6,'5_1':0.12,'6_2':0.03,'6_1':0.0,'7_1':0.0,'7_6':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(144,396):{'3_1':0.69,'5_1':0.06,'7_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(144,395):{'3_1':0.63,'5_1':0.06,'6_2':0.03,'5_2':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(144,394):{'3_1':0.57,'5_1':0.12,'6_2':0.03,'5_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(144,393):{'3_1':0.48,'5_1':0.09,'6_2':0.03,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_6':0.0,'8_7':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(144,392):{'3_1':0.51,'5_1':0.03,'6_2':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_6':0.0,'9_1':0.0},(144,391):{'3_1':0.54,'5_1':0.09,'4_1':0.03,'7_5':0.03,'5_2':0.0,'6_2':0.0},(144,390):{'3_1':0.51,'5_1':0.12,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(144,389):{'3_1':0.39,'5_1':0.09,'6_2':0.06,'4_1':0.03,'5_2':0.0,'7_1':0.0,'6_1':0.0,'-3':0.0},(144,388):{'3_1':0.33,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_19':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(144,387):{'3_1':0.39,'5_1':0.09,'4_1':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(144,386):{'3_1':0.3,'5_1':0.06,'6_2':0.03,'4_1':0.03,'5_2':0.03,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(144,385):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'6_2':0.0,'-3':0.0},(144,384):{'3_1':0.18,'4_1':0.06,'6_2':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0},(144,383):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(144,382):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(144,381):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(144,380):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0},(144,379):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0},(144,378):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_16':0.0},(144,377):{'3_1':0.09,'5_2':0.03,'-3':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0},(144,376):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(144,375):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.0},(144,374):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(144,373):{'3_1':0.09,'4_1':0.0},(144,372):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(144,371):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(144,370):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(144,369):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(144,368):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(144,367):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(144,366):{'3_1':0.24,'4_1':0.0,'6_2':0.0,'7_7':0.0},(144,365):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_17':0.0},(144,364):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(144,363):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(144,362):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(144,361):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(144,360):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(144,359):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(144,358):{'3_1':0.12,'6_2':0.0,'4_1':0.0},(144,357):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(144,356):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(144,355):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(144,354):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(144,353):{'3_1':0.06,'4_1':0.03},(144,352):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(144,351):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(144,350):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(144,349):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(144,348):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(144,347):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(144,346):{'3_1':0.03,'4_1':0.0},(144,345):{'3_1':0.06,'4_1':0.03},(144,344):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(144,343):{'4_1':0.09,'3_1':0.03},(144,342):{'3_1':0.03,'4_1':0.03},(144,341):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(144,340):{'3_1':0.09,'4_1':0.03},(144,339):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(144,338):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(144,337):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(144,336):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(144,335):{'4_1':0.06,'3_1':0.03,'6_2':0.0},(144,334):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(144,333):{'4_1':0.06,'3_1':0.06},(144,332):{'3_1':0.06,'4_1':0.06},(144,331):{'3_1':0.06,'4_1':0.0},(144,330):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(144,329):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(144,328):{'3_1':0.03,'4_1':0.0},(144,327):{'4_1':0.03,'3_1':0.0},(144,326):{'3_1':0.06,'4_1':0.03},(144,325):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(144,324):{'4_1':0.03,'3_1':0.03},(144,323):{'3_1':0.03,'4_1':0.03},(144,322):{'3_1':0.06,'4_1':0.0},(144,321):{'3_1':0.03,'8_20|3_1#3_1':0.0},(144,320):{'4_1':0.03,'3_1':0.03},(144,319):{'3_1':0.0,'4_1':0.0},(144,318):{'4_1':0.03,'3_1':0.0},(144,317):{'4_1':0.03,'3_1':0.03},(144,316):{'3_1':0.03,'4_1':0.03},(144,315):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(144,314):{'3_1':0.03,'4_1':0.0},(144,313):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(144,312):{'3_1':0.06,'4_1':0.0},(144,311):{'3_1':0.03,'4_1':0.03},(144,310):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(144,309):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(144,308):{'3_1':0.03,'4_1':0.0},(144,307):{'3_1':0.03,'4_1':0.0},(144,306):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(144,305):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(144,304):{'3_1':0.03,'5_1':0.0},(144,303):{'3_1':0.0,'4_1':0.0},(144,302):{'3_1':0.12,'4_1':0.0},(144,301):{'3_1':0.06,'4_1':0.0},(144,300):{'3_1':0.06,'4_1':0.0},(144,299):{'3_1':0.03,'4_1':0.0},(144,298):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(144,297):{'3_1':0.03,'4_1':0.0},(144,296):{'3_1':0.03,'4_1':0.0},(144,295):{'3_1':0.0,'4_1':0.0},(144,294):{'3_1':0.03},(144,293):{'3_1':0.03,'4_1':0.0},(144,292):{'3_1':0.09,'4_1':0.0},(144,291):{'3_1':0.06,'4_1':0.0},(144,290):{'4_1':0.0,'3_1':0.0},(144,289):{'3_1':0.12,'4_1':0.0},(144,288):{'3_1':0.06,'4_1':0.0},(144,287):{'3_1':0.06,'4_1':0.0},(144,286):{'3_1':0.03,'6_3':0.0,'4_1':0.0},(144,285):{'3_1':0.06,'4_1':0.0},(144,284):{'3_1':0.0,'4_1':0.0},(144,283):{'3_1':0.03,'4_1':0.0},(144,282):{'3_1':0.0,'4_1':0.0},(144,281):{'3_1':0.03,'4_1':0.0},(144,280):{'3_1':0.0,'4_1':0.0},(144,279):{'3_1':0.03,'4_1':0.0},(144,278):{'3_1':0.09},(144,277):{'3_1':0.09,'4_1':0.0},(144,276):{'3_1':0.0},(144,275):{'3_1':0.03,'4_1':0.0},(144,274):{'3_1':0.06,'4_1':0.0},(144,273):{'3_1':0.0},(144,272):{'3_1':0.09,'4_1':0.0},(144,271):{'4_1':0.06,'3_1':0.06,'5_2':0.0},(144,270):{'3_1':0.03},(144,269):{'3_1':0.03,'4_1':0.0},(144,268):{'3_1':0.03,'4_1':0.0},(144,267):{'3_1':0.03,'4_1':0.0},(144,266):{'3_1':0.03,'4_1':0.0},(144,265):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(144,264):{'3_1':0.09,'4_1':0.03},(144,263):{'3_1':0.0,'4_1':0.0},(144,262):{'3_1':0.03,'4_1':0.0},(144,261):{'4_1':0.03,'3_1':0.0},(144,260):{'3_1':0.03,'4_1':0.0},(144,259):{'3_1':0.03,'4_1':0.0},(144,258):{'3_1':0.06,'4_1':0.0},(144,257):{'3_1':0.03,'4_1':0.03},(144,256):{'3_1':0.03,'4_1':0.0},(144,255):{'3_1':0.0,'4_1':0.0},(144,254):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(144,253):{'3_1':0.0},(144,252):{'3_1':0.0,'4_1':0.0},(144,251):{'3_1':0.0,'4_1':0.0},(144,250):{'3_1':0.0},(144,249):{'3_1':0.03,'4_1':0.0},(144,248):{'3_1':0.03,'4_1':0.0},(144,247):{'3_1':0.03,'4_1':0.0},(144,246):{'3_1':0.03,'4_1':0.0},(144,245):{'3_1':0.0,'4_1':0.0},(144,244):{'3_1':0.0},(144,243):{'3_1':0.03},(144,242):{'3_1':0.03},(144,241):{'3_1':0.06},(144,240):{'3_1':0.06},(144,239):{'3_1':0.06},(144,238):{'3_1':0.06},(144,237):{'3_1':0.0},(144,236):{'3_1':0.0},(144,235):{'3_1':0.0},(144,234):{'3_1':0.03},(144,233):{'3_1':0.0},(144,232):{'3_1':0.03,'4_1':0.0},(144,231):{'3_1':0.0},(144,230):{'3_1':0.03},(144,229):{'3_1':0.0,'4_1':0.0},(144,228):{'3_1':0.0},(144,227):{'3_1':0.0},(144,225):{'3_1':0.0},(144,224):{'3_1':0.0},(144,221):{'3_1':0.0},(144,219):{'3_1':0.0},(144,218):{'3_1':0.0},(144,217):{'3_1':0.0},(144,215):{'3_1':0.0},(144,213):{'3_1':0.0},(144,212):{'3_1':0.0},(144,211):{'3_1':0.0},(144,210):{'3_1':0.0},(144,209):{'3_1':0.0},(144,208):{'3_1':0.0},(144,206):{'3_1':0.0},(144,205):{'3_1':0.0},(144,204):{'3_1':0.0},(144,203):{'3_1':0.0},(144,202):{'3_1':0.0},(144,200):{'3_1':0.0},(144,192):{'3_1':0.0},(144,191):{'3_1':0.0},(144,188):{'3_1':0.0},(144,187):{'3_1':0.0},(144,185):{'3_1':0.0},(144,184):{'3_1':0.0},(144,181):{'3_1':0.0},(144,156):{'3_1':0.0},(145,459):{'3_1':0.75,'5_1':0.15,'6_2':0.03},(145,458):{'3_1':0.87,'5_1':0.06,'6_2':0.0,'7_1':0.0},(145,457):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'-3':0.0},(145,456):{'3_1':0.78,'5_1':0.06,'7_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(145,455):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0},(145,454):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(145,453):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0},(145,452):{'3_1':0.75,'5_1':0.12,'6_2':0.0,'7_1':0.0},(145,451):{'3_1':0.84,'5_1':0.06,'7_1':0.0,'6_2':0.0,'-3':0.0},(145,450):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0},(145,449):{'3_1':0.81,'5_1':0.06,'6_2':0.03,'7_1':0.0,'7_5':0.0},(145,448):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'7_1':0.0},(145,447):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'7_1':0.0,'7_5':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(145,446):{'3_1':0.81,'5_1':0.09,'7_5':0.0,'6_2':0.0},(145,445):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(145,444):{'3_1':0.81,'5_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0},(145,443):{'3_1':0.84,'5_1':0.03,'7_5':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(145,442):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'7_1':0.0},(145,441):{'3_1':0.72,'5_1':0.06,'7_1':0.0,'7_5':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0},(145,440):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'7_1':0.0,'4_1':0.0,'-3':0.0},(145,439):{'3_1':0.75,'5_1':0.09,'7_1':0.03,'6_2':0.0,'5_2':0.0,'7_5':0.0},(145,438):{'3_1':0.75,'5_1':0.09,'7_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(145,437):{'3_1':0.72,'5_1':0.09,'7_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0},(145,436):{'3_1':0.75,'5_1':0.12,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(145,435):{'3_1':0.84,'5_1':0.06,'7_1':0.0,'7_3':0.0,'8_2':0.0},(145,434):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'7_1':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0},(145,433):{'3_1':0.72,'5_1':0.06,'7_1':0.0,'7_5':0.0,'6_2':0.0,'8_2':0.0,'8_11':0.0},(145,432):{'3_1':0.75,'5_1':0.09,'6_2':0.0,'7_1':0.0,'7_5':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(145,431):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'5_2':0.0},(145,430):{'3_1':0.72,'5_1':0.09,'6_2':0.03,'7_1':0.03,'7_5':0.0,'8_2':0.0},(145,429):{'3_1':0.75,'5_1':0.09,'8_2':0.0,'6_2':0.0,'4_1':0.0},(145,428):{'3_1':0.75,'5_1':0.09,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(145,427):{'3_1':0.72,'5_1':0.09,'7_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0},(145,426):{'3_1':0.75,'5_1':0.12,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_9':0.0,'-3':0.0},(145,425):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'4_1':0.0,'8_2':0.0,'8_14':0.0},(145,424):{'3_1':0.75,'5_1':0.09,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(145,423):{'3_1':0.75,'5_1':0.12,'7_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(145,422):{'3_1':0.69,'5_1':0.12,'7_1':0.03,'6_2':0.03,'8_2':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'-3':0.0},(145,421):{'3_1':0.72,'5_1':0.12,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_5':0.0,'-3':0.0},(145,420):{'3_1':0.63,'5_1':0.15,'8_2':0.03,'6_2':0.0,'7_1':0.0,'5_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(145,419):{'3_1':0.57,'5_1':0.15,'6_2':0.06,'8_2':0.03,'5_2':0.03,'7_1':0.0,'8_11':0.0},(145,418):{'3_1':0.63,'5_1':0.09,'6_2':0.03,'7_1':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0},(145,417):{'3_1':0.63,'5_1':0.21,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(145,416):{'3_1':0.66,'5_1':0.09,'8_2':0.03,'5_2':0.03,'-3':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(145,415):{'3_1':0.6,'5_1':0.15,'6_2':0.03,'7_5':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(145,414):{'3_1':0.54,'5_1':0.21,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0},(145,413):{'3_1':0.57,'5_1':0.18,'8_2':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(145,412):{'3_1':0.69,'5_1':0.15,'6_2':0.03,'5_2':0.0,'8_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(145,411):{'3_1':0.57,'5_1':0.15,'5_2':0.06,'7_1':0.03,'6_2':0.0,'8_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(145,410):{'3_1':0.57,'5_1':0.18,'5_2':0.03,'6_2':0.03,'8_2':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0},(145,409):{'3_1':0.54,'5_1':0.18,'6_2':0.06,'5_2':0.03,'8_2':0.03,'7_1':0.0,'7_5':0.0,'7_3':0.0,'-3':0.0},(145,408):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_2':0.03,'7_1':0.0,'7_3':0.0,'4_1':0.0,'8_2':0.0},(145,407):{'3_1':0.66,'5_2':0.06,'5_1':0.06,'6_2':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(145,406):{'3_1':0.63,'5_1':0.09,'6_2':0.03,'8_2':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(145,405):{'3_1':0.69,'5_1':0.12,'7_5':0.03,'6_1':0.0,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0},(145,404):{'3_1':0.69,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(145,403):{'3_1':0.72,'5_1':0.12,'6_2':0.0,'5_2':0.0,'7_1':0.0},(145,402):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(145,401):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(145,400):{'3_1':0.69,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(145,399):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'7_5':0.0,'4_1':0.0,'7_2':0.0},(145,398):{'3_1':0.63,'5_1':0.12,'6_2':0.03,'7_1':0.0,'5_2':0.0,'7_3':0.0},(145,397):{'3_1':0.63,'5_1':0.09,'6_2':0.03,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0},(145,396):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0},(145,395):{'3_1':0.54,'5_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(145,394):{'3_1':0.6,'5_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(145,393):{'3_1':0.51,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(145,392):{'3_1':0.51,'5_1':0.09,'4_1':0.03,'6_2':0.0,'7_5':0.0,'5_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(145,391):{'3_1':0.57,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(145,390):{'3_1':0.36,'5_1':0.15,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(145,389):{'3_1':0.45,'5_1':0.09,'4_1':0.03,'6_2':0.03,'5_2':0.0,'7_5':0.0,'-3':0.0},(145,388):{'3_1':0.36,'5_1':0.03,'4_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(145,387):{'3_1':0.33,'5_1':0.09,'6_2':0.0,'4_1':0.0},(145,386):{'3_1':0.3,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.03,'-3':0.0},(145,385):{'3_1':0.27,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(145,384):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.03,'-3':0.0,'7_1':0.0},(145,383):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_1':0.0,'-3':0.0},(145,382):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'-3':0.0},(145,381):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(145,380):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0,'8_13':0.0,'1':-0.03},(145,379):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(145,378):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0},(145,377):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(145,376):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'5_1':0.0},(145,375):{'3_1':0.12,'4_1':0.03},(145,374):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0,'6_2':0.0},(145,373):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(145,372):{'3_1':0.09,'4_1':0.0},(145,371):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(145,370):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(145,369):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(145,368):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(145,367):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(145,366):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(145,365):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(145,364):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(145,363):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(145,362):{'3_1':0.12,'5_2':0.0},(145,361):{'3_1':0.03,'4_1':0.0},(145,360):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(145,359):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(145,358):{'3_1':0.03,'4_1':0.0},(145,357):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(145,356):{'3_1':0.06,'4_1':0.0},(145,355):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(145,354):{'3_1':0.06,'4_1':0.0},(145,353):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(145,352):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(145,351):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(145,350):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(145,349):{'3_1':0.06,'4_1':0.0},(145,348):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0},(145,347):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(145,346):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(145,345):{'4_1':0.06,'3_1':0.0,'5_2':0.0},(145,344):{'3_1':0.03,'4_1':0.03},(145,343):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(145,342):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_4':0.0},(145,341):{'3_1':0.03,'4_1':0.03},(145,340):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(145,339):{'3_1':0.09,'4_1':0.0},(145,338):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(145,337):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_7':0.0},(145,336):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(145,335):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(145,334):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0},(145,333):{'3_1':0.03,'4_1':0.03},(145,332):{'3_1':0.06,'4_1':0.03},(145,331):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(145,330):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_3':0.0},(145,329):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0},(145,328):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(145,327):{'3_1':0.03,'4_1':0.03},(145,326):{'3_1':0.06,'4_1':0.03},(145,325):{'4_1':0.06,'3_1':0.03,'6_3':0.0,'5_2':0.0},(145,324):{'3_1':0.0,'4_1':0.0},(145,323):{'3_1':0.03,'4_1':0.0},(145,322):{'3_1':0.0,'4_1':0.0},(145,321):{'3_1':0.03,'4_1':0.0},(145,320):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(145,319):{'4_1':0.03,'3_1':0.0},(145,318):{'4_1':0.03,'3_1':0.0,'8_21|3_1#4_1':0.0},(145,317):{'3_1':0.03,'4_1':0.0},(145,316):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(145,315):{'3_1':0.03,'4_1':0.0},(145,314):{'3_1':0.03,'4_1':0.0},(145,313):{'3_1':0.0,'4_1':0.0},(145,312):{'3_1':0.03},(145,311):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_2':0.0},(145,310):{'3_1':0.03,'4_1':0.03},(145,309):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(145,308):{'4_1':0.0,'3_1':0.0},(145,307):{'3_1':0.03,'4_1':0.0},(145,306):{'3_1':0.03},(145,305):{'3_1':0.09},(145,304):{'3_1':0.03},(145,303):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(145,302):{'3_1':0.09,'6_2':0.0,'4_1':0.0},(145,301):{'3_1':0.06,'4_1':0.0},(145,300):{'3_1':0.06,'4_1':0.0},(145,299):{'3_1':0.06,'4_1':0.0},(145,298):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(145,297):{'3_1':0.03,'4_1':0.0},(145,296):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(145,295):{'3_1':0.03,'4_1':0.0},(145,294):{'4_1':0.03,'3_1':0.0},(145,293):{'4_1':0.03,'3_1':0.0},(145,292):{'3_1':0.06,'4_1':0.0},(145,291):{'3_1':0.09,'4_1':0.0},(145,290):{'4_1':0.03,'3_1':0.0},(145,289):{'3_1':0.03,'4_1':0.0},(145,288):{'3_1':0.03,'4_1':0.0},(145,287):{'3_1':0.06,'4_1':0.0},(145,286):{'3_1':0.0,'4_1':0.0},(145,285):{'3_1':0.0,'4_1':0.0},(145,284):{'3_1':0.03,'4_1':0.0},(145,283):{'3_1':0.0,'4_1':0.0},(145,282):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(145,281):{'3_1':0.03,'4_1':0.0},(145,280):{'3_1':0.06},(145,279):{'3_1':0.0},(145,278):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(145,277):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(145,275):{'3_1':0.03,'4_1':0.0},(145,274):{'3_1':0.06,'4_1':0.0},(145,273):{'3_1':0.03,'4_1':0.0},(145,272):{'3_1':0.03},(145,271):{'3_1':0.03},(145,270):{'3_1':0.06,'4_1':0.0},(145,269):{'3_1':0.09,'4_1':0.0},(145,268):{'3_1':0.03,'4_1':0.0},(145,267):{'3_1':0.03},(145,266):{'3_1':0.0},(145,265):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(145,264):{'3_1':0.03,'4_1':0.0},(145,263):{'3_1':0.0},(145,262):{'4_1':0.03,'3_1':0.0},(145,261):{'3_1':0.0,'4_1':0.0},(145,260):{'4_1':0.03,'3_1':0.0},(145,259):{'3_1':0.03,'4_1':0.0},(145,258):{'3_1':0.03,'4_1':0.0},(145,257):{'3_1':0.03,'4_1':0.0},(145,256):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(145,255):{'3_1':0.03,'4_1':0.0},(145,254):{'3_1':0.0,'4_1':0.0},(145,253):{'3_1':0.03},(145,252):{'3_1':0.0},(145,251):{'3_1':0.06,'4_1':0.0},(145,250):{'3_1':0.06,'4_1':0.0},(145,249):{'3_1':0.0,'4_1':0.0},(145,248):{'3_1':0.03,'4_1':0.0},(145,247):{'3_1':0.0,'4_1':0.0},(145,246):{'3_1':0.0,'4_1':0.0},(145,245):{'3_1':0.03},(145,244):{'3_1':0.03},(145,243):{'3_1':0.03},(145,242):{'3_1':0.03},(145,241):{'3_1':0.03},(145,240):{'3_1':0.03},(145,239):{'3_1':0.0},(145,238):{'3_1':0.0},(145,237):{'3_1':0.0},(145,236):{'3_1':0.03},(145,235):{'3_1':0.03},(145,234):{'3_1':0.0},(145,233):{'3_1':0.0},(145,232):{'3_1':0.0},(145,231):{'3_1':0.0},(145,230):{'3_1':0.0,'4_1':0.0},(145,229):{'3_1':0.0},(145,228):{'3_1':0.0},(145,227):{'3_1':0.0},(145,223):{'3_1':0.0},(145,222):{'3_1':0.0},(145,218):{'3_1':0.0},(145,217):{'3_1':0.0},(145,216):{'3_1':0.0},(145,214):{'3_1':0.0},(145,213):{'3_1':0.0},(145,212):{'3_1':0.0},(145,211):{'3_1':0.0},(145,209):{'3_1':0.0},(145,208):{'3_1':0.0},(145,207):{'3_1':0.0},(145,206):{'3_1':0.0},(145,201):{'3_1':0.0},(145,193):{'3_1':0.0},(145,192):{'3_1':0.0},(145,189):{'3_1':0.0},(145,187):{'3_1':0.0},(146,459):{'3_1':0.87,'5_1':0.03,'6_2':0.0},(146,458):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'5_2':0.0},(146,457):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'6_2':0.0},(146,456):{'3_1':0.84,'5_1':0.06,'7_1':0.0},(146,455):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(146,454):{'3_1':0.84,'5_1':0.09,'5_2':0.0},(146,453):{'3_1':0.87,'6_2':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(146,452):{'3_1':0.81,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0},(146,451):{'3_1':0.81,'5_1':0.06,'7_1':0.0,'6_2':0.0},(146,450):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(146,449):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(146,448):{'3_1':0.81,'5_1':0.06,'7_1':0.0,'5_2':0.0},(146,447):{'3_1':0.81,'5_1':0.12,'5_2':0.0,'7_1':0.0},(146,446):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0},(146,445):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0},(146,444):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(146,443):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(146,442):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(146,441):{'3_1':0.81,'5_1':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0},(146,440):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(146,439):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0},(146,438):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(146,437):{'3_1':0.75,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0},(146,436):{'3_1':0.84,'5_1':0.06,'7_1':0.0,'7_5':0.0},(146,435):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'7_3':0.0,'5_2':0.0,'-3':0.0},(146,434):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0},(146,433):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(146,432):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0},(146,431):{'3_1':0.87,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(146,430):{'3_1':0.81,'5_1':0.06,'8_2':0.0,'5_2':0.0,'7_1':0.0},(146,429):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(146,428):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(146,427):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'7_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(146,426):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_1':0.0,'-3':0.0},(146,425):{'3_1':0.75,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_11':0.0,'8_19':0.0},(146,424):{'3_1':0.69,'5_1':0.15,'5_2':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0},(146,423):{'3_1':0.75,'5_1':0.09,'6_2':0.0,'7_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_14':0.0},(146,422):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'8_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_6':0.0},(146,421):{'3_1':0.66,'5_1':0.12,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'7_5':0.0,'8_6':0.0},(146,420):{'3_1':0.57,'5_1':0.18,'8_2':0.03,'7_3':0.0,'5_2':0.0,'6_2':0.0,'8_9':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(146,419):{'3_1':0.72,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0,'9_1':0.0},(146,418):{'3_1':0.66,'5_1':0.18,'6_2':0.0,'8_2':0.0,'5_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(146,417):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.03,'7_1':0.03,'7_3':0.0,'8_2':0.0,'-3':0.0,'8_6':0.0,'8_11':0.0},(146,416):{'3_1':0.66,'5_1':0.15,'8_2':0.0,'4_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(146,415):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'8_2':0.03,'5_2':0.03,'7_1':0.0,'7_5':0.0,'8_4':0.0},(146,414):{'3_1':0.6,'5_1':0.21,'8_2':0.03,'5_2':0.03,'6_2':0.0,'8_6':0.0},(146,413):{'3_1':0.51,'5_1':0.18,'8_2':0.06,'5_2':0.03,'7_5':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(146,412):{'3_1':0.54,'5_1':0.18,'5_2':0.03,'6_2':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_7':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(146,411):{'3_1':0.63,'5_1':0.12,'8_2':0.03,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(146,410):{'3_1':0.51,'5_1':0.18,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(146,409):{'3_1':0.48,'5_1':0.21,'5_2':0.03,'6_2':0.03,'7_5':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0},(146,408):{'3_1':0.6,'5_1':0.09,'5_2':0.06,'-3':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(146,407):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(146,406):{'3_1':0.6,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(146,405):{'3_1':0.72,'5_1':0.06,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(146,404):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0},(146,403):{'3_1':0.72,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(146,402):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(146,401):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(146,400):{'3_1':0.72,'5_1':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0,'8_18':0.0},(146,399):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_2':0.0},(146,398):{'3_1':0.63,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(146,397):{'3_1':0.63,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(146,396):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(146,395):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(146,394):{'3_1':0.66,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_2':0.03,'7_1':0.0},(146,393):{'3_1':0.51,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(146,392):{'3_1':0.48,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(146,391):{'3_1':0.54,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(146,390):{'3_1':0.48,'5_1':0.12,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(146,389):{'3_1':0.36,'5_1':0.12,'6_2':0.06,'4_1':0.0,'-3':0.0,'8_21|3_1#4_1':0.0},(146,388):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(146,387):{'3_1':0.3,'5_1':0.06,'4_1':0.06,'6_2':0.0,'8_9':0.0},(146,386):{'3_1':0.27,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(146,385):{'3_1':0.27,'5_1':0.06,'6_2':0.03,'4_1':0.0,'6_1':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(146,384):{'3_1':0.15,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(146,383):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(146,382):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(146,381):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_7':0.0,'8_19':0.0},(146,380):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(146,379):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(146,378):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0},(146,377):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(146,376):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(146,375):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0},(146,374):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(146,373):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(146,372):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(146,371):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'7_6':0.0},(146,370):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(146,369):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_14':0.0},(146,368):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(146,367):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(146,366):{'3_1':0.12,'4_1':0.0},(146,365):{'3_1':0.09,'6_2':0.0},(146,364):{'3_1':0.06,'6_2':0.0,'6_3':0.0},(146,363):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(146,362):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(146,361):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(146,360):{'3_1':0.09,'4_1':0.0},(146,359):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(146,358):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(146,357):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(146,356):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(146,355):{'3_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0},(146,354):{'3_1':0.06,'4_1':0.0},(146,353):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(146,352):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(146,351):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(146,350):{'3_1':0.0,'4_1':0.0},(146,349):{'3_1':0.09,'4_1':0.0},(146,348):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0},(146,347):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(146,346):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(146,345):{'3_1':0.0,'4_1':0.0},(146,344):{'3_1':0.03,'4_1':0.03},(146,343):{'3_1':0.03,'4_1':0.0},(146,342):{'4_1':0.03,'3_1':0.03,'6_1':0.0},(146,341):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(146,340):{'3_1':0.09,'4_1':0.03},(146,339):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(146,338):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(146,337):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(146,336):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(146,335):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(146,334):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(146,333):{'3_1':0.06,'4_1':0.0},(146,332):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(146,331):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(146,330):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(146,329):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0},(146,328):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(146,327):{'3_1':0.03,'4_1':0.03},(146,326):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(146,325):{'3_1':0.0,'4_1':0.0},(146,324):{'4_1':0.0,'3_1':0.0},(146,323):{'3_1':0.03,'4_1':0.03},(146,322):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(146,321):{'3_1':0.03,'4_1':0.03},(146,320):{'3_1':0.03,'4_1':0.0},(146,319):{'3_1':0.03,'4_1':0.0},(146,318):{'3_1':0.03,'4_1':0.0},(146,317):{'3_1':0.06,'4_1':0.0},(146,316):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(146,315):{'3_1':0.0,'4_1':0.0},(146,314):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(146,313):{'3_1':0.06,'4_1':0.03},(146,312):{'3_1':0.03,'4_1':0.0},(146,311):{'3_1':0.03,'4_1':0.0},(146,310):{'4_1':0.03,'3_1':0.03},(146,309):{'3_1':0.03},(146,308):{'4_1':0.0,'5_2':0.0},(146,307):{'3_1':0.0,'4_1':0.0},(146,306):{'3_1':0.0},(146,305):{'3_1':0.03,'4_1':0.0},(146,304):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(146,303):{'3_1':0.03,'6_2':0.0},(146,302):{'3_1':0.03,'4_1':0.0},(146,301):{'3_1':0.03},(146,300):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(146,299):{'3_1':0.06,'6_2':0.0},(146,298):{'4_1':0.03,'3_1':0.0},(146,297):{'3_1':0.03,'4_1':0.0},(146,296):{'3_1':0.03,'4_1':0.0},(146,295):{'3_1':0.06},(146,294):{'3_1':0.0,'4_1':0.0},(146,293):{'3_1':0.06,'4_1':0.0},(146,292):{'4_1':0.0,'3_1':0.0},(146,291):{'3_1':0.03,'4_1':0.0},(146,290):{'3_1':0.03,'4_1':0.0},(146,289):{'3_1':0.0,'4_1':0.0},(146,288):{'3_1':0.03,'4_1':0.0},(146,287):{'4_1':0.0,'3_1':0.0},(146,286):{'3_1':0.03,'4_1':0.0},(146,285):{'3_1':0.0,'4_1':0.0},(146,284):{'3_1':0.0,'4_1':0.0},(146,283):{'3_1':0.0,'4_1':0.0},(146,282):{'3_1':0.03,'4_1':0.0},(146,281):{'3_1':0.03,'4_1':0.0},(146,280):{'3_1':0.03,'5_2':0.0},(146,279):{'3_1':0.0,'4_1':0.0},(146,278):{'3_1':0.0},(146,277):{'3_1':0.0,'4_1':0.0},(146,276):{'3_1':0.0,'4_1':0.0},(146,275):{'3_1':0.03},(146,274):{'3_1':0.03,'5_2':0.0},(146,273):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(146,272):{'3_1':0.06,'4_1':0.0},(146,271):{'3_1':0.03},(146,270):{'3_1':0.03,'4_1':0.0},(146,269):{'3_1':0.0},(146,268):{'3_1':0.03,'4_1':0.0},(146,267):{'3_1':0.03},(146,266):{'4_1':0.0,'3_1':0.0},(146,265):{'3_1':0.03,'4_1':0.0},(146,264):{'3_1':0.03,'4_1':0.0},(146,263):{'3_1':0.06},(146,262):{'3_1':0.03,'4_1':0.0},(146,261):{'3_1':0.03,'4_1':0.0},(146,260):{'3_1':0.03,'4_1':0.0},(146,259):{'3_1':0.06,'4_1':0.0},(146,258):{'3_1':0.03},(146,257):{'3_1':0.03,'4_1':0.0},(146,256):{'3_1':0.03,'4_1':0.0},(146,255):{'3_1':0.06,'6_1':0.0},(146,254):{'3_1':0.03},(146,253):{'3_1':0.0,'4_1':0.0},(146,252):{'3_1':0.03},(146,251):{'3_1':0.03,'4_1':0.0},(146,250):{'3_1':0.03},(146,249):{'3_1':0.03,'4_1':0.0},(146,248):{'3_1':0.03,'4_1':0.0},(146,247):{'3_1':0.03},(146,246):{'3_1':0.03},(146,245):{'3_1':0.03},(146,244):{'4_1':0.0,'5_2':0.0},(146,243):{'3_1':0.03},(146,242):{'3_1':0.06},(146,241):{'3_1':0.0},(146,240):{'3_1':0.0,'5_2':0.0},(146,239):{'3_1':0.03},(146,237):{'3_1':0.0},(146,236):{'3_1':0.0},(146,235):{'3_1':0.03},(146,234):{'3_1':0.0},(146,233):{'3_1':0.0},(146,231):{'3_1':0.0},(146,230):{'3_1':0.03},(146,229):{'3_1':0.0},(146,228):{'3_1':0.0},(146,227):{'3_1':0.0},(146,226):{'3_1':0.03},(146,225):{'3_1':0.0},(146,224):{'3_1':0.0},(146,223):{'3_1':0.0},(146,220):{'3_1':0.0},(146,219):{'3_1':0.0},(146,218):{'4_1':0.0},(146,216):{'3_1':0.0},(146,215):{'3_1':0.0},(146,214):{'3_1':0.03},(146,213):{'3_1':0.0},(146,210):{'3_1':0.0},(146,209):{'3_1':0.0},(146,208):{'3_1':0.0},(146,207):{'3_1':0.03},(146,206):{'3_1':0.0},(146,205):{'3_1':0.0},(146,204):{'3_1':0.0},(146,201):{'3_1':0.0},(146,200):{'3_1':0.0},(146,189):{'3_1':0.0},(146,188):{'3_1':0.0},(147,459):{'3_1':0.84,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(147,458):{'3_1':0.81,'5_1':0.06,'5_2':0.03},(147,457):{'3_1':0.84,'5_1':0.06,'4_1':0.0},(147,456):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'8_2':0.0},(147,455):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(147,454):{'3_1':0.87,'5_1':0.03,'6_2':0.0,'4_1':0.0},(147,453):{'3_1':0.87,'5_1':0.03,'5_2':0.0},(147,452):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(147,451):{'3_1':0.81,'5_1':0.06,'5_2':0.0},(147,450):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_2':0.0},(147,449):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0},(147,448):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(147,447):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0},(147,446):{'3_1':0.78,'5_1':0.09,'6_2':0.0,'4_1':0.0,'5_2':0.0},(147,445):{'3_1':0.78,'5_1':0.12,'5_2':0.0,'7_3':0.0,'7_5':0.0},(147,444):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'5_2':0.0,'7_5':0.0},(147,443):{'3_1':0.84,'5_1':0.06,'6_2':0.0,'7_1':0.0},(147,442):{'3_1':0.75,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(147,441):{'3_1':0.81,'5_1':0.06,'4_1':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0},(147,440):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(147,439):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0},(147,438):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'8_2':0.0},(147,437):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'7_3':0.0,'-3':0.0},(147,436):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'8_2':0.0},(147,435):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0},(147,434):{'3_1':0.75,'5_1':0.03,'7_1':0.03,'5_2':0.0,'6_2':0.0},(147,433):{'3_1':0.75,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(147,432):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(147,431):{'3_1':0.78,'5_1':0.09,'5_2':0.0},(147,430):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0},(147,429):{'3_1':0.69,'5_1':0.12,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(147,428):{'3_1':0.72,'5_1':0.06,'6_2':0.03,'7_1':0.0,'5_2':0.0,'4_1':0.0,'8_2':0.0},(147,427):{'3_1':0.75,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_3':0.0},(147,426):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'8_2':0.03,'7_1':0.0,'6_2':0.0,'-3':0.0},(147,425):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(147,424):{'3_1':0.69,'5_1':0.15,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(147,423):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(147,422):{'3_1':0.75,'5_1':0.09,'7_3':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'9_1':0.0},(147,421):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(147,420):{'3_1':0.6,'5_1':0.06,'5_2':0.06,'7_3':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(147,419):{'3_1':0.63,'5_1':0.09,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'2':-0.03},(147,418):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0,'8_7':0.0,'-3':0.0},(147,417):{'3_1':0.6,'5_1':0.09,'5_2':0.06,'6_2':0.03,'7_1':0.03,'8_2':0.0,'-3':0.0,'4_1':0.0},(147,416):{'3_1':0.6,'5_1':0.21,'5_2':0.03,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(147,415):{'3_1':0.57,'5_1':0.15,'5_2':0.06,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0},(147,414):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0},(147,413):{'3_1':0.6,'5_1':0.21,'8_2':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(147,412):{'3_1':0.54,'5_1':0.18,'6_2':0.06,'8_2':0.03,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_6':0.0},(147,411):{'3_1':0.6,'5_1':0.18,'8_2':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0},(147,410):{'3_1':0.54,'5_1':0.15,'5_2':0.06,'6_2':0.03,'7_1':0.0,'8_2':0.0,'7_5':0.0,'-3':0.0},(147,409):{'3_1':0.51,'5_1':0.18,'6_2':0.03,'7_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(147,408):{'3_1':0.6,'5_1':0.15,'5_2':0.06,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0},(147,407):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'8_2':0.03,'6_2':0.0},(147,406):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(147,405):{'3_1':0.69,'5_1':0.09,'6_2':0.03,'5_2':0.0,'7_3':0.0,'-3':0.0},(147,404):{'3_1':0.72,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(147,403):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'6_1':0.0,'5_2':0.0},(147,402):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'8_9':0.0},(147,401):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(147,400):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0},(147,399):{'3_1':0.75,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(147,398):{'3_1':0.66,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(147,397):{'3_1':0.57,'5_1':0.12,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0,'8_2':0.0},(147,396):{'3_1':0.66,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(147,395):{'3_1':0.54,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(147,394):{'3_1':0.69,'5_1':0.15,'4_1':0.0,'6_2':0.0},(147,393):{'3_1':0.51,'5_1':0.09,'5_2':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0},(147,392):{'3_1':0.39,'5_1':0.12,'7_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(147,391):{'3_1':0.42,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0,'-3':0.0},(147,390):{'3_1':0.48,'5_1':0.09,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(147,389):{'3_1':0.42,'4_1':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(147,388):{'3_1':0.36,'5_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0},(147,387):{'3_1':0.3,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_7':0.0},(147,386):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(147,385):{'3_1':0.24,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0},(147,384):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(147,383):{'3_1':0.18,'6_2':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(147,382):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_4':0.0,'-3':0.0},(147,381):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(147,380):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(147,379):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0},(147,378):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_1':0.0},(147,377):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(147,376):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(147,375):{'3_1':0.12,'4_1':0.06},(147,374):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(147,373):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(147,372):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(147,371):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(147,370):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(147,369):{'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0},(147,368):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(147,367):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(147,366):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(147,365):{'3_1':0.12,'4_1':0.03,'-3':0.0,'5_1':0.0},(147,364):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_3':0.0},(147,363):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0},(147,362):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(147,361):{'3_1':0.06},(147,360):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(147,359):{'3_1':0.06,'4_1':0.0},(147,358):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(147,357):{'3_1':0.06,'6_3':0.0,'8_21|3_1#4_1':0.0},(147,356):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(147,355):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(147,354):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(147,353):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(147,352):{'3_1':0.09,'4_1':0.0},(147,351):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(147,350):{'3_1':0.03,'4_1':0.0},(147,349):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(147,348):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(147,347):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(147,346):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(147,345):{'3_1':0.03,'4_1':0.0},(147,344):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(147,343):{'4_1':0.06,'3_1':0.03,'5_1':0.0},(147,342):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_4':0.0},(147,341):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(147,340):{'3_1':0.03,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(147,339):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(147,338):{'3_1':0.09,'4_1':0.03},(147,337):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(147,336):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'7_5':0.0},(147,335):{'3_1':0.09,'5_2':0.0},(147,334):{'3_1':0.06,'5_2':0.0},(147,333):{'3_1':0.09,'4_1':0.03},(147,332):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(147,331):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(147,330):{'3_1':0.03,'4_1':0.0},(147,329):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(147,328):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_3':0.0},(147,327):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(147,326):{'3_1':0.03,'4_1':0.03},(147,325):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(147,324):{'4_1':0.03,'3_1':0.0},(147,323):{'3_1':0.03,'4_1':0.0},(147,322):{'3_1':0.03,'4_1':0.0},(147,321):{'4_1':0.0,'3_1':0.0,'6_3':0.0},(147,320):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(147,319):{'4_1':0.0,'3_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(147,318):{'3_1':0.06,'4_1':0.0},(147,317):{'3_1':0.06,'5_1':0.0},(147,316):{'3_1':0.03},(147,315):{'3_1':0.06,'4_1':0.0},(147,314):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(147,313):{'3_1':0.0},(147,312):{'4_1':0.03,'3_1':0.0},(147,311):{'4_1':0.0,'5_2':0.0,'6_3':0.0},(147,310):{'4_1':0.0,'3_1':0.0},(147,309):{'3_1':0.0,'4_1':0.0},(147,308):{'3_1':0.03,'4_1':0.0},(147,307):{'3_1':0.03,'4_1':0.0},(147,306):{'3_1':0.06},(147,305):{'3_1':0.06,'4_1':0.0},(147,304):{'3_1':0.03,'4_1':0.0},(147,303):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(147,302):{'3_1':0.03},(147,301):{'4_1':0.0,'3_1':0.0},(147,300):{'3_1':0.06,'4_1':0.03},(147,299):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(147,298):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(147,297):{'3_1':0.0,'4_1':0.0},(147,296):{'3_1':0.0,'4_1':0.0},(147,295):{'3_1':0.03,'4_1':0.0},(147,294):{'3_1':0.0},(147,293):{'3_1':0.03,'6_2':0.0},(147,292):{'3_1':0.0,'4_1':0.0},(147,291):{'3_1':0.0,'4_1':0.0},(147,290):{'4_1':0.03,'3_1':0.0},(147,289):{'3_1':0.03},(147,288):{'4_1':0.03,'3_1':0.0},(147,287):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(147,286):{'3_1':0.03},(147,285):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(147,284):{'3_1':0.0,'4_1':0.0},(147,283):{'3_1':0.0,'4_1':0.0},(147,282):{'3_1':0.0,'4_1':0.0},(147,281):{'3_1':0.0,'4_1':0.0},(147,280):{'4_1':0.0,'3_1':0.0},(147,279):{'3_1':0.03},(147,278):{'3_1':0.0,'4_1':0.0},(147,277):{'3_1':0.03,'5_2':0.0},(147,276):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(147,275):{'3_1':0.03,'4_1':0.0},(147,274):{'3_1':0.03,'4_1':0.0},(147,273):{'3_1':0.03,'4_1':0.0},(147,272):{'3_1':0.0,'5_2':0.0},(147,271):{'3_1':0.09},(147,270):{'3_1':0.06,'4_1':0.0},(147,269):{'3_1':0.0,'4_1':0.0},(147,268):{'4_1':0.0,'3_1':0.0},(147,267):{'4_1':0.0},(147,266):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(147,265):{'3_1':0.0,'4_1':0.0},(147,264):{'3_1':0.03,'4_1':0.0},(147,263):{'3_1':0.03,'4_1':0.0},(147,262):{'4_1':0.0,'3_1':0.0},(147,261):{'3_1':0.03,'4_1':0.0},(147,260):{'3_1':0.03,'4_1':0.0},(147,259):{'3_1':0.03,'4_1':0.03},(147,258):{'3_1':0.0,'4_1':0.0},(147,257):{'3_1':0.03,'4_1':0.0},(147,256):{'3_1':0.03,'6_1':0.0},(147,255):{'3_1':0.03,'4_1':0.03},(147,254):{'3_1':0.0,'4_1':0.0},(147,253):{'3_1':0.03,'4_1':0.0},(147,252):{'3_1':0.03},(147,251):{'3_1':0.03,'4_1':0.0},(147,250):{'3_1':0.0,'4_1':0.0},(147,249):{'3_1':0.0,'4_1':0.0},(147,248):{'3_1':0.0},(147,247):{'3_1':0.03},(147,246):{'3_1':0.03},(147,245):{'3_1':0.03},(147,244):{'3_1':0.03,'5_2':0.0},(147,243):{'3_1':0.0},(147,242):{'3_1':0.0},(147,241):{'3_1':0.03},(147,240):{'3_1':0.06},(147,238):{'3_1':0.0},(147,237):{'3_1':0.03,'4_1':0.0},(147,236):{'3_1':0.0},(147,235):{'3_1':0.03},(147,234):{'3_1':0.0},(147,233):{'3_1':0.0},(147,232):{'3_1':0.0},(147,231):{'4_1':0.0,'3_1':0.0},(147,230):{'3_1':0.0},(147,227):{'3_1':0.0},(147,226):{'3_1':0.0,'4_1':0.0},(147,225):{'3_1':0.0},(147,224):{'3_1':0.0},(147,223):{'3_1':0.0},(147,222):{'3_1':0.0},(147,221):{'3_1':0.0},(147,220):{'3_1':0.0},(147,219):{'3_1':0.0},(147,217):{'3_1':0.0},(147,216):{'3_1':0.0},(147,214):{'3_1':0.0},(147,213):{'3_1':0.0},(147,212):{'3_1':0.0},(147,211):{'3_1':0.0},(147,210):{'3_1':0.0},(147,209):{'3_1':0.0},(147,208):{'3_1':0.0},(147,206):{'3_1':0.0},(147,205):{'3_1':0.0},(147,204):{'3_1':0.0},(147,197):{'3_1':0.0},(147,190):{'3_1':0.0},(148,459):{'3_1':0.78,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0},(148,458):{'3_1':0.81,'5_1':0.06,'6_2':0.0,'7_1':0.0},(148,457):{'3_1':0.84,'7_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(148,456):{'3_1':0.81,'5_1':0.03,'7_1':0.0,'5_2':0.0,'4_1':0.0},(148,455):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'7_1':0.0},(148,454):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0},(148,453):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'4_1':0.0},(148,452):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(148,451):{'3_1':0.78,'5_1':0.09,'7_1':0.03,'5_2':0.0,'6_2':0.0},(148,450):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(148,449):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(148,448):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'7_1':0.0},(148,447):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0},(148,446):{'3_1':0.81,'6_2':0.03,'5_1':0.03,'7_1':0.0},(148,445):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'6_3':0.0,'7_5':0.0},(148,444):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(148,443):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'7_1':0.0,'6_2':0.0},(148,442):{'3_1':0.81,'5_1':0.06,'7_1':0.0,'4_1':0.0,'5_2':0.0},(148,441):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(148,440):{'3_1':0.75,'5_1':0.06,'7_1':0.0,'4_1':0.0},(148,439):{'3_1':0.75,'5_1':0.06,'7_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0},(148,438):{'3_1':0.78,'5_1':0.06,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(148,437):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'7_1':0.0,'5_2':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(148,436):{'3_1':0.75,'5_1':0.09,'6_2':0.03,'5_2':0.0,'-3':0.0},(148,435):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0,'-3':0.0},(148,434):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0},(148,433):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'7_1':0.0,'6_2':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(148,432):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(148,431):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0},(148,430):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0},(148,429):{'3_1':0.69,'5_1':0.18,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0},(148,428):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'1':-0.03},(148,427):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(148,426):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'7_1':0.0,'8_6':0.0},(148,425):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(148,424):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0},(148,423):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_14':0.0},(148,422):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_2':0.0},(148,421):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0},(148,420):{'3_1':0.72,'5_1':0.09,'8_2':0.0,'4_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'1':-0.03},(148,419):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0,'-3':0.0},(148,418):{'3_1':0.69,'5_2':0.06,'5_1':0.06,'7_1':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(148,417):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0},(148,416):{'3_1':0.6,'5_1':0.06,'5_2':0.06,'6_2':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(148,415):{'3_1':0.66,'5_1':0.12,'6_2':0.03,'7_1':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(148,414):{'3_1':0.57,'5_1':0.12,'5_2':0.06,'6_2':0.03,'8_2':0.03,'7_1':0.0,'8_6':0.0,'-3':0.0},(148,413):{'3_1':0.51,'5_1':0.27,'5_2':0.06,'8_2':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_7':0.0},(148,412):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(148,411):{'3_1':0.63,'5_1':0.21,'6_2':0.0,'7_3':0.0,'8_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(148,410):{'3_1':0.63,'5_1':0.12,'6_2':0.03,'8_2':0.0,'7_1':0.0,'-3':0.0,'5_2':0.0,'7_5':0.0},(148,409):{'3_1':0.6,'5_1':0.15,'6_2':0.06,'5_2':0.03,'8_2':0.0,'-3':0.0,'1':-0.03},(148,408):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_2':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'9_1':0.0,'-3':0.0},(148,407):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'7_1':0.03,'6_2':0.0,'8_2':0.0,'7_3':0.0,'-3':0.0},(148,406):{'3_1':0.66,'5_1':0.06,'6_2':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(148,405):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0,'8_11':0.0},(148,404):{'3_1':0.72,'5_1':0.06,'7_1':0.0,'5_2':0.0,'6_2':0.0},(148,403):{'3_1':0.78,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(148,402):{'3_1':0.6,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0},(148,401):{'3_1':0.57,'5_1':0.09,'7_1':0.03,'6_2':0.0,'5_2':0.0,'7_4':0.0},(148,400):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_2':0.03,'7_5':0.0,'4_1':0.0},(148,399):{'3_1':0.66,'6_2':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(148,398):{'3_1':0.63,'5_1':0.09,'6_2':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0},(148,397):{'3_1':0.69,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(148,396):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(148,395):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(148,394):{'3_1':0.57,'4_1':0.03,'5_1':0.03,'7_1':0.03,'5_2':0.0,'6_2':0.0},(148,393):{'3_1':0.51,'5_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'-3':0.0},(148,392):{'3_1':0.45,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'7_1':0.0,'8_7':0.0},(148,391):{'3_1':0.39,'5_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'6_1':0.0},(148,390):{'3_1':0.42,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(148,389):{'3_1':0.36,'5_1':0.12,'4_1':0.03,'6_2':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(148,388):{'3_1':0.33,'5_1':0.06,'4_1':0.03,'-3':0.0,'5_2':0.0},(148,387):{'3_1':0.33,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(148,386):{'3_1':0.27,'5_1':0.06,'6_2':0.03,'5_2':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0},(148,385):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_9':0.0,'-3':0.0},(148,384):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'7_6':0.0,'8_6':0.0},(148,383):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'-3':0.03,'6_2':0.0,'7_4':0.0,'8_9':0.0},(148,382):{'3_1':0.12,'4_1':0.06,'5_1':0.06,'6_2':0.0,'8_21|3_1#4_1':0.0},(148,381):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_2':0.03,'5_2':0.0},(148,380):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0},(148,379):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(148,378):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'1':-0.03},(148,377):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0},(148,376):{'4_1':0.06,'3_1':0.03,'6_3':0.0,'8_21|3_1#4_1':0.0},(148,375):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(148,374):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_3':0.0},(148,373):{'3_1':0.12,'4_1':0.0,'7_6':0.0},(148,372):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(148,371):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0},(148,370):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(148,369):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_17':0.0},(148,368):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(148,367):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_19':0.0},(148,366):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(148,365):{'3_1':0.12,'6_2':0.0,'5_1':0.0,'7_3':0.0},(148,364):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(148,363):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'8_9':0.0},(148,362):{'3_1':0.06,'5_1':0.03,'5_2':0.0},(148,361):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_16':0.0},(148,360):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(148,359):{'3_1':0.06,'4_1':0.03},(148,358):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(148,357):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(148,356):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(148,355):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_17':0.0},(148,354):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(148,353):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(148,352):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0},(148,351):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(148,350):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_13':0.0},(148,349):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(148,348):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(148,347):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(148,346):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(148,345):{'3_1':0.0,'4_1':0.0,'6_3':0.0,'5_2':0.0},(148,344):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(148,343):{'3_1':0.03,'4_1':0.03},(148,342):{'3_1':0.06,'4_1':0.03},(148,341):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_3':0.0},(148,340):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(148,339):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(148,338):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(148,337):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(148,336):{'3_1':0.12,'4_1':0.06},(148,335):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(148,334):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(148,333):{'3_1':0.03,'4_1':0.0},(148,332):{'3_1':0.06,'4_1':0.03},(148,331):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(148,330):{'3_1':0.06,'4_1':0.0},(148,329):{'3_1':0.06,'4_1':0.0},(148,328):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(148,327):{'3_1':0.03,'4_1':0.0},(148,326):{'3_1':0.06,'4_1':0.0},(148,325):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(148,324):{'4_1':0.03,'3_1':0.0},(148,323):{'3_1':0.03,'4_1':0.0},(148,322):{'3_1':0.03,'4_1':0.03},(148,321):{'3_1':0.06,'4_1':0.0},(148,320):{'3_1':0.06,'4_1':0.0},(148,319):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(148,318):{'3_1':0.0},(148,317):{'3_1':0.03,'4_1':0.0},(148,316):{'4_1':0.03,'3_1':0.03,'6_3':0.0},(148,315):{'4_1':0.03,'3_1':0.0},(148,314):{'3_1':0.03,'4_1':0.0},(148,313):{'3_1':0.0,'4_1':0.0},(148,312):{'3_1':0.03,'4_1':0.0},(148,311):{'4_1':0.03,'3_1':0.0,'8_21|3_1#4_1':0.0},(148,310):{'3_1':0.0,'4_1':0.0},(148,309):{'3_1':0.06,'4_1':0.03},(148,308):{'4_1':0.0,'3_1':0.0},(148,307):{'3_1':0.06,'8_20|3_1#3_1':0.0},(148,306):{'4_1':0.03,'3_1':0.0},(148,305):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(148,304):{'3_1':0.06,'4_1':0.0},(148,303):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(148,302):{'3_1':0.03,'4_1':0.0},(148,301):{'3_1':0.06,'5_1':0.0,'8_21|3_1#4_1':0.0},(148,300):{'3_1':0.06},(148,299):{'3_1':0.0,'4_1':0.0},(148,298):{'3_1':0.0,'4_1':0.0},(148,297):{'3_1':0.03,'4_1':0.0},(148,296):{'3_1':0.0,'4_1':0.0},(148,295):{'3_1':0.0,'4_1':0.0},(148,294):{'3_1':0.03},(148,293):{'3_1':0.0},(148,292):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(148,291):{'3_1':0.03,'4_1':0.0},(148,290):{'3_1':0.0,'8_21|3_1#4_1':0.0},(148,289):{'4_1':0.0,'3_1':0.0},(148,288):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(148,287):{'3_1':0.06,'4_1':0.0},(148,286):{'3_1':0.06,'4_1':0.0},(148,285):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(148,284):{'4_1':0.0,'3_1':0.0},(148,283):{'3_1':0.0,'4_1':0.0},(148,282):{'3_1':0.03,'4_1':0.0},(148,281):{'3_1':0.03,'4_1':0.0},(148,280):{'3_1':0.06,'4_1':0.0},(148,279):{'3_1':0.03,'4_1':0.0},(148,278):{'3_1':0.0,'4_1':0.0},(148,277):{'3_1':0.06,'4_1':0.0},(148,276):{'3_1':0.03,'4_1':0.0},(148,275):{'3_1':0.06},(148,274):{'3_1':0.06,'4_1':0.0},(148,273):{'3_1':0.03,'4_1':0.0},(148,272):{'3_1':0.03,'4_1':0.0},(148,271):{'3_1':0.03,'5_2':0.0},(148,270):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(148,269):{'3_1':0.03,'4_1':0.0},(148,268):{'3_1':0.0,'4_1':0.0},(148,267):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(148,266):{'3_1':0.06,'4_1':0.0},(148,265):{'3_1':0.03,'4_1':0.0},(148,264):{'4_1':0.03,'3_1':0.03},(148,263):{'3_1':0.0,'4_1':0.0},(148,262):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(148,261):{'3_1':0.0,'4_1':0.0},(148,260):{'3_1':0.0,'4_1':0.0},(148,259):{'3_1':0.03,'4_1':0.0},(148,258):{'3_1':0.0,'4_1':0.0},(148,257):{'3_1':0.03,'4_1':0.0},(148,256):{'3_1':0.03,'4_1':0.0},(148,255):{'3_1':0.03,'4_1':0.0},(148,254):{'4_1':0.0,'3_1':0.0},(148,253):{'3_1':0.0},(148,252):{'3_1':0.0,'4_1':0.0},(148,251):{'3_1':0.0,'4_1':0.0},(148,250):{'4_1':0.0,'3_1':0.0},(148,249):{'3_1':0.03},(148,248):{'3_1':0.0,'4_1':0.0},(148,247):{'3_1':0.0},(148,246):{'3_1':0.03},(148,245):{'3_1':0.03},(148,244):{'3_1':0.03},(148,243):{'3_1':0.03},(148,242):{'3_1':0.03},(148,241):{'3_1':0.03},(148,240):{'3_1':0.03},(148,239):{'3_1':0.03},(148,238):{'3_1':0.0},(148,237):{'3_1':0.0},(148,236):{'3_1':0.0},(148,234):{'3_1':0.03},(148,233):{'3_1':0.0},(148,232):{'3_1':0.0},(148,231):{'3_1':0.0,'4_1':0.0},(148,230):{'3_1':0.0},(148,229):{'3_1':0.0},(148,228):{'3_1':0.0},(148,227):{'3_1':0.0},(148,226):{'3_1':0.0},(148,225):{'3_1':0.0},(148,224):{'3_1':0.0},(148,223):{'3_1':0.03},(148,220):{'3_1':0.0},(148,219):{'3_1':0.0},(148,217):{'3_1':0.0},(148,215):{'3_1':0.0},(148,214):{'3_1':0.0},(148,212):{'5_2':0.0},(148,211):{'3_1':0.0},(148,210):{'3_1':0.0},(148,209):{'3_1':0.0},(148,207):{'3_1':0.0},(148,206):{'3_1':0.0},(148,204):{'3_1':0.0},(148,200):{'3_1':0.0},(148,197):{'3_1':0.0},(148,196):{'3_1':0.0},(148,193):{'3_1':0.0},(149,459):{'3_1':0.81,'5_1':0.09,'7_1':0.0,'5_2':0.0},(149,458):{'3_1':0.84,'5_1':0.06,'7_1':0.0,'7_5':0.0},(149,457):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'7_1':0.0},(149,456):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(149,455):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'4_1':0.0,'7_1':0.0},(149,454):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0},(149,453):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(149,452):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(149,451):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(149,450):{'3_1':0.78,'5_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_14':0.0},(149,449):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(149,448):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0},(149,447):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(149,446):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0},(149,445):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0},(149,444):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0},(149,443):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(149,442):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0},(149,441):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0},(149,440):{'3_1':0.75,'5_2':0.06,'5_1':0.03,'6_2':0.0},(149,439):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'7_1':0.0,'6_2':0.0},(149,438):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(149,437):{'3_1':0.75,'5_1':0.09,'6_2':0.0,'5_2':0.0,'7_1':0.0},(149,436):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(149,435):{'3_1':0.75,'5_1':0.06,'8_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(149,434):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0,'6_1':0.0,'9_1':0.0},(149,433):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0,'4_1':0.0},(149,432):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0},(149,431):{'3_1':0.81,'5_1':0.09,'5_2':0.0,'6_2':0.0},(149,430):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'7_1':0.0,'8_2':0.0,'4_1':0.0},(149,429):{'3_1':0.72,'5_2':0.06,'5_1':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_3':0.0},(149,428):{'3_1':0.6,'5_1':0.09,'5_2':0.06,'6_2':0.0,'7_1':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(149,427):{'3_1':0.69,'5_1':0.06,'5_2':0.0,'7_1':0.0,'4_1':0.0,'8_2':0.0,'8_9':0.0},(149,426):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(149,425):{'3_1':0.66,'5_1':0.15,'5_2':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_9':0.0},(149,424):{'3_1':0.63,'5_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(149,423):{'3_1':0.6,'5_1':0.12,'5_2':0.06,'6_2':0.0,'4_1':0.0,'8_2':0.0,'7_1':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(149,422):{'3_1':0.57,'5_1':0.15,'5_2':0.03,'7_1':0.0,'4_1':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(149,421):{'3_1':0.69,'5_1':0.12,'5_2':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(149,420):{'3_1':0.66,'5_1':0.06,'5_2':0.06,'7_1':0.03,'6_2':0.0,'8_2':0.0,'7_3':0.0,'8_17':0.0,'8_21|3_1#4_1':0.0},(149,419):{'3_1':0.6,'5_1':0.18,'5_2':0.03,'7_1':0.03,'6_2':0.0,'8_2':0.0},(149,418):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'1':-0.03},(149,417):{'3_1':0.57,'5_1':0.15,'7_1':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_6':0.0},(149,416):{'3_1':0.6,'5_1':0.15,'7_1':0.0,'8_2':0.0,'5_2':0.0,'6_2':0.0},(149,415):{'3_1':0.57,'5_1':0.15,'6_2':0.03,'5_2':0.03,'7_1':0.03,'8_2':0.0,'-3':0.0,'7_5':0.0},(149,414):{'3_1':0.57,'5_1':0.09,'8_2':0.06,'5_2':0.03,'6_2':0.03,'7_1':0.03,'4_1':0.0,'7_5':0.0,'8_14':0.0},(149,413):{'3_1':0.54,'5_1':0.09,'5_2':0.03,'7_1':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0,'7_5':0.0,'8_7':0.0},(149,412):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'7_1':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'4_1':0.0,'7_5':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(149,411):{'3_1':0.54,'5_1':0.15,'5_2':0.09,'7_1':0.0,'8_2':0.0,'6_2':0.0,'9_1':0.0,'-3':0.0},(149,410):{'3_1':0.6,'5_1':0.15,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(149,409):{'3_1':0.54,'5_1':0.12,'5_2':0.06,'6_2':0.03,'8_2':0.0,'7_1':0.0,'7_5':0.0,'4_1':0.0,'9_1':0.0},(149,408):{'3_1':0.57,'5_1':0.12,'5_2':0.06,'7_1':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_7':0.0},(149,407):{'3_1':0.6,'5_1':0.09,'5_2':0.06,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'8_9':0.0},(149,406):{'3_1':0.54,'5_1':0.09,'5_2':0.06,'6_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0},(149,405):{'3_1':0.63,'5_1':0.03,'5_2':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'8_7':0.0,'-3':0.0},(149,404):{'3_1':0.66,'5_1':0.06,'6_2':0.0,'7_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(149,403):{'3_1':0.66,'5_1':0.09,'6_2':0.0,'7_1':0.0,'5_2':0.0},(149,402):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'7_1':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(149,401):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0},(149,400):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0},(149,399):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0},(149,398):{'3_1':0.69,'6_2':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(149,397):{'3_1':0.63,'5_2':0.09,'5_1':0.03,'6_2':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0},(149,396):{'3_1':0.69,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(149,395):{'3_1':0.48,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(149,394):{'3_1':0.48,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.03,'6_1':0.0,'6_3':0.0,'7_2':0.0},(149,393):{'3_1':0.51,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0},(149,392):{'3_1':0.39,'5_1':0.12,'5_2':0.06,'4_1':0.0,'6_2':0.0},(149,391):{'3_1':0.45,'5_1':0.09,'5_2':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0},(149,390):{'3_1':0.36,'5_1':0.09,'7_1':0.03,'5_2':0.03,'-3':0.03,'6_2':0.0,'4_1':0.0},(149,389):{'3_1':0.42,'6_2':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0,'5_2':0.0,'8_16':0.0},(149,388):{'3_1':0.33,'5_1':0.06,'5_2':0.03,'7_1':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_9':0.0,'-3':0.0},(149,387):{'3_1':0.27,'6_2':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(149,386):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'-3':0.0},(149,385):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(149,384):{'3_1':0.27,'6_2':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(149,383):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(149,382):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(149,381):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.03,'-3':0.0,'7_2':0.0},(149,380):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(149,379):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_6':0.0,'6_3':0.0,'7_4':0.0},(149,378):{'3_1':0.09,'5_2':0.03,'6_3':0.0,'4_1':0.0,'-3':0.0},(149,377):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(149,376):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(149,375):{'3_1':0.12,'4_1':0.0,'7_1':0.0,'5_2':0.0,'8_16':0.0},(149,374):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(149,373):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(149,372):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(149,371):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(149,370):{'3_1':0.12,'5_1':0.0},(149,369):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(149,368):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(149,367):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(149,366):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(149,365):{'3_1':0.15,'4_1':0.0,'7_5':0.0,'7_7':0.0},(149,364):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(149,363):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(149,362):{'3_1':0.09,'6_2':0.0,'8_9':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(149,361):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(149,360):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(149,359):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(149,358):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(149,357):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(149,356):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(149,355):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(149,354):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_5':0.0},(149,353):{'3_1':0.06,'5_1':0.0},(149,352):{'3_1':0.09,'5_2':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_2':0.0},(149,351):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(149,350):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(149,349):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(149,348):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(149,347):{'3_1':0.03},(149,346):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0},(149,345):{'3_1':0.06,'4_1':0.0},(149,344):{'3_1':0.09,'4_1':0.03,'5_2':0.03},(149,343):{'3_1':0.06,'4_1':0.0},(149,342):{'3_1':0.06,'5_2':0.0},(149,341):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(149,340):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(149,339):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(149,338):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0},(149,337):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(149,336):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0},(149,335):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(149,334):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(149,333):{'3_1':0.12,'4_1':0.0},(149,332):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(149,331):{'3_1':0.06,'4_1':0.0},(149,330):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(149,329):{'3_1':0.03,'6_2':0.0},(149,328):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(149,327):{'3_1':0.0,'4_1':0.0},(149,326):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(149,325):{'3_1':0.09,'4_1':0.0},(149,324):{'4_1':0.03,'3_1':0.0},(149,323):{'3_1':0.03,'4_1':0.0},(149,322):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(149,321):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(149,320):{'3_1':0.06,'4_1':0.0},(149,319):{'3_1':0.03,'4_1':0.0},(149,318):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(149,317):{'4_1':0.03,'3_1':0.0},(149,316):{'3_1':0.03,'6_2':0.0},(149,315):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(149,314):{'3_1':0.03,'4_1':0.0},(149,313):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(149,312):{'3_1':0.03,'4_1':0.0},(149,311):{'3_1':0.03,'4_1':0.0},(149,310):{'3_1':0.06,'4_1':0.03},(149,309):{'3_1':0.03,'4_1':0.0},(149,308):{'4_1':0.0},(149,307):{'3_1':0.03},(149,306):{'3_1':0.03,'4_1':0.0},(149,305):{'3_1':0.0,'4_1':0.0},(149,304):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(149,303):{'3_1':0.06},(149,302):{'3_1':0.06,'5_2':0.0,'-3':0.0},(149,301):{'3_1':0.03,'4_1':0.0},(149,300):{'3_1':0.06,'-3':0.0},(149,299):{'3_1':0.0},(149,298):{'3_1':0.03},(149,297):{'3_1':0.03},(149,296):{'3_1':0.03,'4_1':0.0},(149,295):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(149,294):{'3_1':0.03,'4_1':0.03},(149,293):{'3_1':0.03,'4_1':0.0},(149,292):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(149,291):{'3_1':0.03,'4_1':0.0},(149,290):{'3_1':0.0},(149,289):{'3_1':0.0,'4_1':0.0},(149,288):{'3_1':0.0,'4_1':0.0},(149,287):{'3_1':0.0,'4_1':0.0},(149,286):{'3_1':0.03,'4_1':0.0},(149,285):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(149,284):{'3_1':0.03,'4_1':0.0},(149,283):{'3_1':0.03,'4_1':0.0},(149,282):{'3_1':0.03,'4_1':0.0},(149,281):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(149,280):{'3_1':0.0,'5_2':0.0},(149,279):{'3_1':0.06,'4_1':0.0},(149,278):{'3_1':0.03,'4_1':0.0},(149,277):{'3_1':0.0},(149,276):{'3_1':0.03},(149,275):{'3_1':0.03},(149,274):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(149,273):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(149,272):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(149,271):{'3_1':0.06},(149,270):{'3_1':0.06},(149,269):{'3_1':0.0},(149,268):{'3_1':0.03,'4_1':0.0},(149,267):{'3_1':0.0,'4_1':0.0},(149,266):{'3_1':0.06},(149,265):{'3_1':0.03},(149,264):{'4_1':0.0,'3_1':0.0},(149,263):{'3_1':0.03},(149,262):{'3_1':0.0,'4_1':0.0},(149,261):{'3_1':0.03,'4_1':0.03},(149,260):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(149,259):{'3_1':0.03,'4_1':0.0},(149,258):{'3_1':0.03,'4_1':0.0},(149,257):{'3_1':0.03,'4_1':0.0},(149,256):{'3_1':0.03},(149,255):{'3_1':0.03},(149,254):{'3_1':0.03,'4_1':0.0},(149,253):{'3_1':0.03,'4_1':0.0},(149,252):{'3_1':0.03,'4_1':0.0},(149,251):{'3_1':0.0},(149,250):{'3_1':0.06,'4_1':0.0},(149,249):{'3_1':0.03,'4_1':0.0},(149,248):{'3_1':0.0},(149,247):{'3_1':0.03,'4_1':0.0},(149,246):{'3_1':0.0},(149,245):{'3_1':0.0},(149,244):{'3_1':0.03},(149,243):{'3_1':0.0},(149,242):{'3_1':0.03},(149,241):{'3_1':0.0},(149,240):{'3_1':0.03},(149,239):{'3_1':0.03,'5_2':0.0},(149,238):{'3_1':0.0},(149,237):{'3_1':0.03},(149,236):{'3_1':0.03},(149,235):{'3_1':0.0},(149,234):{'3_1':0.03},(149,233):{'3_1':0.0,'5_2':0.0},(149,232):{'3_1':0.0},(149,231):{'3_1':0.0},(149,230):{'3_1':0.0},(149,229):{'3_1':0.0},(149,228):{'3_1':0.0},(149,227):{'3_1':0.0},(149,226):{'3_1':0.0},(149,225):{'3_1':0.0,'4_1':0.0},(149,223):{'3_1':0.0},(149,222):{'3_1':0.03},(149,220):{'3_1':0.0},(149,218):{'3_1':0.0,'4_1':0.0},(149,216):{'3_1':0.0},(149,215):{'3_1':0.0},(149,214):{'3_1':0.0},(149,211):{'3_1':0.0},(149,209):{'3_1':0.0},(149,208):{'3_1':0.0},(149,207):{'3_1':0.0},(149,206):{'3_1':0.0},(149,205):{'3_1':0.0},(149,204):{'3_1':0.0},(149,203):{'3_1':0.0},(149,201):{'3_1':0.0},(149,197):{'3_1':0.0},(149,193):{'4_1':0.0},(149,187):{'3_1':0.0},(150,459):{'3_1':0.84,'5_1':0.03,'7_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(150,458):{'3_1':0.9,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0},(150,457):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(150,456):{'3_1':0.87,'5_1':0.03,'7_1':0.0},(150,455):{'3_1':0.87,'5_1':0.0,'5_2':0.0},(150,454):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(150,453):{'3_1':0.87,'5_1':0.03},(150,452):{'3_1':0.84,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(150,451):{'3_1':0.78,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'6_2':0.0},(150,450):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(150,449):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'7_1':0.0,'4_1':0.0,'7_2':0.0},(150,448):{'3_1':0.75,'5_1':0.03,'6_2':0.03,'7_1':0.03,'5_2':0.0},(150,447):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0},(150,446):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0},(150,445):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(150,444):{'3_1':0.75,'5_1':0.12,'4_1':0.0,'8_2':0.0},(150,443):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(150,442):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0},(150,441):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_2':0.0},(150,440):{'3_1':0.72,'5_1':0.06,'7_1':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0},(150,439):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'8_2':0.0,'-3':0.0},(150,438):{'3_1':0.81,'5_1':0.06,'5_2':0.03,'7_1':0.0,'7_4':0.0},(150,437):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'7_1':0.0,'4_1':0.0,'8_19':0.0},(150,436):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(150,435):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0},(150,434):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(150,433):{'3_1':0.69,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(150,432):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0},(150,431):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0},(150,430):{'3_1':0.66,'5_1':0.09,'6_2':0.03,'5_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0},(150,429):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_4':0.0,'8_2':0.0,'8_19':0.0},(150,428):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'6_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0},(150,427):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(150,426):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(150,425):{'3_1':0.63,'5_1':0.21,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(150,424):{'3_1':0.66,'5_1':0.06,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'8_2':0.0},(150,423):{'3_1':0.69,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(150,422):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(150,421):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(150,420):{'3_1':0.57,'5_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0,'-3':0.0},(150,419):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(150,418):{'3_1':0.63,'5_1':0.12,'7_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0},(150,417):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(150,416):{'3_1':0.63,'5_1':0.12,'4_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0},(150,415):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0},(150,414):{'3_1':0.54,'5_1':0.12,'5_2':0.06,'7_1':0.03,'6_2':0.0,'8_2':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'8_9':0.0},(150,413):{'3_1':0.57,'5_1':0.12,'5_2':0.06,'8_2':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0,'8_14':0.0,'-3':0.0},(150,412):{'3_1':0.6,'5_1':0.18,'8_2':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0},(150,411):{'3_1':0.48,'5_1':0.15,'5_2':0.03,'6_2':0.03,'8_2':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0,'8_11':0.0,'6_3':0.0},(150,410):{'3_1':0.57,'5_1':0.18,'5_2':0.03,'8_2':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(150,409):{'3_1':0.54,'5_1':0.15,'5_2':0.06,'6_2':0.06,'8_2':0.03,'7_1':0.0,'7_5':0.0,'-3':0.0},(150,408):{'3_1':0.6,'5_1':0.12,'6_2':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(150,407):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(150,406):{'3_1':0.69,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0,'8_2':0.0},(150,405):{'3_1':0.57,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_2':0.0,'8_11':0.0,'-3':0.0,'6_1':0.0,'8_2':0.0},(150,404):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0},(150,403):{'3_1':0.78,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_9':0.0},(150,402):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(150,401):{'3_1':0.72,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(150,400):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0},(150,399):{'3_1':0.69,'5_1':0.03,'6_2':0.03,'4_1':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(150,398):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0},(150,397):{'3_1':0.63,'6_2':0.03,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_6':0.0},(150,396):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'7_1':0.0,'6_2':0.0,'7_5':0.0},(150,395):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_4':0.0,'4_1':0.0,'-3':0.0},(150,394):{'3_1':0.54,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(150,393):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(150,392):{'3_1':0.42,'5_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(150,391):{'3_1':0.54,'5_1':0.12,'5_2':0.06,'4_1':0.0,'7_4':0.0,'8_19':0.0},(150,390):{'3_1':0.42,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(150,389):{'3_1':0.51,'6_2':0.03,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'8_15':0.0,'-3':0.0},(150,388):{'3_1':0.36,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(150,387):{'3_1':0.33,'5_1':0.03,'6_2':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0},(150,386):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'6_2':0.03,'5_1':0.03,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(150,385):{'3_1':0.33,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_17':0.0},(150,384):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_17':0.0,'-3':0.0},(150,383):{'3_1':0.12,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_6':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(150,382):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(150,381):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(150,380):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_16':0.0},(150,379):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_16':0.0,'-3':0.0},(150,378):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(150,377):{'3_1':0.12,'5_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(150,376):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(150,375):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(150,374):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(150,373):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(150,372):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(150,371):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(150,370):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_3':0.0},(150,369):{'3_1':0.09,'4_1':0.03},(150,368):{'3_1':0.06,'4_1':0.0,'8_17':0.0,'5_2':0.0},(150,367):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(150,366):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(150,365):{'3_1':0.18,'4_1':0.0,'-3':0.0},(150,364):{'3_1':0.12,'4_1':0.0},(150,363):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(150,362):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(150,361):{'3_1':0.09,'5_1':0.0},(150,360):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(150,359):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0},(150,358):{'3_1':0.09,'5_1':0.0},(150,357):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(150,356):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(150,355):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(150,354):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(150,353):{'3_1':0.09,'5_2':0.0,'6_3':0.0,'8_9':0.0},(150,352):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(150,351):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(150,350):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(150,349):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(150,348):{'4_1':0.0,'5_2':0.0,'6_2':0.0},(150,347):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(150,346):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(150,345):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_3':0.0},(150,344):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(150,343):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(150,342):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(150,341):{'3_1':0.06,'6_2':0.0,'4_1':0.0},(150,340):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0},(150,339):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(150,338):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(150,337):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(150,336):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(150,335):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(150,334):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(150,333):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(150,332):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(150,331):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(150,330):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(150,329):{'3_1':0.06,'4_1':0.0,'8_11':0.0},(150,328):{'3_1':0.03,'4_1':0.03},(150,327):{'3_1':0.03,'4_1':0.0},(150,326):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(150,325):{'3_1':0.06,'4_1':0.0},(150,324):{'3_1':0.09},(150,323):{'3_1':0.0,'4_1':0.0},(150,322):{'3_1':0.03,'4_1':0.0},(150,321):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_3':0.0},(150,320):{'3_1':0.03,'4_1':0.0},(150,319):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(150,318):{'3_1':0.06,'4_1':0.0},(150,317):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(150,316):{'3_1':0.03,'4_1':0.0},(150,315):{'3_1':0.0,'4_1':0.0},(150,314):{'3_1':0.0,'4_1':0.0},(150,313):{'3_1':0.0,'4_1':0.0},(150,312):{'3_1':0.0,'4_1':0.0},(150,311):{'3_1':0.03,'4_1':0.0},(150,310):{'3_1':0.0,'4_1':0.0},(150,309):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(150,308):{'3_1':0.0,'4_1':0.0},(150,307):{'3_1':0.03,'8_21|3_1#4_1':0.0},(150,306):{'3_1':0.0,'4_1':0.0},(150,305):{'3_1':0.03,'6_3':0.0},(150,304):{'3_1':0.0},(150,303):{'3_1':0.03,'-3':0.0},(150,302):{'3_1':0.0,'4_1':0.0},(150,301):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(150,300):{'3_1':0.0},(150,299):{'3_1':0.03,'4_1':0.0},(150,298):{'3_1':0.03,'4_1':0.0},(150,297):{'3_1':0.0},(150,296):{'3_1':0.0,'4_1':0.0},(150,295):{'3_1':0.0,'4_1':0.0},(150,294):{'3_1':0.03},(150,293):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(150,292):{'3_1':0.03,'4_1':0.0},(150,291):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(150,290):{'3_1':0.03,'4_1':0.0},(150,289):{'3_1':0.0},(150,288):{'3_1':0.0,'4_1':0.0},(150,287):{'3_1':0.03,'4_1':0.0},(150,286):{'3_1':0.06,'4_1':0.0},(150,285):{'3_1':0.03,'4_1':0.0},(150,284):{'3_1':0.03,'4_1':0.0},(150,283):{'4_1':0.0},(150,282):{'3_1':0.03},(150,281):{'3_1':0.09,'4_1':0.0},(150,280):{'3_1':0.0,'4_1':0.0},(150,279):{'3_1':0.0,'4_1':0.0},(150,278):{'3_1':0.03},(150,277):{'3_1':0.0,'4_1':0.0},(150,276):{'3_1':0.0},(150,275):{'3_1':0.03,'4_1':0.0},(150,274):{'3_1':0.03},(150,273):{'3_1':0.06,'4_1':0.0},(150,272):{'3_1':0.03},(150,271):{'3_1':0.06,'4_1':0.0},(150,270):{'3_1':0.03,'4_1':0.0},(150,269):{'3_1':0.03,'4_1':0.0},(150,268):{'3_1':0.03,'4_1':0.0},(150,267):{'3_1':0.06,'4_1':0.0},(150,266):{'4_1':0.0},(150,265):{'3_1':0.0,'4_1':0.0},(150,264):{'4_1':0.0,'3_1':0.0},(150,263):{'3_1':0.06},(150,262):{'3_1':0.03,'4_1':0.0},(150,261):{'3_1':0.06},(150,260):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(150,259):{'3_1':0.03,'4_1':0.0},(150,258):{'3_1':0.03,'4_1':0.0},(150,257):{'3_1':0.0},(150,256):{'3_1':0.03,'4_1':0.0},(150,255):{'3_1':0.03},(150,254):{'3_1':0.03,'5_2':0.0},(150,253):{'3_1':0.03},(150,252):{'3_1':0.06,'4_1':0.0},(150,251):{'3_1':0.03,'4_1':0.0},(150,250):{'3_1':0.0},(150,249):{'3_1':0.03,'4_1':0.0},(150,248):{'3_1':0.03},(150,247):{'3_1':0.0},(150,246):{'3_1':0.0,'4_1':0.0},(150,245):{'3_1':0.03},(150,243):{'3_1':0.0},(150,242):{'3_1':0.03},(150,241):{'3_1':0.03},(150,240):{'3_1':0.03},(150,239):{'3_1':0.06},(150,238):{'3_1':0.06},(150,237):{'3_1':0.0},(150,236):{'3_1':0.0},(150,235):{'3_1':0.0},(150,234):{'3_1':0.0},(150,233):{'3_1':0.0},(150,232):{'3_1':0.0},(150,231):{'3_1':0.0},(150,230):{'3_1':0.0},(150,229):{'3_1':0.03},(150,228):{'3_1':0.0,'4_1':0.0},(150,227):{'3_1':0.0},(150,226):{'3_1':0.0},(150,225):{'3_1':0.0},(150,224):{'3_1':0.0},(150,220):{'3_1':0.0},(150,218):{'3_1':0.0},(150,217):{'3_1':0.0},(150,216):{'3_1':0.0},(150,215):{'3_1':0.03},(150,212):{'3_1':0.0},(150,211):{'3_1':0.0},(150,210):{'3_1':0.0},(150,209):{'3_1':0.0},(150,207):{'3_1':0.0},(150,206):{'3_1':0.0},(150,205):{'3_1':0.0},(150,204):{'3_1':0.0},(150,202):{'3_1':0.0},(150,200):{'3_1':0.0},(150,198):{'3_1':0.0},(150,197):{'3_1':0.0},(150,195):{'3_1':0.0},(150,189):{'3_1':0.0},(150,187):{'3_1':0.0},(151,459):{'3_1':0.87,'5_1':0.03,'5_2':0.0},(151,458):{'3_1':0.9,'5_1':0.0,'4_1':0.0,'5_2':0.0},(151,457):{'3_1':0.84,'5_1':0.03,'5_2':0.0},(151,456):{'3_1':0.87,'5_2':0.0,'4_1':0.0,'5_1':0.0},(151,455):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(151,454):{'3_1':0.9,'5_1':0.03,'5_2':0.0,'6_2':0.0},(151,453):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(151,452):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0},(151,451):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'4_1':0.0},(151,450):{'3_1':0.84,'5_1':0.03,'5_2':0.03,'7_1':0.0},(151,449):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(151,448):{'3_1':0.81,'5_1':0.03,'5_2':0.03},(151,447):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0},(151,446):{'3_1':0.84,'5_1':0.03,'5_2':0.0},(151,445):{'3_1':0.87,'5_1':0.03,'4_1':0.0,'5_2':0.0},(151,444):{'3_1':0.9,'5_1':0.0,'5_2':0.0,'6_2':0.0},(151,443):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'7_1':0.0,'7_5':0.0},(151,442):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0},(151,441):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(151,440):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'7_1':0.0},(151,439):{'3_1':0.78,'6_2':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0},(151,438):{'3_1':0.72,'5_1':0.12,'7_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(151,437):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(151,436):{'3_1':0.75,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(151,435):{'3_1':0.87,'5_2':0.03,'5_1':0.0,'-3':0.0,'8_2':0.0},(151,434):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(151,433):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(151,432):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(151,431):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(151,430):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'7_1':0.0},(151,429):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(151,428):{'3_1':0.81,'5_1':0.06,'4_1':0.0,'5_2':0.0},(151,427):{'3_1':0.72,'5_1':0.12,'5_2':0.03,'7_1':0.0,'7_3':0.0,'8_2':0.0},(151,426):{'3_1':0.69,'5_1':0.09,'7_1':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(151,425):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'7_1':0.0,'5_2':0.0,'8_2':0.0},(151,424):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'8_2':0.0,'6_2':0.0,'7_5':0.0},(151,423):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_14':0.0},(151,422):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(151,421):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'7_1':0.0,'6_2':0.0,'8_2':0.0,'8_11':0.0},(151,420):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0},(151,419):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(151,418):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_4':0.0},(151,417):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0},(151,416):{'3_1':0.66,'5_1':0.09,'8_2':0.03,'7_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_9':0.0},(151,415):{'3_1':0.66,'5_1':0.12,'5_2':0.06,'6_2':0.0,'8_2':0.0,'-3':0.0},(151,414):{'3_1':0.57,'5_1':0.15,'5_2':0.03,'7_5':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(151,413):{'3_1':0.54,'5_1':0.15,'5_2':0.03,'7_1':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(151,412):{'3_1':0.57,'5_1':0.15,'5_2':0.06,'6_2':0.03,'8_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(151,411):{'3_1':0.51,'5_1':0.12,'8_2':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(151,410):{'3_1':0.57,'5_1':0.15,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(151,409):{'3_1':0.54,'5_1':0.12,'5_2':0.09,'7_1':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0,'1':-0.03},(151,408):{'3_1':0.51,'5_1':0.15,'5_2':0.09,'6_2':0.03,'7_3':0.0,'7_1':0.0,'8_2':0.0,'8_4':0.0},(151,407):{'3_1':0.63,'5_1':0.12,'5_2':0.06,'8_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(151,406):{'3_1':0.69,'5_1':0.06,'6_2':0.03,'5_2':0.0,'7_3':0.0,'7_1':0.0},(151,405):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(151,404):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0},(151,403):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(151,402):{'3_1':0.72,'5_1':0.06,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(151,401):{'3_1':0.72,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(151,400):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_11':0.0},(151,399):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0},(151,398):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0},(151,397):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0},(151,396):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'4_1':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0},(151,395):{'3_1':0.63,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0},(151,394):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(151,393):{'3_1':0.42,'5_1':0.12,'5_2':0.06,'6_2':0.0,'4_1':0.0,'7_2':0.0,'-3':0.0},(151,392):{'3_1':0.45,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0},(151,391):{'3_1':0.57,'5_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(151,390):{'3_1':0.42,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(151,389):{'3_1':0.42,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0},(151,388):{'3_1':0.39,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0},(151,387):{'3_1':0.36,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(151,386):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'-3':0.0},(151,385):{'3_1':0.3,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_13':0.0,'-3':0.0},(151,384):{'3_1':0.24,'5_1':0.06,'6_2':0.03,'4_1':0.0,'-3':0.0,'5_2':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_13':0.0},(151,383):{'3_1':0.24,'4_1':0.06,'6_2':0.03,'5_1':0.0,'-3':0.0},(151,382):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'-3':0.0},(151,381):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(151,380):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(151,379):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0,'7_3':0.0},(151,378):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_6':0.0},(151,377):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'-3':0.0},(151,376):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0},(151,375):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_3':0.0,'7_2':0.0,'-3':0.0},(151,374):{'3_1':0.15,'5_2':0.0,'7_6':0.0},(151,373):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_16':0.0,'-3':0.0},(151,372):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(151,371):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(151,370):{'3_1':0.12,'5_2':0.0,'6_3':0.0,'7_5':0.0},(151,369):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(151,368):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(151,367):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(151,366):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_9':0.0,'8_17':0.0},(151,365):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_9':0.0},(151,364):{'3_1':0.12,'4_1':0.0},(151,363):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(151,362):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_17':0.0},(151,361):{'3_1':0.06,'8_17':0.0},(151,360):{'3_1':0.09,'4_1':0.0},(151,359):{'3_1':0.06},(151,358):{'3_1':0.06,'4_1':0.0},(151,357):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(151,356):{'3_1':0.06,'4_1':0.0},(151,355):{'3_1':0.12,'4_1':0.0},(151,354):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(151,353):{'3_1':0.12,'5_1':0.0},(151,352):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(151,351):{'3_1':0.09,'5_1':0.0},(151,350):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(151,349):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(151,348):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_3':0.0},(151,347):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(151,346):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(151,345):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(151,344):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(151,343):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(151,342):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(151,341):{'3_1':0.06,'4_1':0.0,'7_4':0.0},(151,340):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(151,339):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(151,338):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(151,337):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(151,336):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(151,335):{'3_1':0.06,'4_1':0.03},(151,334):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(151,333):{'3_1':0.09,'4_1':0.0},(151,332):{'3_1':0.06,'4_1':0.0},(151,331):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(151,330):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(151,329):{'3_1':0.06,'4_1':0.0},(151,328):{'3_1':0.09,'6_1':0.0,'4_1':0.0},(151,327):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(151,326):{'3_1':0.03,'4_1':0.0},(151,325):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(151,324):{'4_1':0.03,'3_1':0.0,'6_3':0.0},(151,323):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(151,322):{'4_1':0.03,'3_1':0.0},(151,321):{'3_1':0.03,'4_1':0.0},(151,320):{'3_1':0.03,'4_1':0.0},(151,319):{'3_1':0.03,'4_1':0.0},(151,318):{'3_1':0.0,'4_1':0.0},(151,317):{'3_1':0.03,'4_1':0.0},(151,316):{'3_1':0.0,'6_3':0.0},(151,315):{'3_1':0.0},(151,314):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(151,313):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(151,312):{'3_1':0.0,'4_1':0.0},(151,311):{'3_1':0.0,'4_1':0.0},(151,310):{'3_1':0.03},(151,309):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(151,308):{'3_1':0.03,'4_1':0.0},(151,307):{'3_1':0.03,'4_1':0.0},(151,306):{'3_1':0.03,'4_1':0.0},(151,305):{'3_1':0.03},(151,304):{'3_1':0.03},(151,303):{'3_1':0.0,'4_1':0.0},(151,302):{'3_1':0.0,'6_2':0.0},(151,301):{'3_1':0.03},(151,300):{'3_1':0.03,'8_20|3_1#3_1':0.0},(151,299):{'3_1':0.0,'4_1':0.0},(151,298):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(151,297):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(151,296):{'3_1':0.0,'4_1':0.0},(151,295):{'3_1':0.0,'4_1':0.0},(151,294):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(151,293):{'3_1':0.03},(151,292):{'3_1':0.03,'4_1':0.0},(151,291):{'3_1':0.03,'4_1':0.0},(151,290):{'3_1':0.0,'4_1':0.0},(151,289):{'3_1':0.03,'4_1':0.0},(151,288):{'3_1':0.03,'4_1':0.0},(151,287):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(151,286):{'3_1':0.03},(151,285):{'3_1':0.03},(151,284):{'3_1':0.0,'4_1':0.0},(151,283):{'3_1':0.0,'4_1':0.0},(151,282):{'3_1':0.06},(151,281):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(151,280):{'3_1':0.0,'4_1':0.0},(151,279):{'3_1':0.0,'4_1':0.0},(151,278):{'3_1':0.0},(151,277):{'3_1':0.03,'4_1':0.0},(151,276):{'3_1':0.03,'5_2':0.0},(151,275):{'3_1':0.06},(151,274):{'3_1':0.06},(151,273):{'3_1':0.03,'4_1':0.03},(151,272):{'3_1':0.03,'4_1':0.03},(151,271):{'3_1':0.0,'4_1':0.0},(151,270):{'3_1':0.0},(151,269):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(151,268):{'3_1':0.03,'4_1':0.0},(151,267):{'3_1':0.06,'4_1':0.0},(151,266):{'3_1':0.0,'4_1':0.0},(151,265):{'3_1':0.0,'4_1':0.0},(151,264):{'3_1':0.0},(151,263):{'3_1':0.0},(151,262):{'3_1':0.03,'6_1':0.0},(151,261):{'3_1':0.0},(151,260):{'3_1':0.03,'4_1':0.0},(151,259):{'3_1':0.03,'4_1':0.0},(151,258):{'3_1':0.03,'4_1':0.0},(151,257):{'3_1':0.0},(151,256):{'3_1':0.03},(151,255):{'3_1':0.03,'4_1':0.0},(151,254):{'3_1':0.06},(151,253):{'3_1':0.0,'4_1':0.0},(151,252):{'3_1':0.03,'4_1':0.0},(151,251):{'3_1':0.0},(151,250):{'3_1':0.0,'4_1':0.0},(151,249):{'3_1':0.03},(151,248):{'3_1':0.0},(151,247):{'3_1':0.03},(151,246):{'3_1':0.03},(151,245):{'3_1':0.06,'4_1':0.0},(151,244):{'3_1':0.0},(151,243):{'3_1':0.0},(151,242):{'3_1':0.03},(151,241):{'3_1':0.0},(151,240):{'3_1':0.0},(151,239):{'3_1':0.03},(151,238):{'3_1':0.0},(151,237):{'3_1':0.0},(151,236):{'3_1':0.0},(151,235):{'3_1':0.0},(151,234):{'3_1':0.03,'4_1':0.0},(151,233):{'3_1':0.0,'4_1':0.0},(151,232):{'3_1':0.0,'4_1':0.0},(151,231):{'3_1':0.0,'4_1':0.0},(151,230):{'3_1':0.0,'4_1':0.0},(151,229):{'3_1':0.03},(151,228):{'3_1':0.0},(151,227):{'3_1':0.0},(151,226):{'3_1':0.0},(151,225):{'3_1':0.03},(151,224):{'3_1':0.0},(151,222):{'3_1':0.0},(151,221):{'3_1':0.0},(151,219):{'3_1':0.0},(151,218):{'3_1':0.0},(151,217):{'3_1':0.0},(151,216):{'3_1':0.0},(151,215):{'3_1':0.0},(151,213):{'3_1':0.0},(151,212):{'3_1':0.0},(151,211):{'3_1':0.0},(151,210):{'3_1':0.0},(151,209):{'3_1':0.0},(151,208):{'3_1':0.0},(151,206):{'3_1':0.0},(151,204):{'3_1':0.0},(151,201):{'3_1':0.0},(151,200):{'3_1':0.0},(151,196):{'3_1':0.0},(151,194):{'3_1':0.0},(152,459):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0},(152,458):{'3_1':0.9,'5_1':0.0},(152,457):{'3_1':0.87,'5_1':0.0,'5_2':0.0},(152,456):{'3_1':0.87,'5_1':0.0,'5_2':0.0},(152,455):{'3_1':0.84,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(152,454):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_2':0.0},(152,453):{'3_1':0.87,'5_2':0.0,'4_1':0.0,'5_1':0.0},(152,452):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'7_1':0.0},(152,451):{'3_1':0.84,'5_1':0.06,'6_2':0.0,'7_1':0.0},(152,450):{'3_1':0.84,'5_2':0.03,'5_1':0.0,'6_2':0.0},(152,449):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_1':0.0,'4_1':0.0},(152,448):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0},(152,447):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0},(152,446):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_3':0.0},(152,445):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(152,444):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(152,443):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_2':0.0,'-3':0.0},(152,442):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0},(152,441):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(152,440):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(152,439):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(152,438):{'3_1':0.81,'5_2':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(152,437):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0},(152,436):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'7_1':0.0,'-3':0.0},(152,435):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(152,434):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'7_1':0.0,'8_2':0.0},(152,433):{'3_1':0.75,'5_1':0.06,'7_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(152,432):{'3_1':0.72,'5_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(152,431):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0},(152,430):{'3_1':0.72,'5_1':0.03,'8_2':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(152,429):{'3_1':0.69,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_14':0.0},(152,428):{'3_1':0.75,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(152,427):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(152,426):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_9':0.0,'-3':0.0},(152,425):{'3_1':0.66,'5_1':0.18,'5_2':0.03,'4_1':0.0,'7_1':0.0},(152,424):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(152,423):{'3_1':0.75,'5_1':0.06,'6_2':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(152,422):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_4':0.0,'8_6':0.0,'8_14':0.0,'-3':0.0},(152,421):{'3_1':0.63,'5_1':0.15,'8_2':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0},(152,420):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(152,419):{'3_1':0.63,'5_1':0.06,'5_2':0.06,'8_2':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0},(152,418):{'3_1':0.69,'5_1':0.12,'8_2':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(152,417):{'3_1':0.69,'5_1':0.06,'5_2':0.06,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_5':0.0},(152,416):{'3_1':0.63,'5_1':0.18,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(152,415):{'3_1':0.63,'5_1':0.12,'6_2':0.03,'5_2':0.03,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_7':0.0,'8_9':0.0,'-3':0.0},(152,414):{'3_1':0.48,'5_1':0.21,'8_2':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_9':0.0,'-3':0.0},(152,413):{'3_1':0.51,'5_1':0.24,'5_2':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0},(152,412):{'3_1':0.54,'5_1':0.18,'6_2':0.06,'5_2':0.03,'8_2':0.0,'7_5':0.0,'-3':0.0},(152,411):{'3_1':0.51,'5_1':0.24,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'6_1':0.0,'8_6':0.0},(152,410):{'3_1':0.54,'5_1':0.09,'5_2':0.06,'6_2':0.03,'8_2':0.03,'7_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_9':0.0},(152,409):{'3_1':0.51,'5_1':0.12,'5_2':0.09,'6_2':0.03,'7_1':0.03,'8_2':0.03,'7_2':0.0,'-3':0.0},(152,408):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'6_2':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(152,407):{'3_1':0.66,'5_1':0.06,'5_2':0.06,'7_3':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(152,406):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(152,405):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_2':0.0},(152,404):{'3_1':0.72,'5_2':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0},(152,403):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(152,402):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_1':0.0,'4_1':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(152,401):{'3_1':0.66,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(152,400):{'3_1':0.69,'5_1':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0,'-3':0.0},(152,399):{'3_1':0.63,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(152,398):{'3_1':0.6,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_6':0.0},(152,397):{'3_1':0.66,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_6':0.0,'-3':0.0},(152,396):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0},(152,395):{'3_1':0.66,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0},(152,394):{'3_1':0.6,'5_1':0.09,'4_1':0.0,'5_2':0.0,'7_6':0.0},(152,393):{'3_1':0.39,'5_1':0.15,'4_1':0.03,'5_2':0.0,'-3':0.0,'7_7':0.0,'8_4':0.0,'8_19':0.0},(152,392):{'3_1':0.45,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_17':0.0,'-3':0.0},(152,391):{'3_1':0.45,'5_1':0.09,'4_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0},(152,390):{'3_1':0.48,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_1':0.0},(152,389):{'3_1':0.39,'6_2':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_6':0.0,'-3':0.0},(152,388):{'3_1':0.45,'5_1':0.06,'4_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(152,387):{'3_1':0.27,'5_1':0.06,'6_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(152,386):{'3_1':0.33,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(152,385):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(152,384):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_2':0.03,'8_4':0.0,'-3':0.0},(152,383):{'3_1':0.21,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(152,382):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(152,381):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_9':0.0,'-3':0.0},(152,380):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_16':0.0},(152,379):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(152,378):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(152,377):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_7':0.0,'8_16':0.0},(152,376):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0},(152,375):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0},(152,374):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(152,373):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(152,372):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'5_1':0.0,'6_2':0.0},(152,371):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(152,370):{'3_1':0.06},(152,369):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0},(152,368):{'3_1':0.09,'4_1':0.0},(152,367):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(152,366):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(152,365):{'3_1':0.12,'4_1':0.03,'8_5':0.0},(152,364):{'3_1':0.09,'5_1':0.0},(152,363):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_16':0.0},(152,362):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(152,361):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(152,360):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(152,359):{'3_1':0.06},(152,358):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(152,357):{'3_1':0.06,'6_3':0.0},(152,356):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(152,355):{'3_1':0.06,'5_2':0.0},(152,354):{'3_1':0.12,'5_2':0.0},(152,353):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_9':0.0},(152,352):{'3_1':0.06},(152,351):{'3_1':0.12,'4_1':0.0},(152,350):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(152,349):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(152,348):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(152,347):{'3_1':0.06},(152,346):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(152,345):{'3_1':0.0,'4_1':0.0},(152,344):{'3_1':0.03,'4_1':0.0},(152,343):{'3_1':0.06,'4_1':0.0},(152,342):{'3_1':0.06,'4_1':0.03},(152,341):{'3_1':0.03,'4_1':0.0},(152,340):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(152,339):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(152,338):{'3_1':0.03,'4_1':0.0},(152,337):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(152,336):{'3_1':0.06,'4_1':0.0},(152,335):{'3_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0},(152,334):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(152,333):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(152,332):{'3_1':0.03,'4_1':0.0},(152,331):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(152,330):{'3_1':0.06,'4_1':0.0},(152,329):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(152,328):{'3_1':0.06,'4_1':0.0},(152,327):{'3_1':0.0,'4_1':0.0},(152,326):{'3_1':0.09,'4_1':0.0},(152,325):{'4_1':0.03,'3_1':0.0},(152,324):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(152,323):{'3_1':0.0},(152,322):{'3_1':0.03},(152,321):{'3_1':0.06,'4_1':0.0},(152,320):{'3_1':0.03,'4_1':0.0},(152,319):{'3_1':0.03,'4_1':0.0},(152,318):{'4_1':0.0},(152,317):{'3_1':0.03,'4_1':0.0},(152,316):{'3_1':0.06,'6_2':0.0,'8_21|3_1#4_1':0.0},(152,315):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(152,314):{'4_1':0.0,'3_1':0.0},(152,313):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(152,312):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(152,311):{'3_1':0.03,'4_1':0.0},(152,310):{'4_1':0.0},(152,309):{'3_1':0.0,'4_1':0.0},(152,308):{'3_1':0.0,'4_1':0.0},(152,307):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(152,306):{'3_1':0.0},(152,305):{'3_1':0.03},(152,304):{'3_1':0.03,'4_1':0.0,'-3':0.0},(152,303):{'3_1':0.03,'4_1':0.0},(152,302):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(152,301):{'3_1':0.03,'4_1':0.0},(152,300):{'3_1':0.06,'4_1':0.0},(152,299):{'3_1':0.03,'8_21|3_1#4_1':0.0},(152,298):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(152,297):{'3_1':0.06,'4_1':0.0},(152,296):{'3_1':0.03,'6_2':0.0},(152,295):{'3_1':0.0,'4_1':0.0},(152,294):{'3_1':0.03},(152,293):{'3_1':0.03,'4_1':0.0},(152,292):{'3_1':0.06,'4_1':0.0},(152,291):{'4_1':0.0,'3_1':0.0},(152,290):{'3_1':0.03,'4_1':0.0},(152,289):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(152,288):{'3_1':0.0,'4_1':0.0},(152,287):{'3_1':0.03},(152,286):{'3_1':0.03},(152,285):{'3_1':0.0,'4_1':0.0},(152,284):{'3_1':0.03,'4_1':0.0},(152,283):{'3_1':0.03,'4_1':0.0},(152,282):{'3_1':0.06},(152,281):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(152,280):{'3_1':0.03,'4_1':0.0},(152,279):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(152,278):{'3_1':0.03},(152,277):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(152,276):{'3_1':0.03},(152,275):{'3_1':0.03,'5_2':0.0},(152,274):{'3_1':0.09,'4_1':0.0},(152,273):{'3_1':0.0},(152,272):{'3_1':0.03,'4_1':0.0},(152,271):{'3_1':0.06,'4_1':0.0},(152,270):{'3_1':0.03},(152,269):{'3_1':0.06,'4_1':0.0},(152,268):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(152,267):{'4_1':0.0,'3_1':0.0},(152,266):{'3_1':0.0},(152,265):{'3_1':0.06},(152,264):{'3_1':0.06},(152,263):{'3_1':0.03},(152,262):{'3_1':0.03},(152,261):{'3_1':0.03,'4_1':0.0},(152,260):{'3_1':0.0,'4_1':0.0},(152,259):{'3_1':0.03,'4_1':0.0},(152,258):{'3_1':0.03,'4_1':0.0},(152,257):{'3_1':0.03,'4_1':0.0},(152,256):{'3_1':0.0},(152,255):{'3_1':0.06,'4_1':0.0},(152,254):{'3_1':0.06,'4_1':0.0},(152,253):{'3_1':0.03,'4_1':0.0},(152,252):{'3_1':0.0,'4_1':0.0},(152,251):{'3_1':0.0,'4_1':0.0},(152,250):{'3_1':0.06},(152,249):{'3_1':0.03,'4_1':0.0},(152,248):{'3_1':0.03},(152,247):{'3_1':0.0},(152,246):{'3_1':0.0},(152,245):{'3_1':0.03},(152,244):{'3_1':0.0},(152,243):{'3_1':0.0},(152,242):{'3_1':0.03},(152,241):{'3_1':0.0},(152,240):{'3_1':0.06},(152,239):{'3_1':0.0,'5_2':0.0},(152,238):{'3_1':0.0},(152,237):{'3_1':0.03},(152,236):{'3_1':0.0},(152,235):{'3_1':0.03},(152,234):{'3_1':0.0},(152,233):{'3_1':0.0},(152,232):{'3_1':0.0},(152,231):{'3_1':0.03},(152,230):{'3_1':0.03},(152,229):{'3_1':0.03},(152,228):{'3_1':0.03},(152,227):{'3_1':0.0},(152,226):{'3_1':0.03},(152,225):{'3_1':0.0},(152,224):{'3_1':0.0},(152,222):{'3_1':0.0},(152,221):{'3_1':0.03},(152,220):{'3_1':0.03},(152,219):{'3_1':0.03},(152,218):{'3_1':0.0},(152,217):{'3_1':0.03},(152,216):{'3_1':0.0},(152,215):{'3_1':0.0},(152,214):{'3_1':0.0},(152,213):{'3_1':0.0,'5_1':0.0},(152,212):{'3_1':0.0},(152,211):{'3_1':0.03},(152,210):{'3_1':0.0},(152,209):{'3_1':0.0},(152,208):{'3_1':0.0},(152,207):{'3_1':0.0},(152,206):{'3_1':0.0},(152,205):{'3_1':0.03},(152,204):{'3_1':0.0},(152,203):{'3_1':0.0},(152,202):{'3_1':0.0},(152,201):{'3_1':0.0},(152,200):{'3_1':0.0},(152,197):{'3_1':0.0},(152,196):{'3_1':0.0},(152,195):{'3_1':0.0},(152,185):{'3_1':0.0},(152,183):{'3_1':0.0},(152,179):{'3_1':0.0},(152,166):{'5_2':0.0},(153,459):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0},(153,458):{'3_1':0.84,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(153,457):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'7_4':0.0},(153,456):{'3_1':0.87,'5_2':0.0,'4_1':0.0,'7_4':0.0},(153,455):{'3_1':0.84,'5_1':0.06},(153,454):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_4':0.0},(153,453):{'3_1':0.81,'7_4':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(153,452):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0},(153,451):{'3_1':0.87,'5_1':0.0,'4_1':0.0,'7_4':0.0,'-3':0.0},(153,450):{'3_1':0.78,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0},(153,449):{'3_1':0.78,'5_1':0.06,'7_4':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(153,448):{'3_1':0.78,'5_1':0.0,'7_1':0.0,'7_4':0.0,'4_1':0.0,'5_2':0.0},(153,447):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'7_4':0.0,'4_1':0.0,'8_2':0.0},(153,446):{'3_1':0.75,'5_1':0.09,'7_4':0.0,'5_2':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(153,445):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_4':0.0},(153,444):{'3_1':0.81,'5_1':0.03,'7_4':0.0,'4_1':0.0},(153,443):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'7_1':0.0,'5_2':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(153,442):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_4':0.0},(153,441):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_4':0.0,'7_1':0.0},(153,440):{'3_1':0.75,'5_1':0.09,'4_1':0.0,'6_2':0.0,'7_4':0.0},(153,439):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(153,438):{'3_1':0.72,'5_1':0.12,'4_1':0.0,'8_2':0.0,'7_4':0.0},(153,437):{'3_1':0.78,'5_1':0.09,'7_4':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(153,436):{'3_1':0.75,'5_1':0.09,'7_4':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(153,435):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'7_4':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(153,434):{'3_1':0.69,'5_1':0.03,'7_4':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(153,433):{'3_1':0.78,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0,'-3':0.0},(153,432):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0},(153,431):{'3_1':0.75,'5_1':0.06,'-3':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(153,430):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(153,429):{'3_1':0.72,'5_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_4':0.0,'-3':0.0},(153,428):{'3_1':0.78,'5_1':0.06,'7_4':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(153,427):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(153,426):{'3_1':0.69,'5_1':0.06,'4_1':0.03,'5_2':0.0,'8_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(153,425):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(153,424):{'3_1':0.66,'5_1':0.09,'5_2':0.06,'8_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(153,423):{'3_1':0.63,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(153,422):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(153,421):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(153,420):{'3_1':0.72,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(153,419):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(153,418):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(153,417):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(153,416):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'7_3':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'8_14':0.0,'-3':0.0},(153,415):{'3_1':0.66,'5_1':0.06,'5_2':0.06,'6_2':0.0,'7_5':0.0,'8_2':0.0,'7_1':0.0},(153,414):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'8_2':0.03,'8_6':0.0,'7_5':0.0,'-3':0.0},(153,413):{'3_1':0.54,'5_1':0.09,'8_2':0.06,'5_2':0.03,'-3':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(153,412):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(153,411):{'3_1':0.57,'5_1':0.12,'5_2':0.06,'8_2':0.06,'6_2':0.03},(153,410):{'3_1':0.6,'5_1':0.15,'5_2':0.03,'8_2':0.0,'-3':0.0,'7_1':0.0,'4_1':0.0,'7_4':0.0},(153,409):{'3_1':0.63,'5_1':0.15,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0,'-3':0.0},(153,408):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(153,407):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'8_2':0.0},(153,406):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0},(153,405):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_3':0.0},(153,404):{'3_1':0.66,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0},(153,403):{'3_1':0.72,'5_1':0.03,'4_1':0.0,'6_1':0.0,'5_2':0.0,'7_4':0.0,'-3':0.0},(153,402):{'3_1':0.66,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_2':0.0},(153,401):{'3_1':0.63,'5_1':0.09,'6_2':0.03,'5_2':0.0,'-3':0.0,'7_4':0.0},(153,400):{'3_1':0.69,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(153,399):{'3_1':0.63,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0},(153,398):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(153,397):{'3_1':0.66,'5_1':0.06,'4_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0},(153,396):{'3_1':0.69,'5_2':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(153,395):{'3_1':0.54,'5_1':0.06,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0},(153,394):{'3_1':0.51,'5_1':0.06,'-3':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(153,393):{'3_1':0.45,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(153,392):{'3_1':0.39,'5_1':0.15,'4_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0},(153,391):{'3_1':0.45,'5_1':0.06,'5_2':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0},(153,390):{'3_1':0.45,'5_1':0.12,'4_1':0.03,'-3':0.0,'5_2':0.0,'7_4':0.0,'7_5':0.0},(153,389):{'3_1':0.36,'5_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.03,'-3':0.0,'7_4':0.0},(153,388):{'3_1':0.27,'5_1':0.06,'8_9':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(153,387):{'3_1':0.33,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(153,386):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_4':0.0},(153,385):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0},(153,384):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(153,383):{'3_1':0.18,'6_2':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(153,382):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(153,381):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(153,380):{'3_1':0.12,'6_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(153,379):{'3_1':0.12,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(153,378):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(153,377):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(153,376):{'3_1':0.03,'4_1':0.03,'5_2':0.03,'8_13':0.0},(153,375):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_6':0.0},(153,374):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(153,373):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(153,372):{'3_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_9':0.0},(153,371):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(153,370):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_16':0.0},(153,369):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(153,368):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_7':0.0},(153,367):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(153,366):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(153,365):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(153,364):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(153,363):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(153,362):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(153,361):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_6':0.0},(153,360):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(153,359):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(153,358):{'3_1':0.09,'5_2':0.0,'8_21|3_1#4_1':0.0},(153,357):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(153,356):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(153,355):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(153,354):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(153,353):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_13':0.0},(153,352):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(153,351):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(153,350):{'3_1':0.03,'4_1':0.0},(153,349):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(153,348):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'5_1':0.0},(153,347):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(153,346):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(153,345):{'3_1':0.03,'4_1':0.03},(153,344):{'3_1':0.03,'5_2':0.0},(153,343):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(153,342):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(153,341):{'3_1':0.06,'4_1':0.0},(153,340):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(153,339):{'3_1':0.09,'4_1':0.0},(153,338):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(153,337):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(153,336):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(153,335):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(153,334):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(153,333):{'3_1':0.09,'6_3':0.0},(153,332):{'4_1':0.03,'3_1':0.0},(153,331):{'3_1':0.06,'4_1':0.0},(153,330):{'3_1':0.06,'5_2':0.0},(153,329):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0},(153,328):{'3_1':0.06,'4_1':0.03},(153,327):{'3_1':0.03,'5_2':0.0},(153,326):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(153,325):{'3_1':0.03,'4_1':0.0},(153,324):{'3_1':0.0,'4_1':0.0},(153,323):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(153,322):{'4_1':0.03,'3_1':0.03},(153,321):{'3_1':0.03},(153,320):{'4_1':0.0,'3_1':0.0},(153,319):{'3_1':0.06,'4_1':0.0},(153,318):{'3_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(153,317):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(153,316):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(153,315):{'3_1':0.03,'4_1':0.0},(153,314):{'3_1':0.06,'4_1':0.0},(153,313):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(153,312):{'3_1':0.03,'4_1':0.03},(153,311):{'3_1':0.03,'4_1':0.0},(153,310):{'3_1':0.03,'4_1':0.0},(153,309):{'4_1':0.0,'3_1':0.0},(153,308):{'3_1':0.0,'4_1':0.0},(153,307):{'3_1':0.03},(153,306):{'3_1':0.0,'4_1':0.0},(153,305):{'3_1':0.03,'4_1':0.0},(153,304):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(153,303):{'3_1':0.06,'6_2':0.0},(153,302):{'3_1':0.06,'6_2':0.0},(153,301):{'3_1':0.03,'7_7':0.0,'8_21|3_1#4_1':0.0},(153,300):{'3_1':0.06},(153,299):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(153,298):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(153,297):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(153,296):{'3_1':0.03,'4_1':0.0},(153,295):{'3_1':0.0,'4_1':0.0},(153,294):{'3_1':0.06,'4_1':0.0},(153,293):{'3_1':0.03,'4_1':0.0},(153,292):{'3_1':0.06},(153,291):{'3_1':0.03,'4_1':0.0},(153,290):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(153,289):{'3_1':0.0},(153,288):{'3_1':0.03,'4_1':0.0},(153,287):{'3_1':0.03},(153,286):{'3_1':0.03,'4_1':0.0},(153,285):{'3_1':0.06},(153,284):{'3_1':0.03},(153,283):{'3_1':0.0,'4_1':0.0},(153,282):{'3_1':0.03,'4_1':0.0},(153,281):{'3_1':0.03},(153,280):{'3_1':0.06,'4_1':0.0},(153,279):{'3_1':0.06},(153,278):{'3_1':0.06,'4_1':0.0},(153,277):{'3_1':0.03,'4_1':0.0},(153,276):{'3_1':0.03,'4_1':0.0},(153,275):{'3_1':0.03},(153,274):{'3_1':0.06,'5_2':0.0},(153,273):{'3_1':0.03},(153,272):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(153,271):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(153,270):{'3_1':0.09,'4_1':0.0},(153,269):{'3_1':0.03},(153,268):{'3_1':0.06},(153,267):{'3_1':0.06},(153,266):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(153,265):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(153,264):{'3_1':0.06,'4_1':0.0},(153,263):{'3_1':0.03,'4_1':0.0},(153,262):{'4_1':0.0,'5_2':0.0},(153,261):{'3_1':0.0,'5_2':0.0},(153,260):{'3_1':0.0},(153,259):{'3_1':0.03,'4_1':0.03},(153,258):{'3_1':0.03,'4_1':0.0},(153,257):{'3_1':0.03,'4_1':0.0},(153,256):{'3_1':0.03},(153,255):{'3_1':0.03,'4_1':0.0},(153,254):{'3_1':0.0},(153,253):{'3_1':0.0,'4_1':0.0},(153,252):{'3_1':0.0,'4_1':0.0},(153,251):{'3_1':0.03},(153,250):{'3_1':0.0},(153,249):{'3_1':0.0,'4_1':0.0},(153,248):{'3_1':0.06},(153,247):{'3_1':0.03,'4_1':0.0},(153,246):{'3_1':0.0,'4_1':0.0},(153,245):{'3_1':0.0},(153,244):{'3_1':0.0},(153,243):{'3_1':0.0},(153,242):{'3_1':0.0},(153,241):{'3_1':0.0},(153,240):{'3_1':0.0},(153,239):{'3_1':0.0},(153,238):{'3_1':0.03},(153,237):{'3_1':0.03,'4_1':0.0},(153,236):{'3_1':0.0},(153,235):{'3_1':0.06},(153,234):{'3_1':0.0},(153,233):{'3_1':0.03,'4_1':0.0},(153,232):{'3_1':0.0},(153,231):{'3_1':0.03},(153,230):{'3_1':0.0},(153,229):{'3_1':0.03},(153,227):{'3_1':0.03},(153,226):{'3_1':0.0},(153,225):{'3_1':0.03},(153,224):{'3_1':0.0},(153,222):{'3_1':0.03},(153,221):{'3_1':0.0},(153,220):{'3_1':0.0},(153,219):{'3_1':0.0},(153,218):{'3_1':0.0},(153,217):{'3_1':0.0},(153,216):{'3_1':0.0},(153,215):{'3_1':0.0},(153,214):{'3_1':0.0},(153,213):{'3_1':0.0},(153,212):{'3_1':0.0},(153,211):{'3_1':0.03},(153,210):{'3_1':0.0},(153,209):{'3_1':0.03},(153,208):{'3_1':0.0},(153,207):{'3_1':0.0},(153,206):{'3_1':0.0},(153,204):{'3_1':0.0},(153,202):{'3_1':0.0},(153,201):{'3_1':0.0},(153,197):{'3_1':0.0},(153,196):{'3_1':0.0},(153,193):{'3_1':0.0},(153,187):{'3_1':0.0},(153,186):{'3_1':0.0},(153,178):{'3_1':0.0},(153,174):{'3_1':0.0},(154,459):{'3_1':0.87,'4_1':0.0,'5_1':0.0,'-3':0.0},(154,458):{'3_1':0.84,'7_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0,'5_1':0.0,'8_2':0.0},(154,457):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0},(154,456):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(154,455):{'3_1':0.87,'5_2':0.0,'5_1':0.0,'-3':0.0},(154,454):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0},(154,453):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'6_2':0.0},(154,452):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(154,451):{'3_1':0.81,'5_1':0.06,'5_2':0.0,'7_4':0.0,'-3':0.0},(154,450):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_14':0.0},(154,449):{'3_1':0.84,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0},(154,448):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0},(154,447):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'8_2':0.0,'4_1':0.0,'7_1':0.0},(154,446):{'3_1':0.75,'5_1':0.03,'-3':0.0,'5_2':0.0,'7_4':0.0},(154,445):{'3_1':0.78,'5_1':0.0,'6_2':0.0},(154,444):{'3_1':0.78,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0},(154,443):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(154,442):{'3_1':0.75,'5_1':0.03,'7_3':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(154,441):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(154,440):{'3_1':0.84,'5_1':0.03,'7_4':0.0,'4_1':0.0},(154,439):{'3_1':0.75,'5_1':0.03,'7_4':0.03,'5_2':0.0,'7_1':0.0},(154,438):{'3_1':0.81,'5_2':0.0,'5_1':0.0,'7_4':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(154,437):{'3_1':0.78,'5_1':0.03,'5_2':0.03,'7_4':0.0,'8_2':0.0,'-3':0.0},(154,436):{'3_1':0.78,'-3':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0},(154,435):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(154,434):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(154,433):{'3_1':0.78,'5_1':0.06,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(154,432):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(154,431):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0,'8_2':0.0},(154,430):{'3_1':0.75,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(154,429):{'3_1':0.78,'5_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0,'8_6':0.0},(154,428):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(154,427):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0},(154,426):{'3_1':0.72,'5_1':0.06,'8_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0,'-3':0.0},(154,425):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'8_2':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_14':0.0},(154,424):{'3_1':0.66,'5_1':0.06,'7_4':0.0,'5_2':0.0,'-3':0.0,'7_1':0.0,'8_2':0.0},(154,423):{'3_1':0.69,'5_1':0.09,'4_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_4':0.0,'8_9':0.0,'-3':0.0},(154,422):{'3_1':0.72,'5_1':0.03,'-3':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(154,421):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(154,420):{'3_1':0.66,'5_1':0.09,'8_2':0.03,'-3':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_7':0.0},(154,419):{'3_1':0.63,'5_1':0.09,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(154,418):{'3_1':0.63,'5_1':0.09,'8_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(154,417):{'3_1':0.66,'5_1':0.09,'8_2':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(154,416):{'3_1':0.63,'5_1':0.15,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0},(154,415):{'3_1':0.57,'5_1':0.09,'-3':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_4':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0},(154,414):{'3_1':0.54,'5_1':0.15,'8_2':0.03,'6_2':0.03,'5_2':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'8_6':0.0,'8_9':0.0,'-3':0.0},(154,413):{'3_1':0.54,'5_1':0.18,'5_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(154,412):{'3_1':0.6,'5_1':0.12,'8_2':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0,'7_1':0.0},(154,411):{'3_1':0.48,'5_1':0.18,'8_2':0.03,'6_2':0.03,'5_2':0.0,'-3':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_9':0.0},(154,410):{'3_1':0.57,'5_1':0.18,'-3':0.06,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0},(154,409):{'3_1':0.54,'5_1':0.12,'5_2':0.06,'6_2':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0},(154,408):{'3_1':0.63,'5_1':0.09,'6_2':0.03,'8_2':0.03,'7_1':0.0,'4_1':0.0,'7_5':0.0,'5_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(154,407):{'3_1':0.66,'5_2':0.09,'5_1':0.06,'6_2':0.0,'7_2':0.0,'7_3':0.0},(154,406):{'3_1':0.66,'5_1':0.09,'7_3':0.03,'6_2':0.0,'7_4':0.0,'5_2':0.0,'7_2':0.0,'-3':0.0},(154,405):{'3_1':0.72,'5_1':0.03,'-3':0.03,'5_2':0.0,'7_4':0.0,'6_2':0.0,'4_1':0.0},(154,404):{'3_1':0.63,'5_1':0.06,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0},(154,403):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0},(154,402):{'3_1':0.66,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(154,401):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'6_2':0.0,'6_3':0.0},(154,400):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(154,399):{'3_1':0.66,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(154,398):{'3_1':0.57,'6_2':0.03,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(154,397):{'3_1':0.63,'5_1':0.06,'6_2':0.0,'4_1':0.0,'7_4':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(154,396):{'3_1':0.69,'5_1':0.0,'5_2':0.0,'7_4':0.0,'-3':0.0},(154,395):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(154,394):{'3_1':0.57,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'8_19':0.0},(154,393):{'3_1':0.45,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0,'1':-0.03},(154,392):{'3_1':0.48,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0},(154,391):{'3_1':0.42,'5_1':0.06,'5_2':0.06,'7_1':0.0,'7_3':0.0,'-3':0.0},(154,390):{'3_1':0.36,'5_1':0.06,'5_2':0.03,'4_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(154,389):{'3_1':0.3,'4_1':0.06,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0},(154,388):{'3_1':0.39,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(154,387):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'6_1':0.0,'-3':0.0},(154,386):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0},(154,385):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(154,384):{'3_1':0.18,'5_1':0.15,'4_1':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_4':0.0,'7_6':0.0},(154,383):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_9':0.0,'8_19':0.0},(154,382):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'7_4':0.0,'5_2':0.0,'6_2':0.0,'8_13':0.0},(154,381):{'3_1':0.18,'5_2':0.03,'6_2':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0},(154,380):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0},(154,379):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_4':0.0},(154,378):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(154,377):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_8':0.0},(154,376):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'-3':0.0},(154,375):{'3_1':0.12,'5_1':0.0,'6_3':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(154,374):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(154,373):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'8_16':0.0},(154,372):{'3_1':0.09,'5_2':0.03,'6_3':0.0},(154,371):{'3_1':0.03,'4_1':0.0},(154,370):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(154,369):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(154,368):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(154,367):{'3_1':0.03,'5_2':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0},(154,366):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(154,365):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(154,364):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_9':0.0},(154,363):{'3_1':0.06,'5_1':0.0,'8_21|3_1#4_1':0.0},(154,362):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_3':0.0},(154,361):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_16':0.0},(154,360):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(154,359):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(154,358):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(154,357):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(154,356):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_17':0.0,'8_21|3_1#4_1':0.0},(154,355):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(154,354):{'3_1':0.12,'5_2':0.03},(154,353):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(154,352):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_16':0.0},(154,351):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(154,350):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(154,349):{'3_1':0.06,'6_2':0.0,'6_3':0.0},(154,348):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(154,347):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(154,346):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(154,345):{'3_1':0.03,'5_2':0.0,'7_6':0.0},(154,344):{'3_1':0.03,'4_1':0.0},(154,343):{'3_1':0.03,'4_1':0.0},(154,342):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(154,341):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(154,340):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(154,339):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(154,338):{'3_1':0.06,'4_1':0.0},(154,337):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(154,336):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(154,335):{'4_1':0.03,'3_1':0.0},(154,334):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(154,333):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(154,332):{'3_1':0.06,'4_1':0.03},(154,331):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(154,330):{'3_1':0.09,'4_1':0.03},(154,329):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(154,328):{'3_1':0.06,'4_1':0.0},(154,327):{'3_1':0.06,'4_1':0.03},(154,326):{'3_1':0.06,'4_1':0.03},(154,325):{'3_1':0.03},(154,324):{'3_1':0.0,'4_1':0.0},(154,323):{'4_1':0.03,'3_1':0.0},(154,322):{'3_1':0.03,'4_1':0.0},(154,321):{'3_1':0.03,'4_1':0.03},(154,320):{'3_1':0.06,'6_3':0.0},(154,319):{'3_1':0.06},(154,318):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(154,317):{'3_1':0.03,'4_1':0.03},(154,316):{'3_1':0.0,'4_1':0.0},(154,315):{'3_1':0.03,'4_1':0.0},(154,314):{'3_1':0.03,'4_1':0.0},(154,313):{'3_1':0.03,'4_1':0.0},(154,312):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_3':0.0},(154,311):{'3_1':0.0,'4_1':0.0},(154,310):{'4_1':0.03,'3_1':0.0},(154,309):{'3_1':0.03},(154,308):{'3_1':0.06},(154,307):{'3_1':0.0},(154,306):{'4_1':0.0},(154,305):{'3_1':0.0,'6_2':0.0},(154,304):{'3_1':0.0},(154,303):{'3_1':0.0},(154,302):{'3_1':0.06},(154,301):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(154,300):{'3_1':0.03,'5_2':0.0},(154,299):{'3_1':0.03,'4_1':0.0},(154,298):{'3_1':0.03},(154,297):{'3_1':0.03},(154,296):{'4_1':0.0,'3_1':0.0},(154,295):{'3_1':0.03,'4_1':0.0},(154,294):{'3_1':0.03},(154,293):{'3_1':0.06},(154,292):{'3_1':0.06,'4_1':0.0},(154,291):{'3_1':0.0},(154,290):{'3_1':0.06,'4_1':0.0},(154,289):{'3_1':0.06,'4_1':0.0},(154,288):{'3_1':0.06,'4_1':0.0},(154,287):{'3_1':0.03},(154,286):{'3_1':0.03},(154,285):{'3_1':0.0,'4_1':0.0},(154,284):{'3_1':0.06,'4_1':0.0},(154,283):{'3_1':0.03,'4_1':0.0},(154,282):{'3_1':0.03},(154,281):{'3_1':0.03},(154,280):{'3_1':0.03,'5_2':0.0},(154,279):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(154,278):{'3_1':0.03},(154,277):{'3_1':0.03,'4_1':0.0},(154,276):{'3_1':0.0,'4_1':0.0},(154,275):{'3_1':0.0},(154,274):{'3_1':0.06,'4_1':0.0},(154,273):{'3_1':0.03,'4_1':0.0},(154,272):{'3_1':0.03,'4_1':0.0},(154,271):{'3_1':0.0},(154,270):{'3_1':0.0},(154,269):{'3_1':0.03},(154,268):{'3_1':0.03},(154,267):{'3_1':0.06},(154,266):{'3_1':0.0,'4_1':0.0},(154,265):{'3_1':0.06},(154,264):{'3_1':0.03,'4_1':0.0},(154,263):{'3_1':0.03,'4_1':0.0},(154,262):{'3_1':0.0,'4_1':0.0},(154,261):{'3_1':0.06},(154,260):{'3_1':0.03},(154,259):{'3_1':0.06,'4_1':0.0},(154,258):{'3_1':0.0},(154,257):{'3_1':0.03},(154,256):{'3_1':0.03,'4_1':0.0},(154,255):{'3_1':0.06,'4_1':0.0},(154,254):{'3_1':0.0},(154,253):{'3_1':0.03},(154,252):{'3_1':0.03},(154,251):{'3_1':0.03},(154,250):{'3_1':0.03},(154,249):{'3_1':0.0},(154,248):{'3_1':0.06},(154,247):{'3_1':0.0},(154,246):{'3_1':0.03},(154,245):{'3_1':0.03},(154,244):{'3_1':0.0},(154,243):{'3_1':0.03},(154,242):{'3_1':0.0,'4_1':0.0},(154,241):{'3_1':0.03},(154,240):{'3_1':0.0},(154,239):{'3_1':0.0},(154,238):{'3_1':0.0},(154,237):{'3_1':0.0},(154,236):{'3_1':0.03},(154,235):{'3_1':0.0},(154,234):{'3_1':0.0},(154,233):{'3_1':0.0},(154,232):{'3_1':0.0},(154,231):{'3_1':0.0},(154,230):{'3_1':0.0},(154,229):{'3_1':0.0},(154,228):{'3_1':0.0},(154,227):{'3_1':0.0},(154,226):{'3_1':0.0},(154,225):{'3_1':0.0},(154,224):{'3_1':0.0},(154,223):{'3_1':0.0},(154,222):{'3_1':0.0},(154,221):{'3_1':0.0},(154,220):{'3_1':0.0},(154,218):{'3_1':0.0},(154,217):{'3_1':0.0},(154,216):{'3_1':0.0},(154,215):{'3_1':0.0},(154,214):{'3_1':0.0},(154,213):{'3_1':0.03},(154,212):{'3_1':0.0},(154,210):{'3_1':0.0},(154,209):{'3_1':0.0},(154,208):{'3_1':0.0},(154,207):{'3_1':0.0},(154,206):{'3_1':0.0},(154,205):{'3_1':0.0},(154,204):{'3_1':0.0},(154,202):{'3_1':0.0},(154,201):{'3_1':0.0},(154,200):{'3_1':0.0},(154,198):{'3_1':0.0},(154,197):{'3_1':0.0},(154,184):{'3_1':0.0},(154,180):{'3_1':0.0},(154,179):{'3_1':0.0},(154,177):{'4_1':0.0},(154,162):{'3_1':0.0},(155,459):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_4':0.0},(155,458):{'3_1':0.78,'5_1':0.03,'7_4':0.03,'7_1':0.0,'5_2':0.0,'7_5':0.0},(155,457):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(155,456):{'3_1':0.84,'5_1':0.06,'7_4':0.0,'4_1':0.0,'8_2':0.0},(155,455):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(155,454):{'3_1':0.78,'5_1':0.03,'-3':0.0,'5_2':0.0},(155,453):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'7_1':0.0},(155,452):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(155,451):{'3_1':0.75,'5_1':0.03,'7_4':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(155,450):{'3_1':0.84,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_9':0.0},(155,449):{'3_1':0.81,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_1':0.0},(155,448):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(155,447):{'3_1':0.72,'4_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'5_1':0.0},(155,446):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0},(155,445):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'7_4':0.03,'7_1':0.0,'4_1':0.0},(155,444):{'3_1':0.78,'4_1':0.03,'5_1':0.03,'-3':0.0,'6_2':0.0,'7_4':0.0},(155,443):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'5_2':0.0},(155,442):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(155,441):{'3_1':0.84,'-3':0.03,'5_1':0.0,'7_1':0.0},(155,440):{'3_1':0.72,'5_1':0.06,'-3':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(155,439):{'3_1':0.72,'5_1':0.03,'7_4':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(155,438):{'3_1':0.66,'5_1':0.03,'5_2':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(155,437):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0,'8_4':0.0},(155,436):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(155,435):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(155,434):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0},(155,433):{'3_1':0.78,'5_1':0.03,'-3':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(155,432):{'3_1':0.66,'5_1':0.09,'7_4':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(155,431):{'3_1':0.66,'5_1':0.09,'7_4':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0},(155,430):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(155,429):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'-3':0.0,'7_4':0.0},(155,428):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'7_4':0.0,'8_2':0.0,'1':-0.03},(155,427):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(155,426):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'7_4':0.0,'6_2':0.0,'8_2':0.0},(155,425):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(155,424):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(155,423):{'3_1':0.66,'5_1':0.12,'4_1':0.03,'5_2':0.0,'8_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(155,422):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(155,421):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'-3':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_4':0.0},(155,420):{'3_1':0.6,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'4_1':0.0,'8_6':0.0,'-3':0.0},(155,419):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'-3':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(155,418):{'3_1':0.63,'5_1':0.09,'8_2':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0},(155,417):{'3_1':0.63,'5_1':0.09,'8_2':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0},(155,416):{'3_1':0.54,'5_1':0.15,'-3':0.03,'5_2':0.0,'7_1':0.0,'7_4':0.0,'6_2':0.0,'8_2':0.0},(155,415):{'3_1':0.54,'5_1':0.15,'-3':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0,'4_1':0.0,'8_2':0.0,'7_1':0.0,'8_14':0.0},(155,414):{'3_1':0.54,'5_1':0.15,'8_2':0.03,'5_2':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(155,413):{'3_1':0.6,'5_1':0.15,'5_2':0.06,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'1':-0.03},(155,412):{'3_1':0.54,'5_1':0.15,'5_2':0.06,'6_2':0.0,'-3':0.0,'8_2':0.0,'7_1':0.0,'8_6':0.0},(155,411):{'3_1':0.51,'5_1':0.12,'5_2':0.03,'8_2':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0},(155,410):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'7_1':0.03,'-3':0.03,'6_2':0.0,'4_1':0.0,'8_2':0.0},(155,409):{'3_1':0.42,'5_1':0.24,'5_2':0.03,'6_2':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'4_1':0.0,'8_6':0.0,'8_7':0.0},(155,408):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0,'9_1':0.0},(155,407):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'6_2':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(155,406):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(155,405):{'3_1':0.63,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(155,404):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'6_2':0.0,'-3':0.0},(155,403):{'3_1':0.6,'5_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(155,402):{'3_1':0.66,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(155,401):{'3_1':0.57,'5_1':0.09,'5_2':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0},(155,400):{'3_1':0.6,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(155,399):{'3_1':0.66,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0},(155,398):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(155,397):{'3_1':0.63,'5_1':0.06,'-3':0.03,'4_1':0.0,'7_4':0.0,'6_3':0.0,'7_6':0.0},(155,396):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_4':0.0,'-3':0.0},(155,395):{'3_1':0.51,'5_1':0.09,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(155,394):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0},(155,393):{'3_1':0.45,'5_1':0.09,'5_2':0.0,'7_4':0.0,'-3':0.0},(155,392):{'3_1':0.48,'5_2':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_2':0.0},(155,391):{'3_1':0.45,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_4':0.0,'8_13':0.0},(155,390):{'3_1':0.42,'5_1':0.06,'4_1':0.03,'-3':0.0,'5_2':0.0,'7_4':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0},(155,389):{'3_1':0.36,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0},(155,388):{'3_1':0.39,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_4':0.0,'-3':0.0},(155,387):{'3_1':0.33,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(155,386):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'6_2':0.03,'-3':0.03,'5_2':0.0,'7_4':0.0,'6_1':0.0,'7_6':0.0},(155,385):{'3_1':0.3,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(155,384):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_13':0.0},(155,383):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_3':0.0},(155,382):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'5_2':0.0,'8_16':0.0},(155,381):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(155,380):{'3_1':0.09,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0,'8_7':0.0,'-3':0.0},(155,379):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0},(155,378):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(155,377):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'-3':0.0},(155,376):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(155,375):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0},(155,374):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(155,373):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0},(155,372):{'3_1':0.12,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(155,371):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0},(155,370):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(155,369):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(155,368):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'8_5':0.0},(155,367):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(155,366):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(155,365):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'-3':0.0},(155,364):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(155,363):{'3_1':0.06,'5_2':0.03},(155,362):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(155,361):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'8_13':0.0},(155,360):{'3_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(155,359):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0,'5_2':0.0,'8_9':0.0},(155,358):{'3_1':0.06,'5_2':0.0,'8_9':0.0},(155,357):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(155,356):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(155,355):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0},(155,354):{'3_1':0.09,'5_1':0.03,'5_2':0.0},(155,353):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(155,352):{'3_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(155,351):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_9':0.0},(155,350):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0},(155,349):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(155,348):{'3_1':0.03,'4_1':0.0},(155,347):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(155,346):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(155,345):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(155,344):{'3_1':0.09,'4_1':0.0},(155,343):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(155,342):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(155,341):{'3_1':0.09,'4_1':0.03,'-3':0.0},(155,340):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0},(155,339):{'3_1':0.06,'5_2':0.0,'7_7':0.0},(155,338):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(155,337):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(155,336):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(155,335):{'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(155,334):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(155,333):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(155,332):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(155,331):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(155,330):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(155,329):{'3_1':0.09,'8_20|3_1#3_1':0.0,'4_1':0.0},(155,328):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0},(155,327):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(155,326):{'3_1':0.03,'4_1':0.0},(155,325):{'3_1':0.0,'4_1':0.0},(155,324):{'3_1':0.0,'4_1':0.0},(155,323):{'3_1':0.09},(155,322):{'3_1':0.09,'4_1':0.0},(155,321):{'3_1':0.06},(155,320):{'3_1':0.03,'4_1':0.0},(155,319):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(155,318):{'3_1':0.03,'4_1':0.0},(155,317):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0},(155,316):{'3_1':0.03},(155,315):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(155,314):{'3_1':0.03,'4_1':0.0},(155,313):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(155,312):{'3_1':0.0,'4_1':0.0},(155,311):{'3_1':0.06,'4_1':0.0},(155,310):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(155,309):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(155,308):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(155,307):{'3_1':0.0,'4_1':0.0},(155,306):{'3_1':0.03,'4_1':0.0},(155,305):{'3_1':0.0},(155,304):{'3_1':0.03,'5_1':0.0},(155,303):{'3_1':0.03,'4_1':0.0},(155,302):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(155,301):{'3_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(155,300):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(155,299):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(155,298):{'3_1':0.06,'4_1':0.0},(155,297):{'3_1':0.06},(155,296):{'3_1':0.06,'4_1':0.0},(155,295):{'3_1':0.03,'6_2':0.0},(155,294):{'3_1':0.03},(155,293):{'3_1':0.06,'4_1':0.0},(155,292):{'3_1':0.0,'8_20|3_1#3_1':0.0},(155,291):{'3_1':0.0,'4_1':0.0},(155,290):{'3_1':0.06},(155,289):{'3_1':0.0,'4_1':0.0},(155,288):{'3_1':0.03,'6_2':0.0},(155,287):{'3_1':0.03,'5_2':0.0},(155,286):{'3_1':0.06,'4_1':0.0},(155,285):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(155,284):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(155,283):{'3_1':0.03,'4_1':0.0},(155,282):{'3_1':0.0},(155,281):{'3_1':0.06},(155,280):{'3_1':0.03},(155,279):{'3_1':0.03},(155,278):{'3_1':0.03,'4_1':0.0},(155,277):{'3_1':0.03},(155,276):{'3_1':0.03,'4_1':0.0},(155,275):{'3_1':0.03},(155,274):{'3_1':0.03,'4_1':0.0},(155,273):{'3_1':0.03},(155,272):{'3_1':0.06,'4_1':0.0},(155,271):{'3_1':0.09},(155,270):{'3_1':0.06,'5_2':0.0},(155,269):{'3_1':0.03,'4_1':0.0},(155,268):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(155,267):{'3_1':0.03,'4_1':0.0},(155,266):{'3_1':0.0,'4_1':0.0},(155,265):{'3_1':0.03,'4_1':0.0},(155,264):{'3_1':0.03,'4_1':0.0},(155,263):{'3_1':0.03,'4_1':0.0},(155,262):{'4_1':0.03,'3_1':0.0},(155,261):{'3_1':0.0,'4_1':0.0},(155,260):{'4_1':0.03,'3_1':0.03},(155,259):{'3_1':0.03,'4_1':0.0},(155,258):{'3_1':0.06,'4_1':0.0},(155,257):{'3_1':0.03,'4_1':0.0},(155,256):{'3_1':0.0,'4_1':0.0},(155,255):{'3_1':0.0},(155,254):{'3_1':0.03,'4_1':0.0},(155,253):{'3_1':0.0,'4_1':0.0},(155,252):{'3_1':0.03},(155,251):{'3_1':0.0,'4_1':0.0},(155,250):{'3_1':0.03},(155,249):{'3_1':0.0},(155,248):{'3_1':0.0},(155,247):{'3_1':0.0,'4_1':0.0},(155,246):{'3_1':0.0},(155,245):{'3_1':0.0},(155,244):{'3_1':0.03},(155,243):{'3_1':0.03},(155,242):{'3_1':0.06},(155,241):{'3_1':0.03},(155,240):{'3_1':0.0},(155,239):{'3_1':0.0},(155,238):{'3_1':0.03},(155,237):{'3_1':0.03},(155,236):{'3_1':0.0},(155,235):{'3_1':0.0},(155,234):{'3_1':0.0},(155,233):{'3_1':0.0},(155,232):{'3_1':0.0},(155,231):{'3_1':0.0},(155,230):{'3_1':0.0},(155,229):{'3_1':0.0},(155,228):{'3_1':0.0},(155,227):{'3_1':0.0},(155,226):{'3_1':0.0},(155,225):{'3_1':0.0},(155,224):{'3_1':0.0},(155,223):{'3_1':0.0},(155,222):{'3_1':0.0},(155,221):{'3_1':0.0},(155,220):{'3_1':0.0},(155,219):{'3_1':0.0},(155,218):{'3_1':0.0},(155,217):{'3_1':0.0},(155,216):{'3_1':0.0},(155,215):{'3_1':0.0},(155,214):{'3_1':0.0},(155,213):{'3_1':0.0},(155,212):{'3_1':0.0},(155,211):{'3_1':0.0},(155,210):{'3_1':0.0},(155,208):{'3_1':0.0},(155,207):{'3_1':0.0},(155,206):{'3_1':0.0},(155,205):{'3_1':0.0},(155,204):{'3_1':0.0},(155,202):{'3_1':0.0},(155,201):{'3_1':0.0},(155,200):{'3_1':0.0},(155,197):{'3_1':0.0},(155,196):{'3_1':0.0},(155,193):{'3_1':0.0},(155,191):{'3_1':0.0},(155,184):{'3_1':0.0},(155,183):{'3_1':0.0},(155,182):{'3_1':0.0},(155,180):{'3_1':0.0},(156,459):{'3_1':0.75,'5_1':0.06,'4_1':0.0,'-3':0.0,'7_1':0.0},(156,458):{'3_1':0.75,'5_1':0.06,'7_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(156,457):{'3_1':0.72,'5_1':0.09,'6_2':0.0,'7_1':0.0,'4_1':0.0},(156,456):{'3_1':0.75,'4_1':0.0,'5_1':0.0,'7_1':0.0,'5_2':0.0,'7_4':0.0},(156,455):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'7_1':0.0,'4_1':0.0,'-3':0.0},(156,454):{'3_1':0.69,'5_1':0.12,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0},(156,453):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0},(156,452):{'3_1':0.78,'5_1':0.03,'-3':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(156,451):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(156,450):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(156,449):{'3_1':0.69,'5_1':0.06,'5_2':0.0,'-3':0.0,'4_1':0.0},(156,448):{'3_1':0.78,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(156,447):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(156,446):{'3_1':0.75,'5_1':0.06,'7_1':0.0,'5_2':0.0,'6_2':0.0},(156,445):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'8_2':0.0,'8_19':0.0},(156,444):{'3_1':0.75,'7_1':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(156,443):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0,'9_1':0.0},(156,442):{'3_1':0.75,'5_1':0.06,'-3':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0},(156,441):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0},(156,440):{'3_1':0.72,'5_1':0.12,'7_4':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(156,439):{'3_1':0.69,'5_1':0.09,'7_1':0.0,'4_1':0.0,'6_2':0.0},(156,438):{'3_1':0.63,'5_1':0.06,'5_2':0.03,'7_1':0.03,'7_4':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(156,437):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'7_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(156,436):{'3_1':0.66,'5_1':0.06,'7_1':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'5_2':0.0},(156,435):{'3_1':0.66,'5_1':0.09,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(156,434):{'3_1':0.63,'5_1':0.12,'7_1':0.03,'6_2':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0},(156,433):{'3_1':0.69,'5_1':0.12,'7_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(156,432):{'3_1':0.63,'5_1':0.15,'-3':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(156,431):{'3_1':0.63,'5_1':0.18,'6_2':0.0,'5_2':0.0,'8_2':0.0},(156,430):{'3_1':0.63,'5_1':0.09,'7_1':0.06,'5_2':0.03,'8_2':0.0,'6_2':0.0},(156,429):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'7_1':0.0,'6_2':0.0,'7_4':0.0,'4_1':0.0,'-3':0.0},(156,428):{'3_1':0.72,'5_1':0.09,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0},(156,427):{'3_1':0.6,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(156,426):{'3_1':0.66,'5_1':0.09,'5_2':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(156,425):{'3_1':0.6,'5_1':0.09,'4_1':0.03,'5_2':0.03,'7_1':0.0,'7_4':0.0,'8_2':0.0,'6_2':0.0,'7_5':0.0},(156,424):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_4':0.0,'7_3':0.0,'8_19':0.0},(156,423):{'3_1':0.54,'5_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'8_9':0.0,'9_1':0.0,'-3':0.0},(156,422):{'3_1':0.66,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'8_2':0.0},(156,421):{'3_1':0.57,'5_1':0.09,'5_2':0.06,'-3':0.03,'7_1':0.0,'6_2':0.0,'8_11':0.0,'8_14':0.0,'8_19':0.0},(156,420):{'3_1':0.54,'5_1':0.12,'5_2':0.06,'8_2':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0,'7_5':0.0,'9_1':0.0},(156,419):{'3_1':0.57,'5_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'9_1':0.0,'-3':0.0},(156,418):{'3_1':0.51,'5_1':0.15,'5_2':0.06,'7_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_4':0.0},(156,417):{'3_1':0.54,'5_1':0.09,'7_1':0.03,'4_1':0.03,'8_2':0.0,'-3':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(156,416):{'3_1':0.51,'5_1':0.12,'5_2':0.03,'-3':0.03,'7_1':0.0,'4_1':0.0,'8_2':0.0,'6_2':0.0,'7_4':0.0},(156,415):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'8_2':0.0,'-3':0.0,'7_1':0.0},(156,414):{'3_1':0.48,'5_1':0.18,'7_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0},(156,413):{'3_1':0.6,'5_1':0.15,'7_1':0.03,'8_2':0.03,'6_2':0.0,'7_3':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(156,412):{'3_1':0.48,'5_1':0.21,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_9':0.0,'9_1':0.0,'-3':0.0},(156,411):{'3_1':0.51,'5_1':0.21,'6_2':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0,'8_11':0.0},(156,410):{'3_1':0.51,'5_1':0.21,'5_2':0.03,'7_1':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'8_9':0.0},(156,409):{'3_1':0.51,'5_1':0.15,'5_2':0.03,'6_2':0.03,'8_2':0.03,'-3':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'9_1':0.0},(156,408):{'3_1':0.57,'5_1':0.09,'5_2':0.06,'6_2':0.03,'7_1':0.03,'7_3':0.0,'9_1':0.0},(156,407):{'3_1':0.57,'5_1':0.15,'5_2':0.06,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'8_9':0.0,'-3':0.0},(156,406):{'3_1':0.51,'5_1':0.15,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(156,405):{'3_1':0.54,'5_1':0.09,'5_2':0.03,'6_2':0.03,'7_4':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0},(156,404):{'3_1':0.54,'5_1':0.12,'6_2':0.0,'7_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(156,403):{'3_1':0.63,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(156,402):{'3_1':0.51,'5_1':0.15,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(156,401):{'3_1':0.57,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(156,400):{'3_1':0.54,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(156,399):{'3_1':0.48,'5_1':0.09,'4_1':0.0,'6_2':0.0,'7_1':0.0,'5_2':0.0,'-3':0.0,'7_4':0.0},(156,398):{'3_1':0.6,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(156,397):{'3_1':0.54,'5_1':0.15,'6_2':0.03,'-3':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(156,396):{'3_1':0.6,'5_1':0.06,'-3':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(156,395):{'3_1':0.57,'5_1':0.06,'5_2':0.0,'7_1':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0},(156,394):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0},(156,393):{'3_1':0.54,'5_1':0.12,'7_4':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_15':0.0},(156,392):{'3_1':0.51,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(156,391):{'3_1':0.42,'5_1':0.09,'-3':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(156,390):{'3_1':0.3,'5_1':0.12,'-3':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'6_2':0.0},(156,389):{'3_1':0.27,'5_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'7_1':0.0,'-3':0.0},(156,388):{'3_1':0.27,'5_1':0.12,'6_2':0.03,'-3':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_17':0.0,'8_21|3_1#4_1':0.0},(156,387):{'3_1':0.27,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(156,386):{'3_1':0.24,'4_1':0.06,'-3':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0,'8_9':0.0},(156,385):{'3_1':0.3,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_13':0.0,'-3':0.0},(156,384):{'3_1':0.24,'5_1':0.09,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_6':0.0,'8_4':0.0,'8_13':0.0,'-3':0.0},(156,383):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'-3':0.0},(156,382):{'3_1':0.15,'4_1':0.03,'7_4':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_7':0.0,'8_17':0.0},(156,381):{'3_1':0.27,'5_1':0.03,'4_1':0.03,'6_2':0.03,'-3':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_9':0.0},(156,380):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'6_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(156,379):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_3':0.0,'8_16':0.0,'-3':0.0},(156,378):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(156,377):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0},(156,376):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'8_16':0.0,'-3':0.0},(156,375):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_13':0.0},(156,374):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_6':0.0,'5_1':0.0},(156,373):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(156,372):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(156,371):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(156,370):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(156,369):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0},(156,368):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0},(156,367):{'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(156,366):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_6':0.0},(156,365):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(156,364):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(156,363):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(156,362):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(156,361):{'3_1':0.09,'5_1':0.03,'5_2':0.0},(156,360):{'3_1':0.15,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(156,359):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(156,358):{'3_1':0.09,'4_1':0.03,'8_5':0.0,'8_20|3_1#3_1':0.0},(156,357):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_17':0.0},(156,356):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_6':0.0},(156,355):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_5':0.0},(156,354):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'8_9':0.0},(156,353):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(156,352):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0},(156,351):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(156,350):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(156,349):{'3_1':0.06,'5_1':0.03,'4_1':0.03},(156,348):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_7':0.0},(156,347):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(156,346):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(156,345):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(156,344):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(156,343):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(156,342):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(156,341):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(156,340):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(156,339):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(156,338):{'3_1':0.09,'4_1':0.06},(156,337):{'3_1':0.06,'4_1':0.0},(156,336):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'-3':0.0},(156,335):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(156,334):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(156,333):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'6_3':0.0},(156,332):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(156,331):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(156,330):{'3_1':0.09,'4_1':0.03},(156,329):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(156,328):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(156,327):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(156,326):{'3_1':0.03,'4_1':0.03},(156,325):{'3_1':0.06,'4_1':0.0},(156,324):{'3_1':0.09,'4_1':0.0},(156,323):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(156,322):{'3_1':0.03,'4_1':0.0},(156,321):{'3_1':0.0},(156,320):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(156,319):{'3_1':0.03,'4_1':0.0},(156,318):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(156,317):{'3_1':0.06},(156,316):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(156,315):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(156,314):{'3_1':0.03,'4_1':0.0},(156,313):{'3_1':0.09,'4_1':0.03},(156,312):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(156,311):{'3_1':0.03},(156,310):{'3_1':0.03,'4_1':0.0},(156,309):{'3_1':0.03,'4_1':0.0},(156,308):{'3_1':0.0},(156,307):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(156,306):{'3_1':0.06,'4_1':0.0,'-3':0.0},(156,305):{'3_1':0.06,'6_3':0.0},(156,304):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(156,303):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(156,302):{'3_1':0.09},(156,301):{'3_1':0.06,'8_21|3_1#4_1':0.0},(156,300):{'3_1':0.03},(156,299):{'3_1':0.0,'4_1':0.0},(156,298):{'3_1':0.09,'4_1':0.0},(156,297):{'3_1':0.12},(156,296):{'3_1':0.0,'4_1':0.0},(156,295):{'4_1':0.0,'3_1':0.0,'8_21|3_1#4_1':0.0},(156,294):{'3_1':0.03,'4_1':0.0},(156,293):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(156,292):{'3_1':0.03},(156,291):{'3_1':0.0,'4_1':0.0},(156,290):{'3_1':0.0},(156,289):{'3_1':0.03,'4_1':0.0},(156,288):{'3_1':0.03},(156,287):{'3_1':0.06,'4_1':0.0},(156,286):{'3_1':0.06,'4_1':0.0},(156,285):{'3_1':0.0},(156,284):{'3_1':0.0},(156,283):{'3_1':0.03,'4_1':0.0},(156,282):{'3_1':0.0,'4_1':0.0},(156,281):{'3_1':0.03,'4_1':0.0},(156,280):{'3_1':0.03},(156,279):{'3_1':0.03},(156,278):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(156,277):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(156,276):{'3_1':0.0},(156,275):{'3_1':0.03,'4_1':0.0},(156,274):{'3_1':0.09},(156,273):{'3_1':0.03,'4_1':0.0},(156,272):{'3_1':0.06},(156,271):{'3_1':0.06},(156,270):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(156,269):{'3_1':0.06,'4_1':0.0},(156,268):{'3_1':0.06,'4_1':0.0},(156,267):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(156,266):{'3_1':0.06,'4_1':0.0},(156,265):{'3_1':0.0,'4_1':0.0},(156,264):{'3_1':0.06},(156,263):{'3_1':0.03,'4_1':0.0},(156,262):{'3_1':0.03},(156,261):{'3_1':0.03,'4_1':0.0},(156,260):{'3_1':0.06},(156,259):{'3_1':0.03,'4_1':0.0},(156,258):{'3_1':0.03,'4_1':0.0},(156,257):{'4_1':0.0,'3_1':0.0},(156,256):{'3_1':0.03,'4_1':0.0},(156,255):{'3_1':0.06,'4_1':0.0},(156,254):{'3_1':0.06,'4_1':0.0},(156,253):{'3_1':0.03},(156,252):{'3_1':0.0,'4_1':0.0},(156,251):{'3_1':0.0,'4_1':0.0},(156,250):{'3_1':0.0},(156,249):{'3_1':0.0,'4_1':0.0},(156,248):{'3_1':0.03,'4_1':0.0},(156,247):{'3_1':0.0},(156,246):{'3_1':0.0},(156,245):{'3_1':0.0},(156,244):{'3_1':0.0},(156,243):{'3_1':0.0},(156,242):{'3_1':0.03},(156,241):{'3_1':0.0},(156,239):{'3_1':0.03},(156,238):{'3_1':0.0},(156,237):{'3_1':0.0},(156,236):{'3_1':0.03},(156,235):{'3_1':0.03},(156,234):{'3_1':0.0},(156,233):{'3_1':0.0},(156,231):{'3_1':0.0},(156,230):{'3_1':0.0},(156,229):{'3_1':0.03},(156,228):{'3_1':0.0},(156,227):{'3_1':0.03},(156,226):{'3_1':0.0},(156,224):{'3_1':0.0},(156,223):{'3_1':0.0},(156,222):{'3_1':0.0},(156,221):{'3_1':0.03},(156,218):{'3_1':0.03},(156,217):{'3_1':0.0},(156,215):{'3_1':0.0},(156,214):{'3_1':0.0},(156,213):{'3_1':0.0},(156,212):{'3_1':0.0},(156,211):{'3_1':0.0},(156,210):{'3_1':0.0},(156,208):{'3_1':0.0},(156,207):{'3_1':0.0},(156,204):{'3_1':0.0},(156,202):{'3_1':0.03},(156,201):{'3_1':0.0},(156,200):{'3_1':0.0},(156,184):{'3_1':0.0},(156,183):{'3_1':0.0},(156,182):{'3_1':0.0},(156,179):{'3_1':0.0},(156,176):{'3_1':0.0},(156,174):{'3_1':0.0},(156,169):{'3_1':0.0},(156,164):{'3_1':0.0},(156,161):{'3_1':0.0},(157,459):{'3_1':0.81,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(157,458):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0},(157,457):{'3_1':0.81,'5_1':0.03,'5_2':0.0,'6_2':0.0},(157,456):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(157,455):{'3_1':0.84,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(157,454):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(157,453):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(157,452):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(157,451):{'3_1':0.81,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(157,450):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(157,449):{'3_1':0.75,'5_1':0.06,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(157,448):{'3_1':0.78,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0},(157,447):{'3_1':0.75,'5_1':0.12,'-3':0.0,'5_2':0.0},(157,446):{'3_1':0.78,'5_1':0.09,'5_2':0.0,'7_5':0.0,'8_2':0.0},(157,445):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(157,444):{'3_1':0.75,'5_2':0.03,'5_1':0.0,'7_1':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0},(157,443):{'3_1':0.78,'5_2':0.03,'5_1':0.03,'7_1':0.0,'6_2':0.0,'-3':0.0},(157,442):{'3_1':0.75,'5_2':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0},(157,441):{'3_1':0.78,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0},(157,440):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0},(157,439):{'3_1':0.75,'5_1':0.09,'5_2':0.0,'4_1':0.0,'-3':0.0},(157,438):{'3_1':0.81,'5_2':0.06,'5_1':0.03,'4_1':0.0},(157,437):{'3_1':0.66,'5_1':0.12,'5_2':0.0,'4_1':0.0,'7_4':0.0,'8_2':0.0},(157,436):{'3_1':0.72,'5_1':0.09,'7_1':0.0,'5_2':0.0,'8_2':0.0},(157,435):{'3_1':0.78,'5_1':0.09,'4_1':0.0,'5_2':0.0,'8_2':0.0},(157,434):{'3_1':0.66,'5_1':0.09,'6_2':0.0,'7_1':0.0,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(157,433):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(157,432):{'3_1':0.72,'5_1':0.09,'5_2':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0},(157,431):{'3_1':0.78,'5_1':0.06,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(157,430):{'3_1':0.6,'5_1':0.06,'7_1':0.06,'5_2':0.03,'6_2':0.0,'8_2':0.0},(157,429):{'3_1':0.69,'5_1':0.15,'5_2':0.0,'7_1':0.0,'8_11':0.0,'-3':0.0},(157,428):{'3_1':0.72,'5_1':0.09,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0},(157,427):{'3_1':0.78,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(157,426):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(157,425):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'8_2':0.0,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(157,424):{'3_1':0.63,'5_1':0.15,'5_2':0.0,'6_2':0.0},(157,423):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(157,422):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'8_2':0.03,'4_1':0.0,'-3':0.0},(157,421):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'8_2':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'8_6':0.0},(157,420):{'3_1':0.6,'5_1':0.18,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(157,419):{'3_1':0.57,'5_1':0.15,'4_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0,'1':-0.03},(157,418):{'3_1':0.6,'5_1':0.15,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'8_9':0.0,'8_14':0.0},(157,417):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(157,416):{'3_1':0.57,'5_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'7_5':0.0,'8_4':0.0},(157,415):{'3_1':0.51,'5_1':0.09,'6_2':0.06,'5_2':0.03,'7_1':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(157,414):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(157,413):{'3_1':0.48,'5_1':0.24,'5_2':0.03,'8_2':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(157,412):{'3_1':0.6,'5_1':0.12,'5_2':0.06,'7_3':0.0,'7_5':0.0,'8_2':0.0,'6_2':0.0,'7_1':0.0},(157,411):{'3_1':0.48,'5_1':0.21,'5_2':0.03,'8_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0,'8_11':0.0,'-3':0.0},(157,410):{'3_1':0.42,'5_1':0.24,'5_2':0.06,'8_2':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(157,409):{'3_1':0.48,'5_1':0.09,'5_2':0.09,'6_2':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(157,408):{'3_1':0.63,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0},(157,407):{'3_1':0.63,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_2':0.0,'6_2':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(157,406):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'6_2':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0},(157,405):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'4_1':0.03,'7_1':0.0,'7_5':0.0,'-3':0.0},(157,404):{'3_1':0.69,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(157,403):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0},(157,402):{'3_1':0.57,'5_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(157,401):{'3_1':0.6,'5_1':0.09,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_2':0.0},(157,400):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(157,399):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'7_4':0.0,'6_1':0.0},(157,398):{'3_1':0.54,'5_2':0.03,'5_1':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0},(157,397):{'3_1':0.6,'-3':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(157,396):{'3_1':0.6,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(157,395):{'3_1':0.48,'5_1':0.09,'5_2':0.03,'4_1':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_2':0.0},(157,394):{'3_1':0.48,'5_1':0.06,'5_2':0.06,'-3':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(157,393):{'3_1':0.42,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0},(157,392):{'3_1':0.42,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0},(157,391):{'3_1':0.42,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0},(157,390):{'3_1':0.45,'5_1':0.06,'4_1':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0,'5_2':0.0,'8_19':0.0},(157,389):{'3_1':0.36,'5_1':0.03,'4_1':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0},(157,388):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(157,387):{'3_1':0.27,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_9':0.0},(157,386):{'3_1':0.24,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(157,385):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0,'8_17':0.0,'8_21|3_1#4_1':0.0},(157,384):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(157,383):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'6_2':0.03,'5_2':0.0,'-3':0.0,'8_4':0.0},(157,382):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_13':0.0,'-3':0.0},(157,381):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(157,380):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(157,379):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'-3':0.0},(157,378):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(157,377):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'5_2':0.0},(157,376):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_3':0.0},(157,375):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(157,374):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(157,373):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_3':0.0},(157,372):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0},(157,371):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(157,370):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(157,369):{'3_1':0.12,'4_1':0.0,'8_17':0.0,'5_1':0.0,'5_2':0.0},(157,368):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(157,367):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(157,366):{'3_1':0.06,'4_1':0.0},(157,365):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(157,364):{'3_1':0.12,'8_21|3_1#4_1':0.0},(157,363):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(157,362):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(157,361):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(157,360):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(157,359):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(157,358):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(157,357):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(157,356):{'3_1':0.06,'7_5':0.0},(157,355):{'3_1':0.18,'4_1':0.0},(157,354):{'3_1':0.09,'4_1':0.0},(157,353):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0},(157,352):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(157,351):{'3_1':0.03,'4_1':0.0},(157,350):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(157,349):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(157,348):{'3_1':0.03,'4_1':0.0},(157,347):{'3_1':0.03,'4_1':0.03},(157,346):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(157,345):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(157,344):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(157,343):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(157,342):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(157,341):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(157,340):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(157,339):{'3_1':0.06,'4_1':0.03},(157,338):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(157,337):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(157,336):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0},(157,335):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_7':0.0},(157,334):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(157,333):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(157,332):{'3_1':0.06,'4_1':0.06},(157,331):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(157,330):{'3_1':0.09,'6_1':0.0},(157,329):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(157,328):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(157,327):{'3_1':0.06,'4_1':0.0},(157,326):{'4_1':0.03,'3_1':0.0},(157,325):{'3_1':0.03,'4_1':0.03},(157,324):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(157,323):{'4_1':0.03,'3_1':0.03,'8_20|3_1#3_1':0.0},(157,322):{'3_1':0.09,'6_1':0.0},(157,321):{'3_1':0.03,'5_1':0.0},(157,320):{'3_1':0.0,'4_1':0.0},(157,319):{'3_1':0.0,'5_1':0.0},(157,318):{'3_1':0.03,'4_1':0.0},(157,317):{'3_1':0.03,'4_1':0.0},(157,316):{'3_1':0.03,'4_1':0.0},(157,315):{'3_1':0.03,'5_2':0.0},(157,314):{'3_1':0.06,'4_1':0.0},(157,313):{'4_1':0.03,'3_1':0.0},(157,312):{'3_1':0.0,'4_1':0.0},(157,311):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(157,310):{'4_1':0.0,'3_1':0.0},(157,309):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(157,308):{'4_1':0.03,'3_1':0.0},(157,307):{'3_1':0.03},(157,306):{'4_1':0.0,'8_21|3_1#4_1':0.0},(157,305):{'3_1':0.06,'6_2':0.0},(157,304):{'3_1':0.03},(157,303):{'3_1':0.0,'5_2':0.0},(157,302):{'3_1':0.06,'4_1':0.0},(157,301):{'3_1':0.03},(157,300):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(157,299):{'3_1':0.0,'6_2':0.0},(157,298):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(157,297):{'3_1':0.0,'4_1':0.0},(157,296):{'3_1':0.03,'4_1':0.03},(157,295):{'3_1':0.03,'4_1':0.0},(157,294):{'3_1':0.03,'4_1':0.0},(157,293):{'3_1':0.03,'4_1':0.0},(157,292):{'3_1':0.03},(157,291):{'3_1':0.06},(157,290):{'3_1':0.0,'4_1':0.0},(157,289):{'3_1':0.03,'4_1':0.0},(157,288):{'3_1':0.03},(157,287):{'3_1':0.0},(157,286):{'3_1':0.03,'4_1':0.0},(157,285):{'3_1':0.03,'4_1':0.0},(157,284):{'3_1':0.03},(157,283):{'3_1':0.0,'4_1':0.0},(157,282):{'3_1':0.06},(157,281):{'3_1':0.0,'4_1':0.0},(157,280):{'3_1':0.0},(157,279):{'3_1':0.03,'4_1':0.0},(157,278):{'3_1':0.0,'4_1':0.0},(157,277):{'3_1':0.03,'4_1':0.0},(157,276):{'3_1':0.0,'4_1':0.0},(157,275):{'3_1':0.03,'4_1':0.0},(157,274):{'3_1':0.0,'4_1':0.0},(157,273):{'3_1':0.03,'4_1':0.03},(157,272):{'3_1':0.03,'4_1':0.0},(157,271):{'3_1':0.03},(157,270):{'3_1':0.0,'4_1':0.0},(157,269):{'3_1':0.03},(157,268):{'3_1':0.03,'4_1':0.0},(157,267):{'3_1':0.0,'4_1':0.0},(157,266):{'4_1':0.03,'3_1':0.0},(157,265):{'3_1':0.03,'4_1':0.0},(157,264):{'3_1':0.03},(157,263):{'3_1':0.0,'4_1':0.0},(157,262):{'3_1':0.0,'4_1':0.0},(157,261):{'3_1':0.03},(157,260):{'3_1':0.06,'4_1':0.03},(157,259):{'3_1':0.06,'4_1':0.03},(157,258):{'4_1':0.0,'3_1':0.0},(157,257):{'3_1':0.03,'4_1':0.0},(157,256):{'3_1':0.03,'4_1':0.0},(157,255):{'3_1':0.03,'4_1':0.0},(157,254):{'3_1':0.0,'4_1':0.0},(157,253):{'3_1':0.03,'4_1':0.0},(157,252):{'3_1':0.0,'4_1':0.0},(157,251):{'3_1':0.03,'4_1':0.0},(157,250):{'3_1':0.03,'4_1':0.0},(157,249):{'3_1':0.0},(157,248):{'3_1':0.0},(157,247):{'4_1':0.0},(157,246):{'3_1':0.0},(157,245):{'3_1':0.0},(157,244):{'3_1':0.0,'5_2':0.0},(157,243):{'3_1':0.03},(157,242):{'3_1':0.0},(157,241):{'3_1':0.03},(157,240):{'3_1':0.0},(157,239):{'3_1':0.0},(157,238):{'3_1':0.03},(157,237):{'3_1':0.03},(157,236):{'3_1':0.0},(157,235):{'3_1':0.03,'4_1':0.0},(157,234):{'3_1':0.0},(157,233):{'3_1':0.0},(157,232):{'3_1':0.0},(157,231):{'3_1':0.0},(157,230):{'3_1':0.0},(157,229):{'3_1':0.03},(157,228):{'3_1':0.0},(157,227):{'3_1':0.0},(157,226):{'3_1':0.0},(157,225):{'3_1':0.0},(157,224):{'3_1':0.0},(157,223):{'3_1':0.0},(157,222):{'3_1':0.0},(157,221):{'3_1':0.0},(157,220):{'3_1':0.0},(157,217):{'3_1':0.0},(157,215):{'3_1':0.0},(157,214):{'3_1':0.0},(157,213):{'3_1':0.0},(157,212):{'3_1':0.0},(157,211):{'3_1':0.0},(157,208):{'3_1':0.0},(157,207):{'3_1':0.0},(157,205):{'3_1':0.0},(157,204):{'3_1':0.0},(157,202):{'3_1':0.0},(157,201):{'3_1':0.0},(157,200):{'3_1':0.0},(157,195):{'3_1':0.0},(157,192):{'3_1':0.0},(157,179):{'3_1':0.0},(157,164):{'3_1':0.0},(158,459):{'3_1':0.72,'5_1':0.06,'-3':0.0,'7_1':0.0,'4_1':0.0,'8_2':0.0},(158,458):{'3_1':0.72,'7_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'-3':0.0},(158,457):{'3_1':0.81,'5_1':0.03,'-3':0.0,'4_1':0.0},(158,456):{'3_1':0.75,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(158,455):{'3_1':0.81,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(158,454):{'3_1':0.78,'5_1':0.03,'4_1':0.0},(158,453):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'7_1':0.0,'-3':0.0},(158,452):{'3_1':0.81,'5_1':0.03,'5_2':0.0},(158,451):{'3_1':0.81,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(158,450):{'3_1':0.81,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_4':0.0},(158,449):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(158,448):{'3_1':0.69,'5_1':0.03,'7_1':0.0,'5_2':0.0,'7_3':0.0,'8_9':0.0,'-3':0.0},(158,447):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_11':0.0,'-3':0.0},(158,446):{'3_1':0.81,'5_1':0.0,'5_2':0.0},(158,445):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'8_2':0.0,'4_1':0.0},(158,444):{'3_1':0.69,'5_1':0.09,'-3':0.03,'5_2':0.0,'4_1':0.0,'7_4':0.0},(158,443):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'7_1':0.0,'8_2':0.0},(158,442):{'3_1':0.78,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0},(158,441):{'3_1':0.63,'5_1':0.12,'5_2':0.0,'4_1':0.0},(158,440):{'3_1':0.75,'5_1':0.09,'4_1':0.0,'5_2':0.0,'-3':0.0},(158,439):{'3_1':0.69,'5_1':0.09,'5_2':0.03,'7_3':0.0,'-3':0.0},(158,438):{'3_1':0.72,'5_1':0.06,'8_2':0.0,'7_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(158,437):{'3_1':0.69,'5_1':0.06,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(158,436):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0},(158,435):{'3_1':0.63,'5_1':0.09,'5_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(158,434):{'3_1':0.63,'5_1':0.09,'5_2':0.0,'4_1':0.0,'7_1':0.0,'6_2':0.0,'9_1':0.0,'-3':0.0},(158,433):{'3_1':0.69,'5_1':0.09,'4_1':0.03,'7_1':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0},(158,432):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0},(158,431):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0},(158,430):{'3_1':0.63,'5_1':0.12,'7_1':0.03,'4_1':0.0,'6_2':0.0},(158,429):{'3_1':0.69,'5_1':0.06,'7_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(158,428):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'-3':0.0},(158,427):{'3_1':0.63,'5_1':0.09,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(158,426):{'3_1':0.66,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(158,425):{'3_1':0.6,'5_1':0.12,'4_1':0.0,'5_2':0.0,'8_2':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(158,424):{'3_1':0.63,'5_1':0.09,'8_2':0.06,'5_2':0.0,'7_1':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0},(158,423):{'3_1':0.63,'5_1':0.15,'5_2':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(158,422):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'8_2':0.03,'6_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(158,421):{'3_1':0.63,'5_1':0.06,'8_2':0.03,'7_1':0.0,'7_5':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(158,420):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'8_2':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0},(158,419):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_2':0.0,'8_19':0.0},(158,418):{'3_1':0.51,'5_1':0.18,'5_2':0.03,'7_1':0.03,'4_1':0.0,'8_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(158,417):{'3_1':0.57,'5_1':0.15,'5_2':0.03,'8_2':0.0,'4_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0},(158,416):{'3_1':0.6,'5_1':0.12,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0},(158,415):{'3_1':0.57,'5_1':0.09,'7_5':0.03,'7_1':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(158,414):{'3_1':0.48,'5_1':0.12,'5_2':0.03,'-3':0.03,'4_1':0.0,'8_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(158,413):{'3_1':0.63,'5_1':0.12,'5_2':0.03,'8_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(158,412):{'3_1':0.42,'5_1':0.21,'5_2':0.03,'8_2':0.03,'7_3':0.0,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(158,411):{'3_1':0.48,'5_1':0.12,'5_2':0.06,'-3':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_7':0.0},(158,410):{'3_1':0.6,'5_1':0.09,'7_1':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'7_3':0.0,'8_6':0.0,'-3':0.0},(158,409):{'3_1':0.48,'5_1':0.12,'5_2':0.06,'-3':0.03,'7_1':0.03,'6_2':0.0,'8_2':0.0,'8_6':0.0},(158,408):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'4_1':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(158,407):{'3_1':0.51,'5_1':0.06,'5_2':0.06,'6_2':0.03,'-3':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'4_1':0.0,'8_1':0.0,'8_9':0.0},(158,406):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(158,405):{'3_1':0.63,'5_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'-3':0.0},(158,404):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0},(158,403):{'3_1':0.66,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(158,402):{'3_1':0.69,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0},(158,401):{'3_1':0.57,'5_1':0.12,'4_1':0.03,'5_2':0.0,'7_4':0.0,'7_1':0.0,'-3':0.0},(158,400):{'3_1':0.57,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(158,399):{'3_1':0.63,'5_1':0.06,'6_2':0.0,'7_1':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0,'-3':0.0},(158,398):{'3_1':0.63,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(158,397):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'-3':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(158,396):{'3_1':0.57,'5_2':0.06,'5_1':0.06,'4_1':0.0,'6_1':0.0,'-3':0.0},(158,395):{'3_1':0.45,'5_1':0.06,'4_1':0.03,'5_2':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0},(158,394):{'3_1':0.48,'5_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(158,393):{'3_1':0.42,'5_1':0.09,'5_2':0.06,'-3':0.03,'6_2':0.0,'4_1':0.0,'7_4':0.0},(158,392):{'3_1':0.36,'5_1':0.06,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(158,391):{'3_1':0.39,'5_1':0.09,'4_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(158,390):{'3_1':0.39,'5_1':0.09,'4_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'8_16':0.0},(158,389):{'3_1':0.42,'5_1':0.06,'6_2':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_13':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(158,388):{'3_1':0.33,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_9':0.0},(158,387):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'-3':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(158,386):{'3_1':0.27,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_2':0.03,'7_4':0.0,'-3':0.0},(158,385):{'3_1':0.21,'4_1':0.06,'6_2':0.03,'5_1':0.03,'7_4':0.0,'5_2':0.0,'-3':0.0},(158,384):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0},(158,383):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(158,382):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(158,381):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(158,380):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0},(158,379):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_3':0.0,'1':-0.03},(158,378):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(158,377):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(158,376):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(158,375):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(158,374):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(158,373):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_13':0.0},(158,372):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(158,371):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(158,370):{'3_1':0.18,'6_2':0.0,'4_1':0.0,'5_1':0.0},(158,369):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(158,368):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(158,367):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_9':0.0},(158,366):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(158,365):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0},(158,364):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(158,363):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(158,362):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(158,361):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0},(158,360):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(158,359):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(158,358):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(158,357):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'6_3':0.0},(158,356):{'3_1':0.06,'4_1':0.0},(158,355):{'3_1':0.09,'5_2':0.0,'6_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(158,354):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_10':0.0},(158,353):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(158,352):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(158,351):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(158,350):{'3_1':0.12,'5_1':0.03},(158,349):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(158,348):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(158,347):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(158,346):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(158,345):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(158,344):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(158,343):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(158,342):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(158,341):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(158,340):{'4_1':0.03,'5_2':0.03,'3_1':0.03,'8_20|3_1#3_1':0.0},(158,339):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(158,338):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(158,337):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(158,336):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(158,335):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(158,334):{'3_1':0.06,'4_1':0.0},(158,333):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(158,332):{'3_1':0.15,'4_1':0.0},(158,331):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(158,330):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(158,329):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(158,328):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(158,327):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(158,326):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(158,325):{'3_1':0.03,'4_1':0.0},(158,324):{'3_1':0.03,'6_3':0.0,'4_1':0.0},(158,323):{'3_1':0.06,'4_1':0.0},(158,322):{'3_1':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(158,321):{'3_1':0.0},(158,320):{'3_1':0.03,'4_1':0.03},(158,319):{'3_1':0.03,'4_1':0.0},(158,318):{'3_1':0.06,'4_1':0.0},(158,317):{'3_1':0.06},(158,316):{'3_1':0.06,'4_1':0.0},(158,315):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(158,314):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(158,313):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(158,312):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(158,311):{'4_1':0.0,'3_1':0.0},(158,310):{'4_1':0.03,'6_2':0.0},(158,309):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(158,308):{'3_1':0.03,'4_1':0.0},(158,307):{'3_1':0.03,'4_1':0.0},(158,306):{'3_1':0.03},(158,305):{'3_1':0.0},(158,304):{'3_1':0.0},(158,303):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(158,302):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(158,301):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(158,300):{'3_1':0.03,'4_1':0.0},(158,299):{'3_1':0.03},(158,298):{'3_1':0.0,'4_1':0.0},(158,297):{'3_1':0.03,'4_1':0.0},(158,296):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(158,295):{'3_1':0.03},(158,294):{'3_1':0.03,'4_1':0.0},(158,293):{'3_1':0.03},(158,292):{'3_1':0.03,'4_1':0.0},(158,291):{'3_1':0.03,'4_1':0.0},(158,290):{'3_1':0.03,'4_1':0.0},(158,289):{'3_1':0.03},(158,288):{'3_1':0.03,'4_1':0.0},(158,287):{'3_1':0.03,'5_2':0.0},(158,286):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(158,285):{'3_1':0.06},(158,284):{'3_1':0.0},(158,283):{'3_1':0.03},(158,282):{'3_1':0.0,'4_1':0.0},(158,281):{'3_1':0.09},(158,280):{'3_1':0.06,'5_2':0.0},(158,279):{'3_1':0.06},(158,278):{'4_1':0.0,'3_1':0.0},(158,277):{'3_1':0.03,'4_1':0.0},(158,276):{'3_1':0.03},(158,275):{'3_1':0.0},(158,274):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(158,273):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(158,272):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(158,271):{'3_1':0.06},(158,270):{'3_1':0.0,'4_1':0.0},(158,269):{'3_1':0.03,'4_1':0.0},(158,268):{'3_1':0.03,'5_2':0.0},(158,267):{'3_1':0.06},(158,266):{'3_1':0.06},(158,265):{'3_1':0.06},(158,264):{'3_1':0.03,'4_1':0.03},(158,263):{'3_1':0.0,'4_1':0.0},(158,262):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(158,261):{'3_1':0.0,'4_1':0.0},(158,260):{'3_1':0.03,'4_1':0.0},(158,259):{'3_1':0.03,'4_1':0.0},(158,258):{'3_1':0.0,'6_3':0.0},(158,257):{'3_1':0.03},(158,256):{'3_1':0.03,'4_1':0.0},(158,255):{'3_1':0.03,'4_1':0.0},(158,254):{'3_1':0.0},(158,253):{'3_1':0.0,'4_1':0.0},(158,252):{'3_1':0.0},(158,251):{'3_1':0.0,'4_1':0.0},(158,250):{'3_1':0.0},(158,249):{'3_1':0.03},(158,248):{'3_1':0.03},(158,247):{'3_1':0.03},(158,246):{'3_1':0.03,'4_1':0.0},(158,245):{'3_1':0.03},(158,244):{'3_1':0.03},(158,243):{'3_1':0.0,'4_1':0.0},(158,242):{'3_1':0.03},(158,241):{'3_1':0.03},(158,240):{'3_1':0.03},(158,239):{'3_1':0.03},(158,238):{'3_1':0.03},(158,237):{'3_1':0.0},(158,236):{'3_1':0.03},(158,235):{'3_1':0.03},(158,234):{'3_1':0.0,'4_1':0.0},(158,231):{'3_1':0.0},(158,230):{'3_1':0.0},(158,229):{'3_1':0.0,'4_1':0.0},(158,228):{'3_1':0.0,'4_1':0.0},(158,227):{'3_1':0.0},(158,226):{'3_1':0.0},(158,224):{'3_1':0.0},(158,223):{'3_1':0.03},(158,222):{'3_1':0.0},(158,221):{'3_1':0.0},(158,220):{'3_1':0.0},(158,219):{'3_1':0.0},(158,217):{'3_1':0.0},(158,216):{'3_1':0.0,'4_1':0.0},(158,215):{'3_1':0.0},(158,214):{'3_1':0.0},(158,213):{'3_1':0.0},(158,212):{'3_1':0.0},(158,211):{'3_1':0.0},(158,210):{'3_1':0.0},(158,208):{'3_1':0.0},(158,207):{'3_1':0.0},(158,206):{'3_1':0.0},(158,205):{'3_1':0.0},(158,204):{'3_1':0.0},(158,203):{'3_1':0.0},(158,202):{'3_1':0.0},(158,201):{'3_1':0.0},(158,200):{'3_1':0.0},(158,198):{'3_1':0.0},(158,193):{'3_1':0.0},(158,181):{'3_1':0.0},(158,178):{'3_1':0.0},(159,459):{'3_1':0.75,'5_1':0.03,'5_2':0.0,'7_4':0.0},(159,458):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0},(159,457):{'3_1':0.75,'5_1':0.12,'4_1':0.0,'8_2':0.0},(159,456):{'3_1':0.78,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(159,455):{'3_1':0.72,'5_1':0.09,'4_1':0.0,'5_2':0.0,'-3':0.0},(159,454):{'3_1':0.75,'5_1':0.09,'5_2':0.0},(159,453):{'3_1':0.81,'5_1':0.06,'7_4':0.0,'7_5':0.0,'8_19':0.0},(159,452):{'3_1':0.75,'5_1':0.06,'5_2':0.03,'4_1':0.0},(159,451):{'3_1':0.69,'5_1':0.09,'5_2':0.0,'7_4':0.0},(159,450):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_4':0.0},(159,449):{'3_1':0.81,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(159,448):{'3_1':0.66,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(159,447):{'3_1':0.75,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_14':0.0},(159,446):{'3_1':0.84,'5_1':0.06,'4_1':0.0,'7_3':0.0,'8_2':0.0},(159,445):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0},(159,444):{'3_1':0.69,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(159,443):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'7_1':0.0},(159,442):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(159,441):{'3_1':0.63,'5_1':0.12,'6_2':0.0,'7_1':0.0,'7_3':0.0},(159,440):{'3_1':0.72,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(159,439):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'7_4':0.0},(159,438):{'3_1':0.66,'5_1':0.12,'5_2':0.0,'7_1':0.0,'7_4':0.0},(159,437):{'3_1':0.72,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(159,436):{'3_1':0.72,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(159,435):{'3_1':0.6,'5_1':0.12,'5_2':0.03,'7_1':0.0,'-3':0.0},(159,434):{'3_1':0.75,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(159,433):{'3_1':0.66,'7_1':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'8_19':0.0},(159,432):{'3_1':0.66,'5_1':0.12,'7_1':0.0,'6_2':0.0,'-3':0.0},(159,431):{'3_1':0.69,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(159,430):{'3_1':0.72,'5_1':0.06,'5_2':0.03,'8_2':0.0},(159,429):{'3_1':0.66,'5_1':0.12,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(159,428):{'3_1':0.63,'5_1':0.06,'5_2':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(159,427):{'3_1':0.69,'5_1':0.12,'5_2':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(159,426):{'3_1':0.66,'5_1':0.09,'4_1':0.0,'7_1':0.0,'5_2':0.0,'8_14':0.0,'-3':0.0},(159,425):{'3_1':0.6,'5_1':0.06,'8_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(159,424):{'3_1':0.54,'5_1':0.15,'4_1':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0},(159,423):{'3_1':0.66,'5_1':0.06,'4_1':0.03,'5_2':0.0,'8_2':0.0,'8_9':0.0,'8_14':0.0,'9_1':0.0},(159,422):{'3_1':0.66,'5_1':0.12,'5_2':0.03,'4_1':0.0,'-3':0.0},(159,421):{'3_1':0.54,'5_1':0.15,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(159,420):{'3_1':0.57,'5_1':0.12,'6_2':0.03,'8_2':0.03,'5_2':0.0,'4_1':0.0,'8_6':0.0,'8_9':0.0},(159,419):{'3_1':0.63,'5_1':0.06,'5_2':0.06,'6_2':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_9':0.0},(159,418):{'3_1':0.54,'5_1':0.09,'4_1':0.03,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(159,417):{'3_1':0.51,'5_1':0.12,'6_2':0.03,'5_2':0.03,'8_2':0.03,'4_1':0.03,'7_1':0.0,'8_4':0.0,'8_14':0.0},(159,416):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'7_1':0.03,'8_2':0.0,'4_1':0.0,'7_2':0.0},(159,415):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'8_2':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(159,414):{'3_1':0.48,'5_1':0.18,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'4_1':0.0,'8_6':0.0,'-3':0.0},(159,413):{'3_1':0.57,'5_1':0.21,'7_1':0.0,'8_2':0.0,'-3':0.0,'6_2':0.0,'5_2':0.0,'8_9':0.0},(159,412):{'3_1':0.63,'5_1':0.09,'5_2':0.06,'4_1':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0},(159,411):{'3_1':0.39,'5_1':0.18,'5_2':0.06,'6_2':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_4':0.0,'8_6':0.0,'8_9':0.0,'-3':0.0},(159,410):{'3_1':0.51,'5_1':0.15,'5_2':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(159,409):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'7_1':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0,'4_1':0.0,'7_2':0.0},(159,408):{'3_1':0.51,'5_1':0.12,'5_2':0.06,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(159,407):{'3_1':0.48,'5_1':0.18,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(159,406):{'3_1':0.51,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_2':0.0,'-3':0.0},(159,405):{'3_1':0.51,'5_1':0.09,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(159,404):{'3_1':0.57,'5_1':0.12,'5_2':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0},(159,403):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0},(159,402):{'3_1':0.6,'5_1':0.06,'6_1':0.0,'6_2':0.0},(159,401):{'3_1':0.51,'5_1':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0},(159,400):{'3_1':0.54,'5_1':0.12,'5_2':0.06,'4_1':0.0,'6_2':0.0,'8_3':0.0,'8_4':0.0},(159,399):{'3_1':0.57,'5_1':0.03,'5_2':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(159,398):{'3_1':0.54,'5_1':0.09,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(159,397):{'3_1':0.57,'5_1':0.03,'5_2':0.03,'7_1':0.0,'-3':0.0,'8_17':0.0},(159,396):{'3_1':0.51,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0},(159,395):{'3_1':0.39,'5_2':0.06,'5_1':0.06,'4_1':0.03,'-3':0.0},(159,394):{'3_1':0.45,'5_1':0.09,'5_2':0.03,'4_1':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_7':0.0,'8_2':0.0},(159,393):{'3_1':0.45,'5_1':0.12,'4_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(159,392):{'3_1':0.39,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(159,391):{'3_1':0.36,'5_1':0.15,'5_2':0.03,'4_1':0.03,'-3':0.0},(159,390):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(159,389):{'3_1':0.42,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(159,388):{'3_1':0.33,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(159,387):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0},(159,386):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(159,385):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.03,'-3':0.03,'6_2':0.0,'7_6':0.0},(159,384):{'3_1':0.27,'4_1':0.06,'5_1':0.06,'6_2':0.0,'6_1':0.0,'5_2':0.0,'7_5':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(159,383):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(159,382):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(159,381):{'3_1':0.21,'4_1':0.03,'-3':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(159,380):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'6_2':0.0,'8_8':0.0,'-3':0.0,'1':-0.03},(159,379):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(159,378):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(159,377):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_6':0.0},(159,376):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(159,375):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0},(159,374):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'5_1':0.0},(159,373):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_19':0.0},(159,372):{'3_1':0.18},(159,371):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(159,370):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(159,369):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(159,368):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(159,367):{'3_1':0.12},(159,366):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(159,365):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0},(159,364):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(159,363):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(159,362):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_3':0.0},(159,361):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(159,360):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(159,359):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(159,358):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(159,357):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(159,356):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(159,355):{'3_1':0.15,'6_2':0.0,'5_1':0.0},(159,354):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0},(159,353):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(159,352):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(159,351):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(159,350):{'3_1':0.09,'4_1':0.0},(159,349):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(159,348):{'3_1':0.06,'6_2':0.0,'7_6':0.0},(159,347):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0},(159,346):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(159,345):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(159,344):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(159,343):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(159,342):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(159,341):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(159,340):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(159,339):{'3_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(159,338):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(159,337):{'3_1':0.09,'4_1':0.0},(159,336):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(159,335):{'3_1':0.09,'4_1':0.0},(159,334):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(159,333):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(159,332):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(159,331):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(159,330):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(159,329):{'3_1':0.03,'4_1':0.0},(159,328):{'3_1':0.03,'4_1':0.0},(159,327):{'3_1':0.06,'4_1':0.03},(159,326):{'3_1':0.06,'4_1':0.0},(159,325):{'3_1':0.0,'4_1':0.0},(159,324):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(159,323):{'3_1':0.12,'4_1':0.0},(159,322):{'3_1':0.03,'4_1':0.0},(159,321):{'3_1':0.0,'4_1':0.0},(159,320):{'3_1':0.0,'4_1':0.0},(159,319):{'3_1':0.09,'5_1':0.0},(159,318):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(159,317):{'3_1':0.0,'4_1':0.0},(159,316):{'3_1':0.03},(159,315):{'3_1':0.03,'5_1':0.0},(159,314):{'3_1':0.0},(159,313):{'3_1':0.0,'5_1':0.0},(159,312):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(159,311):{'3_1':0.03,'6_2':0.0},(159,310):{'3_1':0.06,'4_1':0.0},(159,309):{'3_1':0.03},(159,308):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(159,307):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(159,306):{'3_1':0.03,'4_1':0.0},(159,305):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(159,304):{'3_1':0.03},(159,303):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(159,302):{'3_1':0.03},(159,301):{'3_1':0.0},(159,300):{'3_1':0.0,'4_1':0.0},(159,299):{'3_1':0.03,'4_1':0.0},(159,298):{'3_1':0.03},(159,297):{'3_1':0.03,'8_20|3_1#3_1':0.0},(159,296):{'3_1':0.03,'4_1':0.0},(159,295):{'3_1':0.06,'4_1':0.0},(159,294):{'4_1':0.0},(159,293):{'3_1':0.03,'4_1':0.0},(159,292):{'3_1':0.0,'4_1':0.0},(159,291):{'3_1':0.03,'5_2':0.0},(159,290):{'3_1':0.0},(159,289):{'3_1':0.06},(159,288):{'3_1':0.03,'4_1':0.0},(159,287):{'3_1':0.06},(159,286):{'3_1':0.03,'4_1':0.0},(159,285):{'3_1':0.0},(159,284):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(159,283):{'3_1':0.03,'4_1':0.0},(159,282):{'3_1':0.03},(159,281):{'3_1':0.03,'4_1':0.0},(159,280):{'3_1':0.03},(159,279):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(159,278):{'3_1':0.03},(159,277):{'3_1':0.03},(159,276):{'3_1':0.0},(159,275):{'3_1':0.06,'4_1':0.0},(159,274):{'3_1':0.06,'6_3':0.0},(159,273):{'3_1':0.03},(159,272):{'3_1':0.03,'4_1':0.0},(159,271):{'3_1':0.03},(159,270):{'3_1':0.03},(159,269):{'3_1':0.0,'4_1':0.0},(159,268):{'3_1':0.03},(159,267):{'3_1':0.06},(159,266):{'3_1':0.03,'4_1':0.03},(159,265):{'3_1':0.03},(159,264):{'3_1':0.0,'4_1':0.0},(159,263):{'3_1':0.0,'4_1':0.0},(159,262):{'3_1':0.03,'4_1':0.0},(159,261):{'3_1':0.03,'4_1':0.0},(159,260):{'3_1':0.03},(159,259):{'3_1':0.03,'4_1':0.0},(159,258):{'3_1':0.03},(159,257):{'3_1':0.0,'4_1':0.0},(159,256):{'3_1':0.03,'4_1':0.0},(159,255):{'4_1':0.03,'3_1':0.03},(159,254):{'3_1':0.06,'4_1':0.0},(159,253):{'3_1':0.0,'4_1':0.0},(159,252):{'3_1':0.03,'4_1':0.0},(159,251):{'3_1':0.03},(159,249):{'3_1':0.0},(159,248):{'3_1':0.0,'4_1':0.0},(159,247):{'3_1':0.03,'4_1':0.0},(159,246):{'3_1':0.03,'4_1':0.0},(159,245):{'3_1':0.0},(159,244):{'3_1':0.03},(159,243):{'3_1':0.03},(159,242):{'3_1':0.0},(159,241):{'3_1':0.03},(159,240):{'3_1':0.03},(159,239):{'3_1':0.0},(159,238):{'3_1':0.0},(159,237):{'3_1':0.0,'4_1':0.0},(159,236):{'3_1':0.03},(159,233):{'3_1':0.0},(159,232):{'3_1':0.0},(159,231):{'3_1':0.03},(159,228):{'3_1':0.0,'4_1':0.0},(159,227):{'3_1':0.0},(159,225):{'3_1':0.03},(159,224):{'3_1':0.0},(159,222):{'3_1':0.0},(159,221):{'4_1':0.0},(159,220):{'3_1':0.0},(159,218):{'3_1':0.0},(159,216):{'3_1':0.0},(159,215):{'3_1':0.0},(159,214):{'3_1':0.0},(159,213):{'3_1':0.0},(159,212):{'3_1':0.0},(159,211):{'3_1':0.0},(159,208):{'3_1':0.0},(159,207):{'3_1':0.0},(159,206):{'3_1':0.0},(159,205):{'3_1':0.0},(159,202):{'3_1':0.0},(159,201):{'3_1':0.0},(159,197):{'3_1':0.0},(159,190):{'3_1':0.0},(159,186):{'3_1':0.0},(159,183):{'3_1':0.0},(159,180):{'3_1':0.0},(159,179):{'3_1':0.0},(159,178):{'3_1':0.0},(159,177):{'3_1':0.0},(159,176):{'3_1':0.0},(160,459):{'3_1':0.69,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0},(160,458):{'3_1':0.72,'5_1':0.06,'7_1':0.0,'5_2':0.0,'7_5':0.0},(160,457):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0},(160,456):{'3_1':0.66,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_14':0.0},(160,455):{'3_1':0.63,'5_1':0.06,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(160,454):{'3_1':0.63,'5_1':0.03,'5_2':0.03},(160,453):{'3_1':0.6,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(160,452):{'3_1':0.6,'5_1':0.06,'5_2':0.03,'7_1':0.0},(160,451):{'3_1':0.63,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(160,450):{'3_1':0.6,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0},(160,449):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(160,448):{'3_1':0.72,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(160,447):{'3_1':0.57,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0},(160,446):{'3_1':0.66,'5_1':0.06,'5_2':0.03,'7_1':0.0},(160,445):{'3_1':0.57,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(160,444):{'3_1':0.63,'5_1':0.09,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_4':0.0,'8_19':0.0},(160,443):{'3_1':0.63,'5_1':0.09,'5_2':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0},(160,442):{'3_1':0.63,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'6_2':0.0},(160,441):{'3_1':0.6,'5_1':0.09,'7_1':0.0,'5_2':0.0,'7_4':0.0},(160,440):{'3_1':0.6,'5_1':0.06,'4_1':0.0,'7_1':0.0,'5_2':0.0,'7_4':0.0},(160,439):{'3_1':0.63,'5_1':0.06,'7_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(160,438):{'3_1':0.66,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(160,437):{'3_1':0.6,'5_1':0.06,'4_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(160,436):{'3_1':0.66,'5_1':0.09,'4_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(160,435):{'3_1':0.51,'5_1':0.12,'4_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0},(160,434):{'3_1':0.54,'5_1':0.09,'4_1':0.03,'5_2':0.0,'7_4':0.0,'7_1':0.0},(160,433):{'3_1':0.6,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(160,432):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'4_1':0.0,'7_1':0.0},(160,431):{'3_1':0.57,'5_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(160,430):{'3_1':0.6,'5_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(160,429):{'3_1':0.6,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_9':0.0,'-3':0.0},(160,428):{'3_1':0.54,'5_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(160,427):{'3_1':0.54,'5_2':0.06,'5_1':0.06,'-3':0.0,'4_1':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0},(160,426):{'3_1':0.66,'5_1':0.09,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0},(160,425):{'3_1':0.54,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_4':0.0,'-3':0.0},(160,424):{'3_1':0.48,'5_1':0.06,'7_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_6':0.0,'8_14':0.0,'-3':0.0},(160,423):{'3_1':0.51,'5_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0,'9_1':0.0},(160,422):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(160,421):{'3_1':0.54,'5_1':0.12,'5_2':0.0,'7_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(160,420):{'3_1':0.42,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0,'7_2':0.0,'9_1':0.0},(160,419):{'3_1':0.45,'5_1':0.12,'5_2':0.09,'7_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_14':0.0},(160,418):{'3_1':0.42,'5_1':0.15,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(160,417):{'3_1':0.48,'5_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'6_3':0.0},(160,416):{'3_1':0.48,'5_1':0.12,'6_2':0.03,'4_1':0.0,'7_1':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0},(160,415):{'3_1':0.51,'5_1':0.15,'6_2':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(160,414):{'3_1':0.51,'5_1':0.15,'6_2':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'4_1':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(160,413):{'3_1':0.45,'5_1':0.12,'4_1':0.0,'5_2':0.0,'8_2':0.0,'7_1':0.0,'6_1':0.0,'8_4':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(160,412):{'3_1':0.45,'5_1':0.12,'8_2':0.06,'6_2':0.03,'7_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(160,411):{'3_1':0.39,'5_1':0.15,'5_2':0.06,'7_1':0.0,'6_2':0.0,'8_2':0.0,'4_1':0.0,'7_3':0.0},(160,410):{'3_1':0.45,'5_1':0.21,'4_1':0.0,'8_2':0.0,'7_5':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0,'8_9':0.0},(160,409):{'3_1':0.45,'5_1':0.21,'6_2':0.03,'5_2':0.0,'7_1':0.0,'4_1':0.0,'8_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(160,408):{'3_1':0.45,'5_1':0.15,'7_1':0.06,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_4':0.0,'8_2':0.0,'8_4':0.0},(160,407):{'3_1':0.42,'5_1':0.15,'5_2':0.09,'4_1':0.0,'6_2':0.0,'8_2':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(160,406):{'3_1':0.51,'5_1':0.12,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(160,405):{'3_1':0.45,'5_1':0.09,'4_1':0.03,'-3':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(160,404):{'3_1':0.51,'5_1':0.09,'4_1':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(160,403):{'3_1':0.6,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(160,402):{'3_1':0.54,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(160,401):{'3_1':0.6,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(160,400):{'3_1':0.48,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(160,399):{'3_1':0.39,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(160,398):{'3_1':0.42,'5_1':0.12,'5_2':0.03,'4_1':0.0,'-3':0.0,'7_4':0.0},(160,397):{'3_1':0.42,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(160,396):{'3_1':0.39,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(160,395):{'3_1':0.48,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0},(160,394):{'3_1':0.45,'5_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_4':0.0},(160,393):{'3_1':0.36,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_1':0.0,'-3':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(160,392):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'4_1':0.03,'-3':0.0,'7_6':0.0},(160,391):{'3_1':0.36,'5_1':0.09,'-3':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(160,390):{'3_1':0.39,'5_1':0.09,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0},(160,389):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(160,388):{'3_1':0.3,'5_1':0.06,'6_2':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0},(160,387):{'3_1':0.33,'5_1':0.09,'4_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(160,386):{'3_1':0.18,'5_1':0.09,'5_2':0.09,'4_1':0.03,'6_2':0.0,'7_4':0.0,'8_13':0.0},(160,385):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(160,384):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0},(160,383):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(160,382):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(160,381):{'3_1':0.21,'6_1':0.03,'6_2':0.03,'4_1':0.03,'5_2':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(160,380):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(160,379):{'3_1':0.15,'4_1':0.03,'7_6':0.0,'5_1':0.0,'7_4':0.0,'5_2':0.0,'-3':0.0},(160,378):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0,'7_7':0.0},(160,377):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(160,376):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(160,375):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(160,374):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(160,373):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0},(160,372):{'3_1':0.15,'5_1':0.0,'6_3':0.0},(160,371):{'3_1':0.15,'5_1':0.0,'6_2':0.0,'4_1':0.0},(160,370):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(160,369):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_5':0.0},(160,368):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(160,367):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'-3':0.0},(160,366):{'3_1':0.12,'4_1':0.0,'7_5':0.0,'-3':0.0},(160,365):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(160,364):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_2':0.0},(160,363):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(160,362):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(160,361):{'3_1':0.12,'5_1':0.0},(160,360):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(160,359):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(160,358):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(160,357):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(160,356):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(160,355):{'3_1':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_16':0.0},(160,354):{'3_1':0.15,'5_2':0.0},(160,353):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_2':0.0},(160,352):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(160,351):{'3_1':0.09,'4_1':0.0},(160,350):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(160,349):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(160,348):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(160,347):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(160,346):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(160,345):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(160,344):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(160,343):{'3_1':0.12,'4_1':0.0},(160,342):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(160,341):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0},(160,340):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(160,339):{'3_1':0.12,'4_1':0.03},(160,338):{'3_1':0.12,'4_1':0.0},(160,337):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(160,336):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(160,335):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(160,334):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_3':0.0},(160,333):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(160,332):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(160,331):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(160,330):{'3_1':0.09,'6_1':0.0,'6_3':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(160,329):{'3_1':0.09,'4_1':0.0},(160,328):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(160,327):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(160,326):{'3_1':0.06,'4_1':0.0},(160,325):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(160,324):{'3_1':0.03},(160,323):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(160,322):{'3_1':0.12,'4_1':0.0},(160,321):{'3_1':0.03},(160,320):{'3_1':0.06,'5_1':0.0},(160,319):{'3_1':0.0,'4_1':0.0},(160,318):{'3_1':0.03,'4_1':0.03},(160,317):{'3_1':0.0,'4_1':0.0},(160,316):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(160,315):{'3_1':0.09},(160,314):{'3_1':0.03,'4_1':0.0},(160,313):{'4_1':0.0,'3_1':0.0},(160,312):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(160,311):{'3_1':0.03,'5_1':0.0},(160,310):{'3_1':0.06,'4_1':0.0},(160,309):{'3_1':0.0},(160,308):{'3_1':0.03,'4_1':0.0},(160,307):{'3_1':0.03,'5_1':0.0},(160,306):{'3_1':0.03,'4_1':0.0},(160,305):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(160,304):{'3_1':0.03,'5_1':0.0},(160,303):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(160,302):{'3_1':0.09},(160,301):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(160,300):{'3_1':0.0,'4_1':0.0},(160,299):{'3_1':0.06,'4_1':0.0},(160,298):{'3_1':0.03,'4_1':0.0},(160,297):{'3_1':0.06,'4_1':0.0},(160,296):{'3_1':0.03,'4_1':0.0},(160,295):{'3_1':0.03,'5_1':0.0},(160,294):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(160,293):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(160,292):{'3_1':0.03,'4_1':0.0},(160,291):{'3_1':0.03,'4_1':0.0},(160,290):{'3_1':0.0,'4_1':0.0},(160,289):{'3_1':0.0,'5_1':0.0},(160,288):{'3_1':0.0,'4_1':0.0},(160,287):{'3_1':0.03,'5_1':0.0},(160,286):{'3_1':0.03},(160,285):{'3_1':0.03},(160,284):{'3_1':0.03,'4_1':0.0},(160,283):{'3_1':0.03},(160,282):{'3_1':0.03,'4_1':0.0},(160,281):{'3_1':0.03,'4_1':0.0},(160,280):{'3_1':0.03},(160,279):{'3_1':0.0,'4_1':0.0},(160,278):{'3_1':0.06,'4_1':0.0},(160,277):{'3_1':0.03,'5_2':0.0},(160,276):{'3_1':0.06},(160,275):{'3_1':0.0,'4_1':0.0},(160,274):{'3_1':0.03,'4_1':0.0},(160,273):{'3_1':0.0,'4_1':0.0},(160,272):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(160,271):{'3_1':0.06},(160,270):{'3_1':0.03},(160,269):{'3_1':0.03,'4_1':0.0},(160,268):{'3_1':0.03},(160,267):{'3_1':0.0},(160,266):{'3_1':0.03,'4_1':0.0},(160,265):{'3_1':0.03},(160,264):{'3_1':0.03,'4_1':0.0},(160,263):{'3_1':0.06,'4_1':0.0},(160,262):{'3_1':0.03,'4_1':0.0},(160,261):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(160,260):{'3_1':0.0,'4_1':0.0},(160,259):{'3_1':0.03,'4_1':0.0},(160,258):{'3_1':0.03,'4_1':0.0},(160,257):{'3_1':0.0,'4_1':0.0},(160,256):{'3_1':0.06,'4_1':0.0},(160,255):{'3_1':0.03,'4_1':0.0},(160,254):{'3_1':0.0,'4_1':0.0},(160,253):{'3_1':0.0,'4_1':0.0},(160,252):{'3_1':0.0,'4_1':0.0},(160,251):{'3_1':0.06,'4_1':0.0},(160,250):{'3_1':0.03,'4_1':0.0},(160,249):{'3_1':0.03},(160,248):{'3_1':0.03},(160,247):{'3_1':0.0,'4_1':0.0},(160,246):{'3_1':0.03},(160,245):{'3_1':0.0},(160,244):{'3_1':0.03},(160,243):{'3_1':0.0},(160,242):{'3_1':0.03},(160,241):{'3_1':0.06},(160,240):{'3_1':0.03},(160,239):{'3_1':0.06},(160,238):{'3_1':0.0},(160,237):{'3_1':0.0},(160,236):{'3_1':0.0},(160,235):{'3_1':0.0,'4_1':0.0},(160,234):{'3_1':0.0},(160,232):{'3_1':0.0},(160,230):{'3_1':0.0},(160,229):{'3_1':0.03},(160,228):{'3_1':0.0},(160,227):{'3_1':0.0},(160,226):{'3_1':0.0},(160,225):{'3_1':0.0},(160,224):{'3_1':0.0},(160,223):{'3_1':0.0},(160,222):{'3_1':0.0},(160,221):{'3_1':0.0},(160,220):{'3_1':0.0},(160,219):{'3_1':0.0},(160,218):{'3_1':0.03},(160,217):{'3_1':0.0,'4_1':0.0},(160,216):{'3_1':0.0},(160,215):{'3_1':0.03},(160,214):{'3_1':0.0},(160,212):{'3_1':0.0},(160,211):{'3_1':0.0},(160,209):{'3_1':0.0},(160,208):{'3_1':0.0},(160,206):{'3_1':0.0},(160,203):{'3_1':0.0},(160,202):{'3_1':0.0},(160,195):{'3_1':0.0},(160,194):{'3_1':0.0},(160,191):{'3_1':0.0},(160,190):{'3_1':0.0},(160,181):{'3_1':0.0},(160,180):{'3_1':0.0},(160,179):{'3_1':0.0},(160,178):{'3_1':0.0},(160,176):{'3_1':0.0},(160,174):{'3_1':0.0},(161,459):{'3_1':0.6,'5_1':0.09,'7_1':0.0,'4_1':0.0,'6_2':0.0,'5_2':0.0},(161,458):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(161,457):{'3_1':0.66,'7_1':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0},(161,456):{'3_1':0.57,'5_1':0.06,'5_2':0.03,'6_2':0.03,'7_1':0.0,'4_1':0.0},(161,455):{'3_1':0.51,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0,'-3':0.0},(161,454):{'3_1':0.57,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(161,453):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'7_1':0.03,'4_1':0.0},(161,452):{'3_1':0.63,'5_1':0.06,'6_2':0.0,'7_1':0.0,'4_1':0.0,'7_2':0.0},(161,451):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(161,450):{'3_1':0.54,'5_1':0.09,'5_2':0.03,'-3':0.0,'4_1':0.0,'8_6':0.0},(161,449):{'3_1':0.54,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.03,'7_1':0.0,'7_3':0.0},(161,448):{'3_1':0.6,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(161,447):{'3_1':0.51,'5_1':0.09,'7_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(161,446):{'3_1':0.54,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(161,445):{'3_1':0.54,'5_1':0.09,'6_2':0.03,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_9':0.0},(161,444):{'3_1':0.54,'5_1':0.12,'-3':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0},(161,443):{'3_1':0.6,'5_1':0.15,'4_1':0.0,'6_2':0.0,'7_1':0.0,'5_2':0.0},(161,442):{'3_1':0.54,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(161,441):{'3_1':0.45,'5_1':0.15,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(161,440):{'3_1':0.63,'5_1':0.09,'7_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(161,439):{'3_1':0.51,'5_1':0.09,'5_2':0.03,'6_2':0.03,'7_1':0.0,'4_1':0.0,'8_2':0.0,'-3':0.0},(161,438):{'3_1':0.57,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(161,437):{'3_1':0.54,'5_1':0.12,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0},(161,436):{'3_1':0.63,'5_1':0.09,'7_1':0.0,'7_3':0.0,'-3':0.0},(161,435):{'3_1':0.54,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(161,434):{'3_1':0.51,'5_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0,'9_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(161,433):{'3_1':0.57,'5_1':0.12,'7_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(161,432):{'3_1':0.57,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'6_3':0.0},(161,431):{'3_1':0.57,'5_1':0.09,'4_1':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(161,430):{'3_1':0.51,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(161,429):{'3_1':0.54,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(161,428):{'3_1':0.51,'5_1':0.12,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(161,427):{'3_1':0.51,'5_1':0.06,'5_2':0.03,'-3':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0,'6_2':0.0},(161,426):{'3_1':0.45,'5_1':0.12,'7_1':0.0,'-3':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'1':-0.03},(161,425):{'3_1':0.42,'5_1':0.21,'4_1':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0,'8_2':0.0},(161,424):{'3_1':0.57,'5_1':0.12,'5_2':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0,'-3':0.0},(161,423):{'3_1':0.39,'5_1':0.18,'5_2':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_16':0.0},(161,422):{'3_1':0.45,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0,'8_2':0.0,'6_2':0.0,'7_2':0.0},(161,421):{'3_1':0.51,'5_1':0.12,'7_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(161,420):{'3_1':0.36,'5_1':0.09,'5_2':0.06,'7_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0,'8_11':0.0},(161,419):{'3_1':0.45,'5_1':0.12,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0},(161,418):{'3_1':0.42,'5_1':0.12,'4_1':0.03,'6_2':0.03,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(161,417):{'3_1':0.48,'5_1':0.15,'4_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(161,416):{'3_1':0.51,'5_1':0.12,'5_2':0.03,'7_1':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'9_1':0.0,'-3':0.0},(161,415):{'3_1':0.42,'5_1':0.15,'6_2':0.03,'4_1':0.03,'7_1':0.03,'7_5':0.0,'-3':0.0,'8_2':0.0,'8_4':0.0,'8_9':0.0},(161,414):{'3_1':0.36,'5_1':0.18,'5_2':0.03,'7_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(161,413):{'3_1':0.45,'5_1':0.12,'5_2':0.06,'8_2':0.06,'7_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_14':0.0},(161,412):{'3_1':0.36,'5_1':0.18,'6_2':0.06,'7_1':0.03,'5_2':0.03,'8_2':0.0,'4_1':0.0,'8_7':0.0},(161,411):{'3_1':0.45,'5_1':0.18,'5_2':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'7_3':0.0},(161,410):{'3_1':0.48,'5_1':0.12,'7_1':0.06,'5_2':0.0,'4_1':0.0,'7_5':0.0,'7_3':0.0,'9_1':0.0,'-3':0.0},(161,409):{'3_1':0.39,'5_1':0.18,'5_2':0.06,'7_1':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(161,408):{'3_1':0.42,'5_1':0.15,'5_2':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(161,407):{'3_1':0.51,'5_1':0.06,'4_1':0.03,'6_2':0.03,'7_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0},(161,406):{'3_1':0.51,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.03,'7_1':0.0,'-3':0.0},(161,405):{'3_1':0.48,'5_1':0.15,'5_2':0.06,'7_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(161,404):{'3_1':0.48,'5_1':0.09,'5_2':0.06,'7_1':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_3':0.0,'9_1':0.0,'-3':0.0},(161,403):{'3_1':0.45,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(161,402):{'3_1':0.54,'5_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0,'6_1':0.0,'7_2':0.0},(161,401):{'3_1':0.45,'5_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'1':-0.03},(161,400):{'3_1':0.51,'5_1':0.03,'7_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(161,399):{'3_1':0.51,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'9_1':0.0,'-3':0.0},(161,398):{'3_1':0.45,'5_1':0.09,'5_2':0.06,'6_2':0.0,'4_1':0.0,'9_1':0.0},(161,397):{'3_1':0.42,'4_1':0.06,'5_1':0.06,'6_2':0.03,'5_2':0.03,'-3':0.03,'6_1':0.0},(161,396):{'3_1':0.48,'5_2':0.06,'5_1':0.06,'6_2':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(161,395):{'3_1':0.39,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0},(161,394):{'3_1':0.42,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(161,393):{'3_1':0.3,'5_1':0.06,'6_2':0.06,'4_1':0.03,'5_2':0.03,'-3':0.0,'7_1':0.0,'8_19':0.0},(161,392):{'3_1':0.33,'5_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(161,391):{'3_1':0.33,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_1':0.0,'-3':0.0},(161,390):{'3_1':0.3,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'8_19':0.0,'-3':0.0},(161,389):{'3_1':0.27,'4_1':0.06,'5_1':0.06,'6_2':0.0,'7_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(161,388):{'3_1':0.33,'5_1':0.09,'4_1':0.06,'6_2':0.03,'-3':0.0,'5_2':0.0},(161,387):{'3_1':0.3,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_4':0.0},(161,386):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.03,'-3':0.0,'7_1':0.0,'6_2':0.0},(161,385):{'3_1':0.21,'5_1':0.03,'-3':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(161,384):{'3_1':0.21,'5_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0},(161,383):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(161,382):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0},(161,381):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(161,380):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'-3':0.0,'5_2':0.0,'7_3':0.0},(161,379):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0},(161,378):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(161,377):{'3_1':0.18,'4_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0},(161,376):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0},(161,375):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0},(161,374):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(161,373):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(161,372):{'3_1':0.18,'5_2':0.0},(161,371):{'3_1':0.18,'4_1':0.0,'6_3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(161,370):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(161,369):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(161,368):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_17':0.0},(161,367):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(161,366):{'3_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(161,365):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(161,364):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(161,363):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(161,362):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(161,361):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(161,360):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(161,359):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_14':0.0},(161,358):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(161,357):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(161,356):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(161,355):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0},(161,354):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(161,353):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(161,352):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(161,351):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(161,350):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(161,349):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(161,348):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(161,347):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0},(161,346):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(161,345):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(161,344):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0},(161,343):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(161,342):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(161,341):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(161,340):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'-3':0.0},(161,339):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(161,338):{'3_1':0.09,'4_1':0.03},(161,337):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(161,336):{'3_1':0.15,'4_1':0.06,'6_2':0.0},(161,335):{'3_1':0.15,'4_1':0.03},(161,334):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(161,333):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(161,332):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(161,331):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(161,330):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(161,329):{'3_1':0.09,'4_1':0.0},(161,328):{'3_1':0.03,'4_1':0.03},(161,327):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(161,326):{'3_1':0.03,'4_1':0.0},(161,325):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(161,324):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(161,323):{'3_1':0.06,'4_1':0.0},(161,322):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(161,321):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(161,320):{'3_1':0.03,'4_1':0.0},(161,319):{'3_1':0.03,'4_1':0.0},(161,318):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(161,317):{'3_1':0.06,'4_1':0.0},(161,316):{'3_1':0.0,'8_21|3_1#4_1':0.0},(161,315):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(161,314):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(161,313):{'3_1':0.06},(161,312):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(161,311):{'3_1':0.06},(161,310):{'3_1':0.09,'4_1':0.0},(161,309):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(161,308):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(161,307):{'3_1':0.03,'4_1':0.0},(161,306):{'3_1':0.0},(161,305):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(161,304):{'3_1':0.03,'5_2':0.0},(161,303):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(161,302):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(161,301):{'3_1':0.03,'4_1':0.0},(161,300):{'3_1':0.06,'4_1':0.0},(161,299):{'3_1':0.03},(161,298):{'4_1':0.0,'3_1':0.0},(161,297):{'3_1':0.03,'4_1':0.0},(161,296):{'4_1':0.0,'3_1':0.0},(161,295):{'3_1':0.03,'6_2':0.0},(161,294):{'3_1':0.06,'4_1':0.0},(161,293):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(161,292):{'3_1':0.03,'4_1':0.0},(161,291):{'3_1':0.06,'4_1':0.0},(161,290):{'3_1':0.03,'4_1':0.0},(161,289):{'3_1':0.0},(161,288):{'3_1':0.06,'4_1':0.0},(161,287):{'3_1':0.0,'5_2':0.0},(161,286):{'3_1':0.03},(161,285):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(161,284):{'3_1':0.0,'4_1':0.0},(161,283):{'3_1':0.06},(161,282):{'3_1':0.03,'4_1':0.0},(161,281):{'3_1':0.03},(161,280):{'3_1':0.06,'4_1':0.0},(161,279):{'3_1':0.03},(161,278):{'3_1':0.03},(161,277):{'3_1':0.0,'4_1':0.0},(161,276):{'3_1':0.03},(161,275):{'3_1':0.06,'4_1':0.0},(161,274):{'3_1':0.03},(161,273):{'3_1':0.03,'4_1':0.0},(161,272):{'3_1':0.03,'4_1':0.0},(161,271):{'3_1':0.03,'4_1':0.03},(161,270):{'3_1':0.03,'5_2':0.0},(161,269):{'3_1':0.0},(161,268):{'3_1':0.06,'4_1':0.0},(161,267):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(161,266):{'3_1':0.0,'4_1':0.0},(161,265):{'3_1':0.06},(161,264):{'3_1':0.03,'4_1':0.0},(161,263):{'3_1':0.06},(161,262):{'3_1':0.03,'4_1':0.0},(161,261):{'3_1':0.03,'4_1':0.03},(161,260):{'3_1':0.06},(161,259):{'3_1':0.0,'4_1':0.0},(161,258):{'3_1':0.06,'4_1':0.0},(161,257):{'3_1':0.03,'4_1':0.0},(161,256):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(161,255):{'3_1':0.0,'4_1':0.0},(161,254):{'3_1':0.0},(161,253):{'3_1':0.03,'4_1':0.0},(161,252):{'3_1':0.03,'4_1':0.0},(161,251):{'3_1':0.06},(161,250):{'3_1':0.0,'4_1':0.0},(161,249):{'3_1':0.0},(161,247):{'3_1':0.0},(161,246):{'3_1':0.0},(161,245):{'3_1':0.03,'4_1':0.0},(161,244):{'3_1':0.0},(161,243):{'3_1':0.0},(161,242):{'3_1':0.0},(161,241):{'3_1':0.06},(161,240):{'3_1':0.0},(161,239):{'3_1':0.06},(161,238):{'3_1':0.0},(161,237):{'3_1':0.0,'4_1':0.0},(161,236):{'3_1':0.0,'4_1':0.0},(161,234):{'3_1':0.0},(161,233):{'3_1':0.0},(161,231):{'3_1':0.0},(161,230):{'3_1':0.0},(161,228):{'3_1':0.03},(161,227):{'3_1':0.03},(161,226):{'3_1':0.0},(161,225):{'3_1':0.0},(161,224):{'4_1':0.0},(161,223):{'3_1':0.03},(161,222):{'3_1':0.0},(161,221):{'3_1':0.0},(161,220):{'3_1':0.0},(161,219):{'3_1':0.0,'5_2':0.0},(161,218):{'3_1':0.0},(161,216):{'3_1':0.0},(161,215):{'3_1':0.0},(161,214):{'3_1':0.03},(161,213):{'3_1':0.0},(161,212):{'3_1':0.0},(161,211):{'3_1':0.0},(161,209):{'3_1':0.0},(161,208):{'3_1':0.0},(161,207):{'3_1':0.0},(161,206):{'3_1':0.0},(161,205):{'3_1':0.0},(161,204):{'3_1':0.0},(161,203):{'3_1':0.0},(161,199):{'3_1':0.0},(161,194):{'3_1':0.0},(161,193):{'3_1':0.0},(161,189):{'3_1':0.0},(161,188):{'3_1':0.0},(161,185):{'3_1':0.0},(162,459):{'3_1':0.57,'5_1':0.09,'6_2':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(162,458):{'3_1':0.45,'5_1':0.12,'5_2':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0},(162,457):{'3_1':0.51,'5_1':0.06,'5_2':0.03,'6_2':0.0,'4_1':0.0,'8_14':0.0},(162,456):{'3_1':0.36,'5_1':0.12,'6_2':0.03,'4_1':0.03,'5_2':0.0,'8_2':0.0},(162,455):{'3_1':0.45,'5_1':0.12,'6_2':0.03,'7_1':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0},(162,454):{'3_1':0.39,'5_1':0.12,'5_2':0.03,'6_2':0.03,'7_1':0.0},(162,453):{'3_1':0.45,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_14':0.0},(162,452):{'3_1':0.6,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(162,451):{'3_1':0.51,'6_2':0.06,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(162,450):{'3_1':0.48,'5_1':0.12,'6_2':0.06,'5_2':0.0,'7_1':0.0},(162,449):{'3_1':0.51,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(162,448):{'3_1':0.54,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(162,447):{'3_1':0.51,'5_1':0.09,'6_2':0.06,'5_2':0.03,'7_1':0.0,'-3':0.0},(162,446):{'3_1':0.48,'5_1':0.09,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_14':0.0,'-3':0.0},(162,445):{'3_1':0.45,'5_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(162,444):{'3_1':0.51,'5_2':0.06,'6_2':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0},(162,443):{'3_1':0.54,'5_1':0.06,'6_2':0.03,'5_2':0.0,'-3':0.0,'7_1':0.0,'8_2':0.0},(162,442):{'3_1':0.42,'5_1':0.06,'6_2':0.03,'5_2':0.03,'7_3':0.0,'7_5':0.0},(162,441):{'3_1':0.45,'5_1':0.09,'6_2':0.09,'7_1':0.0,'4_1':0.0,'5_2':0.0},(162,440):{'3_1':0.42,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(162,439):{'3_1':0.42,'5_1':0.15,'5_2':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0},(162,438):{'3_1':0.54,'5_1':0.12,'5_2':0.06,'6_2':0.0,'8_2':0.0,'7_1':0.0,'7_3':0.0},(162,437):{'3_1':0.48,'5_1':0.12,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0},(162,436):{'3_1':0.42,'5_1':0.12,'5_2':0.06,'6_2':0.03,'8_2':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0},(162,435):{'3_1':0.42,'5_1':0.06,'6_2':0.03,'5_2':0.03,'4_1':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0},(162,434):{'3_1':0.48,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0},(162,433):{'3_1':0.48,'5_1':0.09,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0,'9_1':0.0},(162,432):{'3_1':0.36,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(162,431):{'3_1':0.45,'5_1':0.12,'6_2':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(162,430):{'3_1':0.45,'5_1':0.12,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0,'8_7':0.0,'-3':0.0},(162,429):{'3_1':0.36,'5_1':0.12,'5_2':0.03,'6_2':0.0,'8_2':0.0,'7_3':0.0},(162,428):{'3_1':0.42,'5_1':0.09,'5_2':0.06,'6_2':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_19':0.0},(162,427):{'3_1':0.51,'5_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(162,426):{'3_1':0.39,'5_1':0.09,'6_2':0.03,'4_1':0.0,'8_2':0.0,'8_11':0.0,'7_5':0.0},(162,425):{'3_1':0.39,'5_1':0.09,'6_2':0.09,'5_2':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0},(162,424):{'3_1':0.42,'5_1':0.09,'4_1':0.03,'5_2':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'8_19':0.0,'-3':0.0},(162,423):{'3_1':0.45,'6_2':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'-3':0.0,'1':-0.03},(162,422):{'3_1':0.42,'5_1':0.06,'5_2':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0},(162,421):{'3_1':0.42,'5_1':0.12,'6_2':0.06,'4_1':0.0,'8_2':0.0,'5_2':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(162,420):{'3_1':0.3,'5_1':0.15,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_9':0.0,'8_11':0.0,'-3':0.0},(162,419):{'3_1':0.33,'5_1':0.12,'5_2':0.06,'6_2':0.06,'4_1':0.03,'7_5':0.0,'-3':0.0,'8_2':0.0},(162,418):{'3_1':0.36,'5_1':0.12,'6_2':0.03,'7_1':0.03,'4_1':0.0,'8_2':0.0,'-3':0.0,'5_2':0.0,'7_2':0.0,'7_5':0.0,'8_9':0.0,'8_14':0.0},(162,417):{'3_1':0.42,'5_1':0.06,'6_2':0.03,'8_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(162,416):{'3_1':0.39,'5_1':0.09,'6_2':0.06,'4_1':0.0,'8_2':0.0,'5_2':0.0,'7_1':0.0,'8_11':0.0},(162,415):{'3_1':0.42,'5_1':0.15,'6_2':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0},(162,414):{'3_1':0.3,'5_1':0.12,'8_2':0.06,'6_2':0.03,'5_2':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_14':0.0},(162,413):{'3_1':0.36,'5_1':0.09,'4_1':0.03,'7_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0,'8_14':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(162,412):{'3_1':0.39,'5_1':0.12,'6_2':0.09,'5_2':0.03,'4_1':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0,'1':-0.03},(162,411):{'3_1':0.36,'5_1':0.09,'6_2':0.06,'5_2':0.03,'8_2':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_6':0.0,'8_7':0.0},(162,410):{'3_1':0.36,'5_1':0.15,'5_2':0.03,'8_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0},(162,409):{'3_1':0.33,'5_1':0.21,'5_2':0.03,'4_1':0.03,'6_2':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_9':0.0},(162,408):{'3_1':0.33,'5_1':0.18,'5_2':0.03,'6_2':0.03,'4_1':0.03,'-3':0.0,'7_1':0.0,'8_9':0.0,'7_5':0.0,'8_2':0.0},(162,407):{'3_1':0.39,'5_2':0.06,'5_1':0.06,'6_2':0.03,'4_1':0.03,'7_5':0.0,'7_3':0.0,'-3':0.0,'1':-0.03},(162,406):{'3_1':0.45,'5_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0,'-3':0.0,'7_3':0.0},(162,405):{'3_1':0.39,'5_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0},(162,404):{'3_1':0.45,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0,'8_11':0.0},(162,403):{'3_1':0.36,'5_1':0.15,'6_2':0.06,'4_1':0.03,'5_2':0.03},(162,402):{'3_1':0.45,'5_1':0.12,'4_1':0.03,'5_2':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(162,401):{'3_1':0.48,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0},(162,400):{'3_1':0.45,'5_1':0.12,'6_2':0.03,'4_1':0.03,'5_2':0.0,'7_6':0.0},(162,399):{'3_1':0.39,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0},(162,398):{'3_1':0.36,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0,'7_6':0.0},(162,397):{'3_1':0.45,'6_2':0.06,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0},(162,396):{'3_1':0.36,'5_1':0.09,'6_2':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(162,395):{'3_1':0.27,'5_1':0.15,'4_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.0,'8_4':0.0,'8_19':0.0,'-3':0.0},(162,394):{'3_1':0.3,'5_1':0.12,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_1':0.0},(162,393):{'3_1':0.33,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(162,392):{'3_1':0.27,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(162,391):{'3_1':0.27,'5_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(162,390):{'3_1':0.33,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0},(162,389):{'3_1':0.24,'4_1':0.09,'6_2':0.03,'5_1':0.03,'-3':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(162,388):{'3_1':0.27,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_9':0.0},(162,387):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(162,386):{'3_1':0.24,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(162,385):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'6_2':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0},(162,384):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'8_16':0.0,'-3':0.0},(162,383):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'8_17':0.0},(162,382):{'3_1':0.21,'6_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0,'-3':0.0},(162,381):{'3_1':0.21,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_17':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(162,380):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(162,379):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(162,378):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(162,377):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0},(162,376):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(162,375):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(162,374):{'3_1':0.15,'4_1':0.09},(162,373):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_3':0.0,'6_1':0.0,'6_2':0.0},(162,372):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(162,371):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(162,370):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(162,369):{'3_1':0.09,'4_1':0.03,'-3':0.0},(162,368):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0},(162,367):{'3_1':0.18,'4_1':0.03,'6_3':0.0},(162,366):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(162,365):{'3_1':0.24,'4_1':0.06},(162,364):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'7_6':0.0},(162,363):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(162,362):{'3_1':0.12,'4_1':0.06},(162,361):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(162,360):{'3_1':0.12,'4_1':0.0},(162,359):{'3_1':0.12,'4_1':0.03},(162,358):{'4_1':0.03,'3_1':0.03},(162,357):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'-3':0.0},(162,356):{'3_1':0.15,'4_1':0.09,'6_2':0.0},(162,355):{'3_1':0.12,'4_1':0.03},(162,354):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0},(162,353):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(162,352):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(162,351):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(162,350):{'3_1':0.06,'4_1':0.0},(162,349):{'3_1':0.09,'4_1':0.03},(162,348):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(162,347):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(162,346):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(162,345):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(162,344):{'3_1':0.09,'4_1':0.03},(162,343):{'3_1':0.12,'4_1':0.06},(162,342):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(162,341):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(162,340):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(162,339):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_3':0.0},(162,338):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(162,337):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(162,336):{'3_1':0.06,'4_1':0.03},(162,335):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(162,334):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(162,333):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(162,332):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(162,331):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(162,330):{'3_1':0.15,'4_1':0.03},(162,329):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0},(162,328):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(162,327):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(162,326):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(162,325):{'3_1':0.03,'4_1':0.03},(162,324):{'3_1':0.09,'4_1':0.0},(162,323):{'3_1':0.03,'4_1':0.0},(162,322):{'3_1':0.06,'4_1':0.0},(162,321):{'3_1':0.09,'4_1':0.0},(162,320):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(162,319):{'3_1':0.09,'6_1':0.0},(162,318):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(162,317):{'3_1':0.06,'4_1':0.0},(162,316):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(162,315):{'3_1':0.06,'4_1':0.0},(162,314):{'3_1':0.03,'6_2':0.0},(162,313):{'3_1':0.0,'4_1':0.0},(162,312):{'3_1':0.03,'4_1':0.0},(162,311):{'3_1':0.06,'4_1':0.0},(162,310):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(162,309):{'4_1':0.06,'3_1':0.03},(162,308):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(162,307):{'3_1':0.06,'4_1':0.0},(162,306):{'3_1':0.03,'4_1':0.0},(162,305):{'3_1':0.03},(162,304):{'3_1':0.0,'4_1':0.0},(162,303):{'3_1':0.03},(162,302):{'3_1':0.03,'4_1':0.0},(162,301):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0},(162,300):{'3_1':0.09,'4_1':0.0},(162,299):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(162,298):{'3_1':0.03,'4_1':0.0},(162,297):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(162,296):{'3_1':0.06,'8_20|3_1#3_1':0.0},(162,295):{'3_1':0.03,'4_1':0.0},(162,294):{'3_1':0.06,'5_1':0.0},(162,293):{'3_1':0.03,'4_1':0.0},(162,292):{'3_1':0.03,'4_1':0.03},(162,291):{'3_1':0.06},(162,290):{'3_1':0.0,'4_1':0.0},(162,289):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(162,288):{'3_1':0.06},(162,287):{'3_1':0.06},(162,286):{'3_1':0.0,'4_1':0.0},(162,285):{'3_1':0.03},(162,284):{'3_1':0.03,'4_1':0.0},(162,283):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(162,282):{'3_1':0.03,'4_1':0.0},(162,281):{'3_1':0.06},(162,280):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(162,279):{'3_1':0.03,'4_1':0.0},(162,278):{'3_1':0.06,'4_1':0.0},(162,277):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(162,276):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(162,275):{'3_1':0.03},(162,274):{'3_1':0.0,'5_2':0.0},(162,273):{'3_1':0.12},(162,272):{'3_1':0.03,'4_1':0.0},(162,271):{'3_1':0.03},(162,270):{'3_1':0.03,'4_1':0.0},(162,269):{'3_1':0.0,'4_1':0.0},(162,268):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(162,267):{'4_1':0.0},(162,266):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(162,265):{'3_1':0.03,'4_1':0.0},(162,264):{'3_1':0.06},(162,263):{'3_1':0.0,'4_1':0.0},(162,262):{'3_1':0.06},(162,261):{'3_1':0.03,'4_1':0.0},(162,260):{'3_1':0.0,'4_1':0.0},(162,259):{'3_1':0.0,'4_1':0.0},(162,258):{'3_1':0.09},(162,257):{'3_1':0.0},(162,256):{'3_1':0.03},(162,255):{'4_1':0.0,'3_1':0.0},(162,254):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(162,253):{'3_1':0.03,'4_1':0.0},(162,252):{'3_1':0.03},(162,251):{'3_1':0.06,'4_1':0.0},(162,250):{'3_1':0.03,'4_1':0.0},(162,249):{'3_1':0.03},(162,248):{'3_1':0.03},(162,247):{'3_1':0.03},(162,246):{'3_1':0.03,'4_1':0.0},(162,245):{'3_1':0.0},(162,244):{'3_1':0.03},(162,242):{'3_1':0.0},(162,241):{'3_1':0.03},(162,240):{'3_1':0.03},(162,239):{'3_1':0.09},(162,238):{'3_1':0.0},(162,237):{'3_1':0.0},(162,236):{'3_1':0.0},(162,235):{'3_1':0.0},(162,233):{'3_1':0.0},(162,232):{'3_1':0.0},(162,231):{'3_1':0.0},(162,230):{'3_1':0.0},(162,228):{'3_1':0.0},(162,227):{'3_1':0.0},(162,226):{'3_1':0.0},(162,225):{'3_1':0.0},(162,223):{'3_1':0.0},(162,222):{'3_1':0.0},(162,221):{'3_1':0.0},(162,220):{'3_1':0.0},(162,219):{'3_1':0.0},(162,218):{'3_1':0.0},(162,217):{'3_1':0.0},(162,216):{'3_1':0.0},(162,215):{'3_1':0.0},(162,214):{'3_1':0.03},(162,211):{'3_1':0.0},(162,210):{'3_1':0.0},(162,209):{'3_1':0.0},(162,207):{'3_1':0.0},(162,206):{'3_1':0.0},(162,201):{'3_1':0.0},(162,199):{'3_1':0.0},(162,186):{'3_1':0.0},(162,185):{'3_1':0.0},(163,459):{'3_1':0.39,'5_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_2':0.0},(163,458):{'3_1':0.45,'5_1':0.06,'6_2':0.06,'4_1':0.0,'5_2':0.0,'7_7':0.0},(163,457):{'3_1':0.39,'5_1':0.12,'5_2':0.0,'6_2':0.0},(163,456):{'3_1':0.45,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'8_2':0.0},(163,455):{'3_1':0.33,'5_1':0.06,'6_2':0.06,'4_1':0.03,'5_2':0.03},(163,454):{'3_1':0.48,'5_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_2':0.0},(163,453):{'3_1':0.39,'6_2':0.06,'4_1':0.03,'5_1':0.03},(163,452):{'3_1':0.42,'5_1':0.06,'5_2':0.03,'6_2':0.03,'7_5':0.0},(163,451):{'3_1':0.33,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(163,450):{'3_1':0.45,'5_1':0.06,'6_2':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(163,449):{'3_1':0.36,'5_1':0.12,'6_2':0.03,'4_1':0.0,'5_2':0.0},(163,448):{'3_1':0.33,'6_2':0.09,'5_1':0.03,'4_1':0.03,'5_2':0.0,'-3':0.0},(163,447):{'3_1':0.33,'5_1':0.12,'6_2':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0},(163,446):{'3_1':0.51,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.0,'8_11':0.0,'8_2':0.0},(163,445):{'3_1':0.42,'5_1':0.03,'6_2':0.03,'5_2':0.03,'4_1':0.0},(163,444):{'3_1':0.39,'5_1':0.03,'4_1':0.03,'6_2':0.03,'5_2':0.0,'8_2':0.0},(163,443):{'3_1':0.33,'5_1':0.12,'6_2':0.06,'4_1':0.03,'8_2':0.0},(163,442):{'3_1':0.33,'5_1':0.09,'6_2':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0},(163,441):{'3_1':0.36,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.03,'-3':0.0},(163,440):{'3_1':0.33,'5_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.03,'8_2':0.0,'-3':0.0},(163,439):{'3_1':0.36,'5_1':0.09,'5_2':0.03,'6_2':0.03},(163,438):{'3_1':0.45,'6_2':0.06,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0},(163,437):{'3_1':0.33,'5_1':0.06,'5_2':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0},(163,436):{'3_1':0.39,'5_1':0.06,'6_2':0.06,'5_2':0.03,'4_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(163,435):{'3_1':0.42,'5_1':0.12,'4_1':0.0,'6_2':0.0,'8_2':0.0},(163,434):{'3_1':0.39,'5_2':0.06,'5_1':0.03,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(163,433):{'3_1':0.45,'5_1':0.09,'6_2':0.0,'4_1':0.0,'5_2':0.0},(163,432):{'3_1':0.39,'5_1':0.06,'6_2':0.06,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0},(163,431):{'3_1':0.45,'5_1':0.09,'6_2':0.03,'5_2':0.03,'-3':0.0},(163,430):{'3_1':0.36,'5_1':0.09,'6_2':0.06,'4_1':0.0,'5_2':0.0,'8_2':0.0,'6_1':0.0,'7_1':0.0,'8_7':0.0},(163,429):{'3_1':0.48,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(163,428):{'3_1':0.33,'5_1':0.06,'6_2':0.06,'5_2':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0},(163,427):{'3_1':0.33,'5_1':0.06,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(163,426):{'3_1':0.42,'5_1':0.06,'6_2':0.06,'4_1':0.0,'5_2':0.0,'8_2':0.0,'1':-0.03},(163,425):{'3_1':0.39,'5_1':0.06,'6_2':0.06,'4_1':0.0,'5_2':0.0,'8_9':0.0,'8_11':0.0},(163,424):{'3_1':0.39,'5_1':0.09,'5_2':0.06,'6_2':0.06,'4_1':0.03,'8_2':0.0,'8_11':0.0,'8_14':0.0,'-3':0.0,'1':-0.03},(163,423):{'3_1':0.39,'5_1':0.06,'6_2':0.0,'-3':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(163,422):{'3_1':0.39,'5_1':0.09,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(163,421):{'3_1':0.42,'5_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_11':0.0},(163,420):{'3_1':0.42,'5_1':0.06,'6_2':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0},(163,419):{'3_1':0.39,'5_1':0.09,'6_2':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(163,418):{'3_1':0.45,'5_1':0.09,'6_2':0.03,'4_1':0.03,'8_2':0.0,'5_2':0.0,'7_3':0.0,'8_6':0.0,'8_9':0.0},(163,417):{'3_1':0.33,'5_1':0.12,'5_2':0.03,'6_2':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0,'8_9':0.0},(163,416):{'3_1':0.39,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_3':0.0},(163,415):{'3_1':0.36,'5_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.03,'7_1':0.0,'8_2':0.0,'8_7':0.0,'8_11':0.0},(163,414):{'3_1':0.42,'5_1':0.12,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(163,413):{'3_1':0.42,'5_1':0.06,'5_2':0.06,'6_2':0.03,'4_1':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(163,412):{'3_1':0.45,'5_1':0.09,'6_2':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_7':0.0,'8_11':0.0,'-3':0.0},(163,411):{'3_1':0.33,'5_1':0.12,'6_2':0.06,'5_2':0.03,'4_1':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_4':0.0},(163,410):{'3_1':0.36,'5_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0},(163,409):{'3_1':0.45,'5_1':0.09,'5_2':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0,'7_1':0.0,'8_9':0.0},(163,408):{'3_1':0.39,'5_1':0.09,'6_2':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(163,407):{'3_1':0.39,'5_1':0.06,'6_2':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0},(163,406):{'3_1':0.36,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_2':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(163,405):{'3_1':0.45,'5_1':0.06,'6_2':0.06,'5_2':0.0,'4_1':0.0,'8_2':0.0},(163,404):{'3_1':0.42,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(163,403):{'3_1':0.39,'5_1':0.15,'4_1':0.03,'6_2':0.0,'8_9':0.0,'5_2':0.0,'8_2':0.0},(163,402):{'3_1':0.39,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(163,401):{'3_1':0.45,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_4':0.0},(163,400):{'3_1':0.42,'5_1':0.09,'6_2':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'8_7':0.0},(163,399):{'3_1':0.33,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.03},(163,398):{'3_1':0.42,'5_2':0.06,'5_1':0.03,'6_2':0.03,'4_1':0.0,'7_6':0.0},(163,397):{'3_1':0.36,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(163,396):{'3_1':0.36,'6_2':0.03,'5_2':0.03,'5_1':0.03,'6_1':0.0,'4_1':0.0,'7_4':0.0,'6_3':0.0,'7_2':0.0},(163,395):{'3_1':0.36,'5_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'8_2':0.0,'-3':0.0},(163,394):{'3_1':0.3,'5_1':0.06,'6_2':0.06,'5_2':0.03,'4_1':0.03,'6_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(163,393):{'3_1':0.21,'5_1':0.06,'6_2':0.03,'5_2':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(163,392):{'3_1':0.36,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.03,'7_6':0.0},(163,391):{'3_1':0.33,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0,'8_6':0.0},(163,390):{'3_1':0.3,'5_1':0.12,'4_1':0.06,'5_2':0.03,'6_2':0.03,'6_1':0.0,'8_2':0.0},(163,389):{'3_1':0.24,'5_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(163,388):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(163,387):{'3_1':0.27,'4_1':0.06,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(163,386):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(163,385):{'3_1':0.21,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(163,384):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0},(163,383):{'3_1':0.18,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(163,382):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_11':0.0},(163,381):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_17':0.0},(163,380):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(163,379):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(163,378):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_17':0.0},(163,377):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_13':0.0},(163,376):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0},(163,375):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(163,374):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(163,373):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_17':0.0},(163,372):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'8_9':0.0},(163,371):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'-3':0.0},(163,370):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(163,369):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(163,368):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(163,367):{'3_1':0.18,'4_1':0.06,'6_3':0.0},(163,366):{'3_1':0.12,'4_1':0.06,'8_21|3_1#4_1':0.0},(163,365):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(163,364):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(163,363):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(163,362):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(163,361):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(163,360):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(163,359):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'8_9':0.0},(163,358):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(163,357):{'3_1':0.18,'4_1':0.0,'8_14':0.0},(163,356):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(163,355):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'8_9':0.0},(163,354):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(163,353):{'3_1':0.12,'4_1':0.06,'8_14':0.0},(163,352):{'3_1':0.12,'6_2':0.0},(163,351):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(163,350):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(163,349):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(163,348):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(163,347):{'3_1':0.06,'4_1':0.0},(163,346):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(163,345):{'3_1':0.06,'4_1':0.03},(163,344):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(163,343):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0},(163,342):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0},(163,341):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(163,340):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(163,339):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(163,338):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(163,337):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0},(163,336):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(163,335):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(163,334):{'3_1':0.15,'4_1':0.06},(163,333):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(163,332):{'4_1':0.06,'3_1':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(163,331):{'3_1':0.15,'4_1':0.03,'6_3':0.0,'5_2':0.0},(163,330):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(163,329):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(163,328):{'3_1':0.12,'4_1':0.03},(163,327):{'3_1':0.12,'4_1':0.03},(163,326):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(163,325):{'3_1':0.06,'4_1':0.0},(163,324):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(163,323):{'3_1':0.06,'4_1':0.03},(163,322):{'3_1':0.06},(163,321):{'3_1':0.03,'4_1':0.0},(163,320):{'3_1':0.06},(163,319):{'3_1':0.03,'4_1':0.0},(163,318):{'3_1':0.06,'4_1':0.0},(163,317):{'3_1':0.09,'4_1':0.0},(163,316):{'3_1':0.03,'4_1':0.0},(163,315):{'3_1':0.06,'4_1':0.0},(163,314):{'3_1':0.03},(163,313):{'4_1':0.03,'3_1':0.0},(163,312):{'3_1':0.06,'4_1':0.03},(163,311):{'3_1':0.06,'4_1':0.0},(163,310):{'3_1':0.03,'4_1':0.03,'8_21|3_1#4_1':0.0},(163,309):{'4_1':0.03,'3_1':0.0},(163,308):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(163,307):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(163,306):{'4_1':0.0,'3_1':0.0},(163,305):{'3_1':0.06},(163,304):{'3_1':0.0},(163,303):{'3_1':0.06},(163,302):{'3_1':0.03,'4_1':0.0},(163,301):{'3_1':0.03},(163,300):{'3_1':0.06,'4_1':0.0},(163,299):{'4_1':0.03,'3_1':0.0},(163,298):{'3_1':0.06,'4_1':0.0},(163,297):{'3_1':0.0},(163,296):{'3_1':0.03,'4_1':0.0},(163,295):{'3_1':0.03,'4_1':0.0},(163,294):{'3_1':0.09,'4_1':0.0},(163,293):{'3_1':0.03},(163,292):{'3_1':0.03},(163,291):{'3_1':0.09,'4_1':0.0},(163,290):{'3_1':0.06},(163,289):{'3_1':0.03,'4_1':0.0},(163,288):{'3_1':0.0,'4_1':0.0},(163,287):{'3_1':0.06},(163,286):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(163,285):{'3_1':0.03,'4_1':0.0},(163,284):{'3_1':0.0},(163,283):{'3_1':0.06,'4_1':0.0},(163,282):{'3_1':0.06},(163,281):{'3_1':0.0},(163,280):{'3_1':0.03},(163,279):{'3_1':0.03,'4_1':0.0},(163,278):{'3_1':0.03,'4_1':0.0},(163,277):{'3_1':0.09,'4_1':0.0},(163,276):{'3_1':0.03,'4_1':0.0},(163,275):{'3_1':0.03,'4_1':0.0},(163,274):{'3_1':0.03},(163,273):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(163,272):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(163,271):{'3_1':0.06,'4_1':0.0},(163,270):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(163,269):{'3_1':0.06,'4_1':0.0},(163,268):{'3_1':0.03,'4_1':0.0},(163,267):{'3_1':0.03},(163,266):{'3_1':0.03,'4_1':0.0},(163,265):{'3_1':0.06},(163,264):{'3_1':0.03},(163,263):{'3_1':0.03,'5_2':0.0},(163,262):{'3_1':0.0,'4_1':0.0},(163,261):{'3_1':0.09,'4_1':0.0},(163,260):{'3_1':0.06,'6_1':0.0},(163,259):{'3_1':0.03},(163,258):{'3_1':0.03,'4_1':0.0},(163,257):{'3_1':0.03,'4_1':0.03},(163,256):{'3_1':0.0,'4_1':0.0},(163,255):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(163,254):{'4_1':0.03,'3_1':0.0},(163,253):{'3_1':0.03},(163,252):{'3_1':0.0},(163,251):{'3_1':0.06,'4_1':0.0},(163,250):{'3_1':0.03,'4_1':0.0},(163,249):{'3_1':0.0},(163,248):{'3_1':0.0},(163,247):{'3_1':0.0},(163,246):{'3_1':0.03},(163,245):{'3_1':0.0},(163,244):{'3_1':0.03},(163,243):{'3_1':0.0},(163,242):{'3_1':0.03},(163,241):{'3_1':0.03},(163,240):{'3_1':0.0,'4_1':0.0},(163,239):{'3_1':0.06},(163,238):{'3_1':0.0},(163,237):{'3_1':0.0},(163,236):{'3_1':0.03},(163,235):{'3_1':0.0},(163,234):{'3_1':0.0},(163,233):{'3_1':0.0},(163,232):{'3_1':0.0},(163,231):{'3_1':0.0,'4_1':0.0},(163,230):{'3_1':0.0},(163,228):{'3_1':0.0,'4_1':0.0},(163,227):{'3_1':0.0},(163,225):{'3_1':0.0},(163,224):{'3_1':0.0},(163,223):{'3_1':0.0},(163,222):{'3_1':0.0},(163,220):{'3_1':0.0},(163,219):{'3_1':0.0},(163,218):{'3_1':0.0,'4_1':0.0},(163,217):{'3_1':0.0},(163,216):{'3_1':0.0},(163,215):{'3_1':0.0},(163,214):{'3_1':0.0},(163,213):{'3_1':0.0},(163,212):{'3_1':0.0},(163,211):{'3_1':0.0},(163,209):{'3_1':0.0},(163,207):{'3_1':0.0},(163,203):{'3_1':0.0},(163,197):{'3_1':0.0},(164,459):{'3_1':0.36,'5_1':0.06,'6_2':0.0,'8_2':0.0,'4_1':0.0,'5_2':0.0},(164,458):{'3_1':0.42,'5_1':0.03,'5_2':0.0,'6_2':0.0},(164,457):{'3_1':0.42,'5_1':0.03,'6_2':0.0,'5_2':0.0},(164,456):{'3_1':0.39,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0},(164,455):{'3_1':0.33,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_2':0.0,'-3':0.0},(164,454):{'3_1':0.36,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0},(164,453):{'3_1':0.36,'6_2':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(164,452):{'3_1':0.3,'6_2':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(164,451):{'3_1':0.33,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(164,450):{'3_1':0.33,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0},(164,449):{'3_1':0.36,'6_2':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0},(164,448):{'3_1':0.39,'5_1':0.06,'6_2':0.0,'4_1':0.0},(164,447):{'3_1':0.33,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(164,446):{'3_1':0.36,'6_2':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(164,445):{'3_1':0.36,'6_2':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(164,444):{'3_1':0.36,'6_2':0.03,'5_1':0.03,'5_2':0.0,'8_2':0.0},(164,443):{'3_1':0.36,'6_2':0.03,'5_1':0.03,'4_1':0.03},(164,442):{'3_1':0.33,'6_2':0.06,'5_1':0.03,'4_1':0.0,'8_2':0.0,'5_2':0.0},(164,441):{'3_1':0.39,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(164,440):{'3_1':0.39,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(164,439):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'6_2':0.03,'7_1':0.0,'4_1':0.0,'8_11':0.0},(164,438):{'3_1':0.3,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(164,437):{'3_1':0.33,'6_2':0.03,'5_1':0.03,'5_2':0.0,'4_1':0.0},(164,436):{'3_1':0.33,'6_2':0.06,'5_1':0.0,'5_2':0.0,'8_2':0.0},(164,435):{'3_1':0.3,'5_1':0.06,'6_2':0.06,'5_2':0.03,'4_1':0.0,'7_5':0.0,'8_4':0.0},(164,434):{'3_1':0.36,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(164,433):{'3_1':0.33,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_2':0.0},(164,432):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_11':0.0},(164,431):{'3_1':0.33,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_14':0.0},(164,430):{'3_1':0.3,'5_1':0.09,'4_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0},(164,429):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'8_2':0.0},(164,428):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(164,427):{'3_1':0.36,'6_2':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_11':0.0},(164,426):{'3_1':0.3,'6_2':0.03,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_2':0.0,'-3':0.0},(164,425):{'3_1':0.27,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(164,424):{'3_1':0.33,'5_1':0.06,'6_2':0.06,'5_2':0.03,'8_2':0.0,'4_1':0.0,'8_9':0.0,'8_19':0.0},(164,423):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0,'7_5':0.0,'8_9':0.0},(164,422):{'3_1':0.42,'5_2':0.06,'5_1':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0,'4_1':0.0,'8_9':0.0},(164,421):{'3_1':0.3,'5_1':0.15,'8_2':0.0,'5_2':0.0,'8_9':0.0,'8_14':0.0,'-3':0.0},(164,420):{'3_1':0.39,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(164,419):{'3_1':0.33,'5_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.0,'8_2':0.0},(164,418):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0},(164,417):{'3_1':0.24,'5_1':0.09,'6_2':0.06,'4_1':0.03,'5_2':0.0,'8_11':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(164,416):{'3_1':0.33,'5_1':0.12,'5_2':0.03,'4_1':0.03,'6_2':0.03,'6_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(164,415):{'3_1':0.33,'5_1':0.06,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(164,414):{'3_1':0.36,'6_2':0.03,'5_1':0.0,'8_2':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0,'8_7':0.0,'-3':0.0},(164,413):{'3_1':0.3,'5_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0,'7_3':0.0,'7_5':0.0},(164,412):{'3_1':0.33,'5_1':0.09,'6_2':0.06,'5_2':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_9':0.0,'8_14':0.0},(164,411):{'3_1':0.27,'5_1':0.09,'5_2':0.09,'6_2':0.06,'8_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_9':0.0},(164,410):{'3_1':0.3,'5_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'7_5':0.0,'8_6':0.0},(164,409):{'3_1':0.21,'5_1':0.12,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(164,408):{'3_1':0.27,'4_1':0.06,'5_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0},(164,407):{'3_1':0.33,'5_1':0.06,'4_1':0.03,'8_2':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0,'8_11':0.0},(164,406):{'3_1':0.27,'5_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.03,'8_2':0.0,'7_1':0.0,'-3':0.0},(164,405):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'6_2':0.03,'6_1':0.0,'4_1':0.0,'7_1':0.0,'8_3':0.0,'-3':0.0},(164,404):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_9':0.0},(164,403):{'3_1':0.3,'6_2':0.03,'4_1':0.03,'5_1':0.03,'8_2':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0},(164,402):{'3_1':0.3,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_2':0.0,'8_4':0.0,'7_2':0.0,'7_3':0.0},(164,401):{'3_1':0.27,'4_1':0.06,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0},(164,400):{'3_1':0.3,'6_2':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0},(164,399):{'3_1':0.24,'5_1':0.09,'4_1':0.03,'6_2':0.03,'5_2':0.0,'7_2':0.0,'8_1':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(164,398):{'3_1':0.21,'5_1':0.09,'5_2':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'8_2':0.0,'-3':0.0},(164,397):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(164,396):{'3_1':0.27,'5_1':0.09,'4_1':0.03,'6_2':0.03,'5_2':0.0,'8_4':0.0},(164,395):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(164,394):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.03,'8_21|3_1#4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'8_13':0.0,'-3':0.0},(164,393):{'3_1':0.33,'5_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(164,392):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_17':0.0},(164,391):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(164,390):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0},(164,389):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0,'7_5':0.0},(164,388):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(164,387):{'3_1':0.21,'6_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0},(164,386):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_2':0.0,'6_1':0.0,'-3':0.0},(164,385):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(164,384):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(164,383):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0},(164,382):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(164,381):{'3_1':0.18,'4_1':0.06,'6_2':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0},(164,380):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_13':0.0,'8_17':0.0,'8_20|3_1#3_1':0.0},(164,379):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(164,378):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(164,377):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(164,376):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(164,375):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(164,374):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0},(164,373):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(164,372):{'3_1':0.09,'4_1':0.03,'-3':0.0},(164,371):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0},(164,370):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_3':0.0,'-3':0.0},(164,369):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(164,368):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(164,367):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(164,366):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_2':0.0},(164,365):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(164,364):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(164,363):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(164,362):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(164,361):{'3_1':0.15,'6_2':0.0,'8_20|3_1#3_1':0.0},(164,360):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(164,359):{'3_1':0.12,'4_1':0.03},(164,358):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(164,357):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(164,356):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(164,355):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(164,354):{'3_1':0.06,'4_1':0.03},(164,353):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(164,352):{'3_1':0.12,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(164,351):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(164,350):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(164,349):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(164,348):{'3_1':0.09,'4_1':0.03},(164,347):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(164,346):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(164,345):{'3_1':0.06,'4_1':0.03},(164,344):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_2':0.0},(164,343):{'3_1':0.09,'4_1':0.06,'7_4':0.0},(164,342):{'3_1':0.12,'4_1':0.0},(164,341):{'3_1':0.09,'4_1':0.09,'6_2':0.0},(164,340):{'4_1':0.06,'3_1':0.06,'8_20|3_1#3_1':0.0},(164,339):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0},(164,338):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(164,337):{'3_1':0.09,'4_1':0.06,'6_2':0.0},(164,336):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(164,335):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(164,334):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(164,333):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(164,332):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(164,331):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(164,330):{'3_1':0.12,'4_1':0.06,'6_1':0.0},(164,329):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(164,328):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(164,327):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(164,326):{'3_1':0.09,'4_1':0.03},(164,325):{'3_1':0.09,'4_1':0.0},(164,324):{'3_1':0.03,'4_1':0.03},(164,323):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(164,322):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(164,321):{'3_1':0.06,'4_1':0.0},(164,320):{'3_1':0.03,'4_1':0.0},(164,319):{'3_1':0.06,'4_1':0.0},(164,318):{'3_1':0.06,'5_2':0.0},(164,317):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(164,316):{'3_1':0.06},(164,315):{'3_1':0.09},(164,314):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(164,313):{'3_1':0.06,'4_1':0.0},(164,312):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(164,311):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'-3':0.0},(164,310):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(164,309):{'3_1':0.03,'6_3':0.0},(164,308):{'3_1':0.09,'4_1':0.0},(164,307):{'3_1':0.06,'4_1':0.03,'8_21|3_1#4_1':0.0},(164,306):{'3_1':0.0,'4_1':0.0},(164,305):{'3_1':0.03,'4_1':0.0},(164,304):{'3_1':0.06,'4_1':0.0},(164,303):{'3_1':0.06,'4_1':0.0},(164,302):{'3_1':0.06,'4_1':0.0,'-3':0.0},(164,301):{'3_1':0.06,'4_1':0.0},(164,300):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(164,299):{'3_1':0.03},(164,298):{'3_1':0.03,'8_20|3_1#3_1':0.0},(164,297):{'3_1':0.06,'4_1':0.0},(164,296):{'3_1':0.03,'4_1':0.0},(164,295):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(164,294):{'3_1':0.06,'4_1':0.0},(164,293):{'3_1':0.06,'4_1':0.0},(164,292):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(164,291):{'3_1':0.06,'4_1':0.0},(164,290):{'3_1':0.06,'4_1':0.0},(164,289):{'3_1':0.03,'4_1':0.0},(164,288):{'4_1':0.0,'3_1':0.0},(164,287):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(164,286):{'3_1':0.03,'4_1':0.0},(164,285):{'3_1':0.03,'4_1':0.0},(164,284):{'3_1':0.06,'4_1':0.0},(164,283):{'3_1':0.03,'4_1':0.0},(164,282):{'3_1':0.0},(164,281):{'3_1':0.03,'4_1':0.0},(164,280):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(164,279):{'3_1':0.03,'4_1':0.0},(164,278):{'3_1':0.03,'4_1':0.0},(164,277):{'3_1':0.03},(164,276):{'3_1':0.06,'4_1':0.0},(164,275):{'3_1':0.06},(164,274):{'3_1':0.03,'4_1':0.0},(164,273):{'3_1':0.03},(164,272):{'3_1':0.03,'4_1':0.0},(164,271):{'3_1':0.03,'4_1':0.0},(164,270):{'3_1':0.03},(164,269):{'3_1':0.03,'4_1':0.0},(164,268):{'3_1':0.03,'4_1':0.0},(164,267):{'3_1':0.03,'4_1':0.0},(164,266):{'4_1':0.03,'3_1':0.0},(164,265):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(164,264):{'3_1':0.03,'4_1':0.0},(164,263):{'3_1':0.0,'4_1':0.0},(164,262):{'3_1':0.06,'4_1':0.0},(164,261):{'4_1':0.0,'3_1':0.0},(164,260):{'3_1':0.03,'4_1':0.0},(164,259):{'3_1':0.03,'4_1':0.0},(164,258):{'4_1':0.0,'3_1':0.0},(164,257):{'4_1':0.0,'3_1':0.0},(164,256):{'3_1':0.09},(164,255):{'3_1':0.0,'4_1':0.0},(164,254):{'3_1':0.06,'4_1':0.0},(164,253):{'3_1':0.03,'4_1':0.0},(164,252):{'3_1':0.0,'4_1':0.0},(164,251):{'4_1':0.0,'3_1':0.0},(164,250):{'3_1':0.0,'4_1':0.0},(164,249):{'3_1':0.0},(164,248):{'3_1':0.0,'4_1':0.0},(164,247):{'3_1':0.03,'4_1':0.0},(164,246):{'3_1':0.0},(164,245):{'3_1':0.0},(164,244):{'3_1':0.0},(164,243):{'3_1':0.0},(164,242):{'3_1':0.03},(164,241):{'3_1':0.0},(164,240):{'3_1':0.03},(164,238):{'3_1':0.0},(164,237):{'3_1':0.03},(164,236):{'3_1':0.03},(164,235):{'3_1':0.0},(164,234):{'3_1':0.0},(164,233):{'3_1':0.0,'4_1':0.0},(164,232):{'3_1':0.0},(164,231):{'3_1':0.0},(164,230):{'3_1':0.0},(164,228):{'3_1':0.0},(164,226):{'3_1':0.0},(164,225):{'3_1':0.0},(164,224):{'3_1':0.0},(164,221):{'3_1':0.0},(164,219):{'3_1':0.0},(164,218):{'3_1':0.0},(164,217):{'3_1':0.0},(164,216):{'3_1':0.0},(164,215):{'3_1':0.0},(164,214):{'3_1':0.0},(164,212):{'3_1':0.0},(164,210):{'3_1':0.0},(164,209):{'3_1':0.0},(164,207):{'3_1':0.0},(164,206):{'3_1':0.0},(164,202):{'3_1':0.0},(164,201):{'3_1':0.0},(164,194):{'3_1':0.0},(164,185):{'3_1':0.0},(165,459):{'3_1':0.27,'6_2':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(165,458):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(165,457):{'3_1':0.33,'6_2':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(165,456):{'3_1':0.24,'5_2':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0},(165,455):{'3_1':0.3,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0},(165,454):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'6_2':0.03,'4_1':0.0},(165,453):{'3_1':0.27,'5_1':0.06,'6_2':0.03,'4_1':0.0},(165,452):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(165,451):{'3_1':0.33,'5_1':0.03,'6_2':0.0,'5_2':0.0,'4_1':0.0,'-3':0.0},(165,450):{'3_1':0.24,'6_2':0.03,'4_1':0.03,'5_1':0.03,'5_2':0.0},(165,449):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(165,448):{'3_1':0.24,'5_1':0.03,'6_2':0.03,'4_1':0.0,'-3':0.0},(165,447):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'4_1':0.0},(165,446):{'3_1':0.3,'6_2':0.06,'5_1':0.03,'4_1':0.0},(165,445):{'3_1':0.33,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0},(165,444):{'3_1':0.24,'5_1':0.09,'6_2':0.03,'4_1':0.0},(165,443):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0},(165,442):{'3_1':0.24,'5_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(165,441):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_2':0.0},(165,440):{'3_1':0.3,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0},(165,439):{'3_1':0.27,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(165,438):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(165,437):{'3_1':0.24,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(165,436):{'3_1':0.33,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0},(165,435):{'3_1':0.24,'5_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(165,434):{'3_1':0.27,'5_2':0.03,'6_2':0.03,'5_1':0.0,'4_1':0.0},(165,433):{'3_1':0.21,'6_2':0.03,'5_2':0.03,'5_1':0.0,'4_1':0.0},(165,432):{'3_1':0.27,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(165,431):{'3_1':0.24,'5_1':0.09,'6_2':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_17':0.0},(165,430):{'3_1':0.33,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(165,429):{'3_1':0.24,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0},(165,428):{'3_1':0.27,'5_1':0.03,'6_2':0.03,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0},(165,427):{'3_1':0.3,'5_1':0.06,'5_2':0.06,'6_2':0.0,'4_1':0.0},(165,426):{'3_1':0.33,'5_1':0.03,'8_2':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0},(165,425):{'3_1':0.36,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0},(165,424):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(165,423):{'3_1':0.3,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_9':0.0},(165,422):{'3_1':0.3,'5_1':0.03,'6_2':0.03,'4_1':0.0,'-3':0.0,'5_2':0.0,'7_5':0.0},(165,421):{'3_1':0.3,'5_1':0.06,'6_2':0.06,'5_2':0.03,'8_2':0.0,'-3':0.0},(165,420):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0},(165,419):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'8_2':0.0,'7_5':0.0,'7_1':0.0,'-3':0.0},(165,418):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0,'-3':0.0},(165,417):{'3_1':0.3,'5_1':0.06,'6_2':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0},(165,416):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(165,415):{'3_1':0.33,'5_1':0.06,'6_2':0.03,'5_2':0.0,'8_2':0.0,'4_1':0.0,'8_9':0.0,'8_11':0.0},(165,414):{'3_1':0.3,'6_2':0.06,'5_1':0.03,'8_2':0.0,'5_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(165,413):{'3_1':0.33,'5_1':0.12,'5_2':0.06,'4_1':0.06,'6_2':0.03,'8_2':0.0,'7_1':0.0,'-3':0.0},(165,412):{'3_1':0.27,'5_1':0.09,'8_2':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(165,411):{'3_1':0.27,'6_2':0.06,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_5':0.0,'8_7':0.0,'8_11':0.0},(165,410):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'6_2':0.03,'7_5':0.0,'-3':0.0,'7_1':0.0,'8_2':0.0},(165,409):{'3_1':0.27,'6_2':0.06,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0,'7_5':0.0},(165,408):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(165,407):{'3_1':0.33,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0},(165,406):{'3_1':0.24,'5_1':0.09,'4_1':0.03,'6_2':0.03,'5_2':0.0,'7_3':0.0,'8_9':0.0,'-3':0.0},(165,405):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0,'8_11':0.0},(165,404):{'3_1':0.24,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'8_2':0.0,'8_11':0.0},(165,403):{'3_1':0.3,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(165,402):{'3_1':0.21,'4_1':0.06,'5_1':0.06,'6_2':0.03,'5_2':0.0,'-3':0.0},(165,401):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_6':0.0},(165,400):{'3_1':0.3,'6_2':0.06,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_1':0.0,'7_6':0.0},(165,399):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'6_2':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0},(165,398):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0},(165,397):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'6_2':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0},(165,396):{'3_1':0.36,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0},(165,395):{'3_1':0.24,'5_2':0.06,'6_2':0.06,'5_1':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0},(165,394):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(165,393):{'3_1':0.33,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(165,392):{'3_1':0.3,'4_1':0.06,'5_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(165,391):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(165,390):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_5':0.0,'-3':0.0},(165,389):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'8_2':0.0,'8_16':0.0},(165,388):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_3':0.0,'7_6':0.0,'8_16':0.0},(165,387):{'3_1':0.18,'4_1':0.06,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(165,386):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(165,385):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(165,384):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_2':0.0,'5_1':0.0},(165,383):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_2':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(165,382):{'3_1':0.06,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0},(165,381):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(165,380):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(165,379):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(165,378):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(165,377):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(165,376):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0},(165,375):{'3_1':0.06,'4_1':0.06,'7_6':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(165,374):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(165,373):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'8_14':0.0,'-3':0.0},(165,372):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(165,371):{'3_1':0.15,'4_1':0.09},(165,370):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(165,369):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(165,368):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(165,367):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(165,366):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(165,365):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(165,364):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_2':0.0},(165,363):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'-3':0.0},(165,362):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(165,361):{'3_1':0.06,'4_1':0.03},(165,360):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'-3':0.0},(165,359):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(165,358):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(165,357):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(165,356):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0},(165,355):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(165,354):{'3_1':0.09,'4_1':0.0},(165,353):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(165,352):{'3_1':0.12,'4_1':0.06},(165,351):{'3_1':0.06,'4_1':0.03},(165,350):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(165,349):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(165,348):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(165,347):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(165,346):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(165,345):{'4_1':0.09,'3_1':0.06},(165,344):{'3_1':0.06,'4_1':0.06},(165,343):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(165,342):{'4_1':0.09,'3_1':0.06,'8_20|3_1#3_1':0.0},(165,341):{'3_1':0.06,'4_1':0.06},(165,340):{'4_1':0.06,'3_1':0.06,'6_1':0.0},(165,339):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(165,338):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(165,337):{'3_1':0.09,'4_1':0.0},(165,336):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(165,335):{'3_1':0.12,'4_1':0.03},(165,334):{'3_1':0.06,'4_1':0.06},(165,333):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(165,332):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0},(165,331):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(165,330):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_3':0.0},(165,329):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(165,328):{'3_1':0.09,'4_1':0.06},(165,327):{'3_1':0.03,'4_1':0.0},(165,326):{'3_1':0.09,'4_1':0.0},(165,325):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(165,324):{'4_1':0.03,'3_1':0.03},(165,323):{'3_1':0.06,'4_1':0.0},(165,322):{'4_1':0.03,'3_1':0.03},(165,321):{'3_1':0.0,'4_1':0.0},(165,320):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(165,319):{'3_1':0.03,'4_1':0.0},(165,318):{'3_1':0.03,'4_1':0.0},(165,317):{'3_1':0.03,'4_1':0.0},(165,316):{'3_1':0.06,'4_1':0.0},(165,315):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(165,314):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(165,313):{'3_1':0.0,'4_1':0.0},(165,312):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(165,311):{'4_1':0.0,'3_1':0.0},(165,310):{'3_1':0.03,'4_1':0.0},(165,309):{'3_1':0.03,'4_1':0.0},(165,308):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(165,307):{'3_1':0.06},(165,306):{'3_1':0.0},(165,305):{'3_1':0.09},(165,304):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(165,303):{'3_1':0.06,'4_1':0.0},(165,302):{'3_1':0.09,'-3':0.0},(165,301):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(165,300):{'3_1':0.0},(165,299):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(165,298):{'3_1':0.03},(165,297):{'3_1':0.0,'4_1':0.0},(165,296):{'3_1':0.03,'4_1':0.0},(165,295):{'3_1':0.03,'4_1':0.0},(165,294):{'3_1':0.03,'8_21|3_1#4_1':0.0},(165,293):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(165,292):{'4_1':0.0},(165,291):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(165,290):{'3_1':0.03,'4_1':0.0},(165,289):{'3_1':0.03,'4_1':0.0},(165,288):{'3_1':0.03,'4_1':0.0},(165,287):{'3_1':0.03},(165,286):{'3_1':0.03,'4_1':0.0},(165,285):{'3_1':0.03,'4_1':0.0},(165,284):{'3_1':0.06},(165,283):{'3_1':0.03,'4_1':0.0},(165,282):{'4_1':0.03,'3_1':0.0},(165,281):{'3_1':0.06,'4_1':0.0},(165,280):{'3_1':0.03,'4_1':0.0},(165,279):{'3_1':0.0,'4_1':0.0},(165,278):{'3_1':0.06,'4_1':0.0},(165,277):{'4_1':0.03,'3_1':0.03},(165,276):{'3_1':0.03,'4_1':0.0},(165,275):{'3_1':0.0,'4_1':0.0},(165,274):{'4_1':0.0,'3_1':0.0},(165,273):{'3_1':0.0,'4_1':0.0},(165,272):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(165,271):{'3_1':0.0,'4_1':0.0},(165,270):{'3_1':0.0,'4_1':0.0},(165,269):{'3_1':0.0,'4_1':0.0},(165,268):{'3_1':0.03,'4_1':0.0},(165,267):{'3_1':0.0,'4_1':0.0},(165,266):{'4_1':0.03},(165,265):{'4_1':0.0,'3_1':0.0},(165,264):{'3_1':0.06,'4_1':0.03},(165,263):{'3_1':0.03,'4_1':0.03},(165,262):{'3_1':0.03,'4_1':0.03},(165,261):{'3_1':0.03,'4_1':0.0},(165,260):{'4_1':0.0,'3_1':0.0},(165,259):{'3_1':0.03,'4_1':0.03},(165,258):{'4_1':0.03,'3_1':0.0},(165,257):{'3_1':0.0,'4_1':0.0},(165,256):{'3_1':0.0,'4_1':0.0},(165,255):{'3_1':0.0,'4_1':0.0},(165,254):{'4_1':0.0},(165,253):{'4_1':0.03,'3_1':0.0},(165,252):{'4_1':0.0},(165,251):{'4_1':0.03},(165,250):{'4_1':0.0,'3_1':0.0},(165,249):{'4_1':0.03},(165,248):{'3_1':0.0},(165,247):{'3_1':0.0,'4_1':0.0},(165,246):{'3_1':0.0},(165,245):{'3_1':0.0},(165,244):{'3_1':0.03,'4_1':0.0},(165,243):{'3_1':0.0},(165,242):{'3_1':0.03},(165,241):{'3_1':0.03,'4_1':0.0},(165,240):{'3_1':0.03},(165,239):{'3_1':0.0},(165,236):{'3_1':0.0},(165,234):{'3_1':0.0},(165,232):{'3_1':0.0},(165,228):{'3_1':0.0},(165,225):{'3_1':0.0},(165,222):{'3_1':0.0},(165,220):{'3_1':0.0},(165,219):{'3_1':0.0},(165,217):{'3_1':0.0},(165,216):{'4_1':0.0},(165,214):{'3_1':0.0},(165,213):{'3_1':0.0},(165,211):{'3_1':0.0},(165,210):{'3_1':0.0},(165,209):{'3_1':0.0},(165,208):{'3_1':0.0},(165,207):{'3_1':0.0},(166,459):{'3_1':0.36,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0},(166,458):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0,'8_14':0.0},(166,457):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_2':0.0},(166,456):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(166,455):{'3_1':0.24,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_14':0.0},(166,454):{'3_1':0.27,'6_2':0.06,'5_2':0.03,'4_1':0.0,'7_5':0.0,'8_14':0.0},(166,453):{'3_1':0.24,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0},(166,452):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(166,451):{'3_1':0.27,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(166,450):{'3_1':0.3,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(166,449):{'3_1':0.18,'5_2':0.03,'6_2':0.03,'5_1':0.03,'4_1':0.0},(166,448):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(166,447):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0},(166,446):{'3_1':0.24,'4_1':0.03,'6_2':0.03,'5_1':0.03,'5_2':0.0},(166,445):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(166,444):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'6_2':0.03,'5_1':0.0},(166,443):{'3_1':0.21,'6_2':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_4':0.0},(166,442):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_2':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0},(166,441):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(166,440):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_2':0.0},(166,439):{'3_1':0.18,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(166,438):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_2':0.0},(166,437):{'3_1':0.18,'4_1':0.06,'6_2':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0,'8_11':0.0},(166,436):{'3_1':0.27,'6_2':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(166,435):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'8_2':0.0,'5_2':0.0,'7_5':0.0,'8_11':0.0},(166,434):{'3_1':0.24,'6_2':0.09,'5_1':0.03,'5_2':0.03,'8_2':0.0,'4_1':0.0},(166,433):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(166,432):{'3_1':0.24,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'9_1':0.0},(166,431):{'3_1':0.21,'6_2':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0},(166,430):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0},(166,429):{'3_1':0.15,'6_2':0.03,'4_1':0.0,'8_2':0.0,'5_1':0.0,'8_11':0.0},(166,428):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_14':0.0,'-3':0.0},(166,427):{'3_1':0.33,'6_2':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'8_9':0.0,'-3':0.0},(166,426):{'3_1':0.18,'6_2':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(166,425):{'3_1':0.18,'4_1':0.06,'5_1':0.06,'6_2':0.06,'5_2':0.03,'8_2':0.0,'8_7':0.0,'8_9':0.0},(166,424):{'3_1':0.33,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_11':0.0,'8_2':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(166,423):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_2':0.0,'8_2':0.0,'8_11':0.0},(166,422):{'3_1':0.33,'6_2':0.06,'5_1':0.06,'4_1':0.03,'7_5':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0,'8_9':0.0,'-3':0.0},(166,421):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'6_2':0.0,'4_1':0.0,'8_2':0.0,'7_3':0.0,'8_11':0.0},(166,420):{'3_1':0.21,'4_1':0.09,'5_1':0.09,'5_2':0.03,'6_2':0.03,'7_5':0.0,'8_2':0.0},(166,419):{'3_1':0.15,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_14':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_9':0.0},(166,418):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'6_2':0.03,'4_1':0.0,'7_3':0.0,'8_9':0.0,'-3':0.0},(166,417):{'3_1':0.3,'6_2':0.03,'5_2':0.03,'4_1':0.03,'5_1':0.0,'8_2':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(166,416):{'3_1':0.24,'5_2':0.06,'6_2':0.06,'5_1':0.03,'8_2':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(166,415):{'3_1':0.24,'6_2':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'7_2':0.0,'8_2':0.0,'8_6':0.0},(166,414):{'3_1':0.33,'4_1':0.03,'6_2':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_7':0.0,'-3':0.0},(166,413):{'3_1':0.3,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_2':0.03,'8_2':0.0,'7_5':0.0,'8_4':0.0},(166,412):{'3_1':0.3,'6_2':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'7_5':0.0,'8_2':0.0},(166,411):{'3_1':0.3,'5_1':0.12,'5_2':0.03,'6_2':0.03,'8_2':0.0,'7_1':0.0,'4_1':0.0,'7_2':0.0,'8_9':0.0},(166,410):{'3_1':0.27,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'8_14':0.0},(166,409):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_4':0.0,'8_9':0.0},(166,408):{'3_1':0.36,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.03,'7_1':0.0,'8_14':0.0,'-3':0.0},(166,407):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(166,406):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'6_2':0.03,'5_1':0.0,'7_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(166,405):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(166,404):{'3_1':0.21,'4_1':0.06,'5_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'8_9':0.0},(166,403):{'3_1':0.27,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_13':0.0},(166,402):{'3_1':0.3,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_2':0.0},(166,401):{'3_1':0.27,'5_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'8_2':0.0,'-3':0.0},(166,400):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.03,'8_2':0.0,'8_4':0.0,'8_6':0.0},(166,399):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'6_2':0.0,'8_2':0.0,'7_1':0.0},(166,398):{'3_1':0.27,'4_1':0.06,'6_2':0.03,'5_1':0.0,'7_3':0.0,'8_2':0.0,'5_2':0.0,'6_1':0.0,'8_13':0.0,'-3':0.0},(166,397):{'3_1':0.27,'4_1':0.06,'6_2':0.03,'5_1':0.03,'5_2':0.03},(166,396):{'3_1':0.36,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(166,395):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_2':0.0,'8_11':0.0},(166,394):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0},(166,393):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0},(166,392):{'3_1':0.27,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(166,391):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_11':0.0},(166,390):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.03,'-3':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(166,389):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0},(166,388):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(166,387):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(166,386):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(166,385):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(166,384):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(166,383):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0,'-3':0.0},(166,382):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(166,381):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0},(166,380):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(166,379):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_3':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(166,378):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_3':0.0,'6_1':0.0},(166,377):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(166,376):{'3_1':0.15,'4_1':0.03,'-3':0.0,'6_2':0.0},(166,375):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(166,374):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(166,373):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(166,372):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0},(166,371):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(166,370):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(166,369):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(166,368):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(166,367):{'3_1':0.09,'4_1':0.09,'7_6':0.0},(166,366):{'3_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.0},(166,365):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(166,364):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(166,363):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(166,362):{'3_1':0.09},(166,361):{'3_1':0.06,'4_1':0.0},(166,360):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(166,359):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(166,358):{'3_1':0.06,'4_1':0.0},(166,357):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(166,356):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(166,355):{'3_1':0.09,'4_1':0.03},(166,354):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'6_3':0.0,'-3':0.0},(166,353):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(166,352):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(166,351):{'3_1':0.03,'4_1':0.0},(166,350):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(166,349):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(166,348):{'3_1':0.06,'4_1':0.03},(166,347):{'3_1':0.06,'4_1':0.0},(166,346):{'3_1':0.06,'4_1':0.0},(166,345):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(166,344):{'3_1':0.06,'4_1':0.0},(166,343):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(166,342):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(166,341):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(166,340):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(166,339):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(166,338):{'3_1':0.09,'4_1':0.03},(166,337):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(166,336):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(166,335):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(166,334):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(166,333):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(166,332):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(166,331):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(166,330):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(166,329):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(166,328):{'3_1':0.03,'4_1':0.03},(166,327):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(166,326):{'3_1':0.06,'4_1':0.03},(166,325):{'3_1':0.06,'4_1':0.0},(166,324):{'3_1':0.03,'4_1':0.0},(166,323):{'3_1':0.06,'4_1':0.0},(166,322):{'3_1':0.06,'4_1':0.0},(166,321):{'3_1':0.09,'8_20|3_1#3_1':0.0},(166,320):{'3_1':0.03,'4_1':0.0},(166,319):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(166,318):{'3_1':0.03,'4_1':0.0},(166,317):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(166,316):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(166,315):{'3_1':0.03,'4_1':0.0},(166,314):{'3_1':0.03,'4_1':0.0},(166,313):{'3_1':0.06},(166,312):{'3_1':0.06,'4_1':0.0},(166,311):{'3_1':0.03,'4_1':0.03},(166,310):{'3_1':0.06,'4_1':0.0},(166,309):{'4_1':0.0,'3_1':0.0,'8_21|3_1#4_1':0.0},(166,308):{'3_1':0.03,'4_1':0.0},(166,307):{'3_1':0.06,'4_1':0.0},(166,306):{'3_1':0.03,'4_1':0.0},(166,305):{'3_1':0.0,'4_1':0.0},(166,304):{'3_1':0.03,'-3':0.0},(166,303):{'3_1':0.03,'4_1':0.0},(166,302):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(166,301):{'3_1':0.03,'4_1':0.0},(166,300):{'3_1':0.06,'4_1':0.0},(166,299):{'3_1':0.06},(166,298):{'3_1':0.03},(166,297):{'3_1':0.09},(166,296):{'3_1':0.03},(166,295):{'3_1':0.0},(166,294):{'3_1':0.03,'4_1':0.0},(166,293):{'3_1':0.0,'8_20|3_1#3_1':0.0},(166,292):{'3_1':0.06},(166,291):{'3_1':0.03,'4_1':0.0},(166,290):{'5_2':0.0},(166,289):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(166,288):{'3_1':0.0,'4_1':0.0},(166,287):{'3_1':0.03},(166,285):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(166,284):{'3_1':0.06,'4_1':0.0},(166,283):{'3_1':0.03},(166,282):{'3_1':0.03},(166,281):{'3_1':0.03},(166,280):{'3_1':0.0,'4_1':0.0},(166,279):{'3_1':0.03,'5_2':0.0},(166,278):{'3_1':0.0},(166,277):{'3_1':0.03,'4_1':0.0},(166,276):{'3_1':0.06},(166,275):{'3_1':0.0,'4_1':0.0},(166,274):{'3_1':0.03,'4_1':0.0},(166,273):{'3_1':0.03},(166,272):{'3_1':0.03,'4_1':0.0},(166,271):{'3_1':0.06,'4_1':0.0},(166,270):{'3_1':0.03,'4_1':0.0},(166,269):{'3_1':0.03},(166,268):{'3_1':0.03,'4_1':0.0},(166,267):{'3_1':0.06,'4_1':0.0},(166,266):{'3_1':0.03,'4_1':0.0},(166,265):{'3_1':0.03},(166,264):{'3_1':0.03},(166,263):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(166,262):{'3_1':0.0},(166,261):{'3_1':0.0,'4_1':0.0},(166,260):{'3_1':0.0,'5_2':0.0},(166,259):{'3_1':0.03,'4_1':0.0},(166,258):{'3_1':0.03,'4_1':0.0},(166,257):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(166,256):{'3_1':0.0,'4_1':0.0},(166,255):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(166,254):{'3_1':0.0,'4_1':0.0},(166,253):{'3_1':0.03,'4_1':0.0},(166,252):{'3_1':0.0,'4_1':0.0},(166,251):{'3_1':0.03},(166,250):{'3_1':0.03},(166,249):{'4_1':0.0,'3_1':0.0},(166,248):{'3_1':0.0,'4_1':0.0},(166,247):{'3_1':0.0},(166,246):{'3_1':0.0,'4_1':0.0},(166,245):{'3_1':0.0},(166,244):{'3_1':0.0},(166,243):{'3_1':0.0},(166,242):{'3_1':0.0},(166,241):{'3_1':0.0},(166,240):{'3_1':0.0},(166,239):{'3_1':0.0},(166,238):{'3_1':0.0},(166,237):{'3_1':0.0},(166,236):{'3_1':0.0},(166,235):{'4_1':0.0},(166,223):{'3_1':0.0},(166,222):{'3_1':0.0},(166,221):{'3_1':0.0},(166,219):{'3_1':0.0},(166,218):{'3_1':0.0},(166,217):{'3_1':0.0},(166,216):{'3_1':0.03},(166,215):{'3_1':0.03},(166,214):{'3_1':0.0},(166,213):{'3_1':0.0},(166,212):{'3_1':0.0},(166,211):{'3_1':0.0},(166,210):{'3_1':0.0},(166,209):{'3_1':0.0},(166,207):{'3_1':0.0},(167,459):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(167,458):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(167,457):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_2':0.0},(167,456):{'3_1':0.12,'5_2':0.03,'6_2':0.03,'4_1':0.0,'5_1':0.0},(167,455):{'3_1':0.15,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(167,454):{'3_1':0.24,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(167,453):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0},(167,452):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(167,451):{'3_1':0.21,'4_1':0.03,'6_2':0.0},(167,450):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(167,449):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0},(167,448):{'3_1':0.12,'5_2':0.0,'6_2':0.0,'7_4':0.0},(167,447):{'3_1':0.18,'6_2':0.03,'4_1':0.0,'5_2':0.0},(167,446):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'6_2':0.03,'5_1':0.0,'-3':0.0},(167,445):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(167,444):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(167,443):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(167,442):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(167,441):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(167,440):{'3_1':0.24,'6_2':0.03,'4_1':0.03,'5_2':0.0},(167,439):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_2':0.0,'-3':0.0},(167,438):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_2':0.0,'5_1':0.0,'8_2':0.0},(167,437):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'6_2':0.03,'5_1':0.0,'8_2':0.0},(167,436):{'3_1':0.21,'4_1':0.03,'7_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(167,435):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_9':0.0,'-3':0.0},(167,434):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_11':0.0},(167,433):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(167,432):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(167,431):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(167,430):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(167,429):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0},(167,428):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(167,427):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(167,426):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_11':0.0},(167,425):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.03,'8_2':0.0},(167,424):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0},(167,423):{'3_1':0.24,'6_2':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_9':0.0},(167,422):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'6_2':0.03,'8_2':0.0,'5_2':0.0,'6_1':0.0,'8_14':0.0,'-3':0.0},(167,421):{'3_1':0.27,'5_1':0.06,'6_2':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(167,420):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.0,'8_9':0.0,'8_14':0.0},(167,419):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_2':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0},(167,418):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(167,417):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'6_2':0.03,'7_5':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_7':0.0,'-3':0.0},(167,416):{'3_1':0.21,'4_1':0.06,'6_2':0.06,'5_1':0.03,'5_2':0.0,'8_2':0.0,'6_1':0.0,'7_5':0.0},(167,415):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_2':0.0},(167,414):{'3_1':0.24,'6_2':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0,'-3':0.0},(167,413):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_9':0.0,'8_14':0.0,'-3':0.0},(167,412):{'3_1':0.3,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.03,'8_2':0.0,'8_14':0.0,'-3':0.0},(167,411):{'3_1':0.24,'4_1':0.03,'6_2':0.03,'5_1':0.03,'5_2':0.0,'8_9':0.0},(167,410):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'6_2':0.03,'8_2':0.03,'5_2':0.0,'7_5':0.0,'8_11':0.0},(167,409):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0},(167,408):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_2':0.03,'5_1':0.03,'7_5':0.0,'8_11':0.0,'-3':0.0},(167,407):{'3_1':0.18,'4_1':0.09,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_9':0.0,'-3':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0},(167,406):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0,'5_2':0.0},(167,405):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'6_2':0.03,'5_1':0.0},(167,404):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0},(167,403):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0},(167,402):{'3_1':0.18,'4_1':0.09,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(167,401):{'3_1':0.27,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(167,400):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_9':0.0},(167,399):{'3_1':0.21,'4_1':0.09,'5_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(167,398):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_9':0.0},(167,397):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(167,396):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(167,395):{'3_1':0.27,'5_2':0.03,'6_2':0.03,'4_1':0.03,'5_1':0.03,'-3':0.0},(167,394):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(167,393):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'-3':0.0},(167,392):{'3_1':0.3,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(167,391):{'3_1':0.09,'5_1':0.06,'4_1':0.06,'6_2':0.0,'8_2':0.0,'8_19':0.0},(167,390):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(167,389):{'3_1':0.15,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0},(167,388):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(167,387):{'3_1':0.12,'4_1':0.06,'6_2':0.03,'5_2':0.0,'6_1':0.0},(167,386):{'3_1':0.09,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0,'-3':0.0},(167,385):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_6':0.0},(167,384):{'3_1':0.06,'6_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0},(167,383):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(167,382):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_13':0.0,'8_17':0.0},(167,381):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(167,380):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(167,379):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(167,378):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'-3':0.0},(167,377):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(167,376):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_12':0.0,'8_21|3_1#4_1':0.0},(167,375):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(167,374):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(167,373):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(167,372):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(167,371):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(167,370):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_2':0.0},(167,369):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(167,368):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(167,367):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(167,366):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0},(167,365):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(167,364):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'7_7':0.0},(167,363):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(167,362):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(167,361):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(167,360):{'3_1':0.06,'4_1':0.0},(167,359):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(167,358):{'3_1':0.06,'4_1':0.0},(167,357):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_2':0.0},(167,356):{'3_1':0.06,'4_1':0.0,'8_5':0.0},(167,355):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(167,354):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(167,353):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(167,352):{'3_1':0.06,'5_2':0.03},(167,351):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(167,350):{'3_1':0.06,'4_1':0.0},(167,349):{'3_1':0.03,'4_1':0.0},(167,348):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(167,347):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(167,346):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(167,345):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(167,344):{'3_1':0.06,'4_1':0.03},(167,343):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(167,342):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(167,341):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'6_3':0.0},(167,340):{'3_1':0.09,'4_1':0.0},(167,339):{'3_1':0.12,'4_1':0.0},(167,338):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0},(167,337):{'3_1':0.06,'4_1':0.03},(167,336):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(167,335):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(167,334):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(167,333):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0},(167,332):{'3_1':0.09,'4_1':0.0},(167,331):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(167,330):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(167,329):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(167,328):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(167,327):{'3_1':0.12,'4_1':0.0},(167,326):{'3_1':0.03,'4_1':0.03},(167,325):{'3_1':0.06,'4_1':0.03},(167,324):{'4_1':0.03,'3_1':0.0},(167,323):{'3_1':0.0,'4_1':0.0},(167,322):{'3_1':0.03},(167,321):{'3_1':0.06},(167,320):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(167,319):{'3_1':0.03,'4_1':0.0},(167,318):{'3_1':0.0},(167,317):{'3_1':0.0,'6_2':0.0},(167,316):{'3_1':0.03,'4_1':0.0},(167,315):{'3_1':0.03},(167,314):{'3_1':0.03,'4_1':0.0},(167,313):{'3_1':0.0,'4_1':0.0},(167,312):{'3_1':0.03},(167,311):{'3_1':0.0,'4_1':0.0},(167,310):{'3_1':0.03},(167,309):{'3_1':0.0,'6_3':0.0},(167,308):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(167,307):{'3_1':0.03},(167,306):{'3_1':0.0,'-3':0.0},(167,305):{'3_1':0.03},(167,304):{'3_1':0.0,'4_1':0.0},(167,303):{'3_1':0.0,'4_1':0.0},(167,302):{'3_1':0.06},(167,301):{'3_1':0.03,'4_1':0.0},(167,300):{'3_1':0.0},(167,299):{'3_1':0.03},(167,298):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(167,296):{'3_1':0.0},(167,295):{'4_1':0.0},(167,294):{'3_1':0.03},(167,293):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(167,292):{'3_1':0.03},(167,291):{'3_1':0.0},(167,290):{'3_1':0.03},(167,289):{'3_1':0.0,'4_1':0.0},(167,288):{'3_1':0.03},(167,287):{'3_1':0.03,'4_1':0.0},(167,286):{'3_1':0.03},(167,285):{'3_1':0.03},(167,284):{'3_1':0.0},(167,283):{'3_1':0.0,'4_1':0.0},(167,282):{'3_1':0.0,'4_1':0.0},(167,281):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(167,280):{'3_1':0.06},(167,279):{'3_1':0.0},(167,278):{'3_1':0.03},(167,277):{'3_1':0.0},(167,276):{'3_1':0.03},(167,275):{'3_1':0.06},(167,274):{'3_1':0.06},(167,273):{'3_1':0.06,'4_1':0.0},(167,272):{'3_1':0.0},(167,271):{'3_1':0.0},(167,270):{'3_1':0.0,'4_1':0.0},(167,269):{'3_1':0.03},(167,268):{'3_1':0.0,'4_1':0.0},(167,267):{'3_1':0.0,'4_1':0.0},(167,266):{'3_1':0.0,'4_1':0.0},(167,264):{'3_1':0.0,'4_1':0.0},(167,263):{'3_1':0.03,'4_1':0.0},(167,262):{'3_1':0.0,'4_1':0.0},(167,261):{'3_1':0.0},(167,260):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(167,259):{'3_1':0.03,'4_1':0.0},(167,258):{'3_1':0.03,'4_1':0.0},(167,256):{'3_1':0.0},(167,255):{'3_1':0.03},(167,254):{'3_1':0.03},(167,253):{'3_1':0.03,'4_1':0.0},(167,252):{'3_1':0.0},(167,251):{'3_1':0.0,'4_1':0.0},(167,250):{'3_1':0.0},(167,249):{'3_1':0.0},(167,248):{'3_1':0.0},(167,247):{'3_1':0.0},(167,246):{'3_1':0.0},(167,245):{'4_1':0.0},(167,244):{'3_1':0.0},(167,242):{'3_1':0.0},(167,240):{'3_1':0.0},(167,238):{'3_1':0.0},(167,236):{'3_1':0.0},(167,233):{'3_1':0.0},(167,230):{'3_1':0.0},(167,226):{'3_1':0.0,'4_1':0.0},(167,222):{'3_1':0.0},(167,221):{'3_1':0.0},(167,220):{'3_1':0.0},(167,217):{'3_1':0.0},(167,215):{'3_1':0.0},(167,214):{'3_1':0.0},(167,213):{'3_1':0.0},(167,212):{'3_1':0.0},(167,211):{'3_1':0.0},(167,177):{'3_1':0.0},(168,459):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(168,458):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(168,457):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0},(168,456):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(168,455):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(168,454):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0},(168,453):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0},(168,452):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(168,451):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(168,450):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0},(168,449):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(168,448):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(168,447):{'3_1':0.18,'4_1':0.0,'7_3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(168,446):{'3_1':0.18,'6_2':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0},(168,445):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(168,444):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(168,443):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0},(168,442):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_9':0.0},(168,441):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'6_2':0.03,'5_1':0.0},(168,440):{'3_1':0.24,'4_1':0.03,'6_2':0.03,'5_1':0.0,'8_11':0.0,'8_14':0.0},(168,439):{'3_1':0.21,'5_2':0.03,'6_2':0.03,'5_1':0.0,'4_1':0.0,'8_2':0.0},(168,438):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_11':0.0,'-3':0.0},(168,437):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(168,436):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(168,435):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(168,434):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(168,433):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0},(168,432):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0},(168,431):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(168,430):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_11':0.0},(168,429):{'3_1':0.24,'6_2':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(168,428):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_9':0.0},(168,427):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_2':0.0,'8_2':0.0,'5_1':0.0},(168,426):{'3_1':0.18,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0},(168,425):{'3_1':0.18,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(168,424):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0,'8_14':0.0},(168,423):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_2':0.0,'8_2':0.0,'7_5':0.0},(168,422):{'3_1':0.15,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_17':0.0},(168,421):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.03,'5_1':0.0,'7_5':0.0,'8_9':0.0},(168,420):{'3_1':0.21,'6_2':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_5':0.0},(168,419):{'3_1':0.18,'5_2':0.03,'6_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0},(168,418):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'6_2':0.0,'8_2':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(168,417):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.03,'7_5':0.0,'8_2':0.0,'-3':0.0},(168,416):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'6_2':0.03,'4_1':0.0,'8_2':0.0},(168,415):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.03,'8_2':0.0,'6_2':0.0,'8_14':0.0},(168,414):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_5':0.0},(168,413):{'3_1':0.24,'6_2':0.06,'4_1':0.06,'5_2':0.03,'8_11':0.0,'5_1':0.0,'7_5':0.0,'8_2':0.0,'8_9':0.0},(168,412):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_9':0.0},(168,411):{'3_1':0.3,'4_1':0.06,'6_2':0.06,'5_1':0.03,'5_2':0.0},(168,410):{'3_1':0.24,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0,'6_1':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(168,409):{'3_1':0.24,'5_1':0.06,'6_2':0.03,'4_1':0.03,'8_2':0.0,'7_5':0.0,'5_2':0.0,'8_11':0.0},(168,408):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0,'7_1':0.0,'8_4':0.0,'8_14':0.0,'-3':0.0},(168,407):{'3_1':0.21,'4_1':0.06,'6_2':0.03,'5_2':0.03,'8_2':0.0,'7_5':0.0},(168,406):{'3_1':0.09,'6_2':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_9':0.0,'-3':0.0},(168,405):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_2':0.03,'8_2':0.0},(168,404):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_14':0.0},(168,403):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_2':0.0,'8_11':0.0},(168,402):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0},(168,401):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(168,400):{'3_1':0.18,'6_2':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0},(168,399):{'3_1':0.15,'4_1':0.06,'6_1':0.03,'5_2':0.0,'5_1':0.0,'8_19':0.0},(168,398):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'8_2':0.0,'6_1':0.0,'6_2':0.0},(168,397):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0},(168,396):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'7_6':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(168,395):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(168,394):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(168,393):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(168,392):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(168,391):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(168,390):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(168,389):{'3_1':0.15,'6_2':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0,'-3':0.0},(168,388):{'3_1':0.18,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(168,387):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(168,386):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_19':0.0,'-3':0.0},(168,385):{'3_1':0.09,'4_1':0.03,'-3':0.0},(168,384):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(168,383):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0},(168,382):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'-3':0.0},(168,381):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(168,380):{'3_1':0.12,'4_1':0.03,'-3':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(168,379):{'3_1':0.09,'4_1':0.06,'6_2':0.0},(168,378):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0},(168,377):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0},(168,376):{'4_1':0.03,'3_1':0.03},(168,375):{'4_1':0.06,'3_1':0.03,'6_2':0.0},(168,374):{'3_1':0.06,'4_1':0.06,'6_2':0.0},(168,373):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(168,372):{'3_1':0.03,'6_2':0.0,'4_1':0.0},(168,371):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(168,370):{'3_1':0.03,'4_1':0.03},(168,369):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(168,368):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(168,367):{'3_1':0.09,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_2':0.0},(168,366):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(168,365):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(168,364):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'-3':0.0},(168,363):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(168,362):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(168,361):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(168,360):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(168,359):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(168,358):{'3_1':0.03,'4_1':0.0},(168,357):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'6_3':0.0},(168,356):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(168,355):{'3_1':0.06,'4_1':0.0},(168,354):{'3_1':0.06,'4_1':0.0},(168,353):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(168,352):{'3_1':0.03,'4_1':0.0},(168,351):{'3_1':0.0,'6_2':0.0,'4_1':0.0},(168,350):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(168,349):{'3_1':0.09,'6_2':0.0},(168,348):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(168,347):{'3_1':0.06,'4_1':0.0},(168,346):{'3_1':0.09,'4_1':0.0},(168,345):{'3_1':0.03},(168,344):{'3_1':0.12,'5_2':0.0},(168,343):{'3_1':0.06,'5_2':0.0},(168,342):{'3_1':0.12,'4_1':0.0},(168,341):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(168,340):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(168,339):{'3_1':0.06,'5_2':0.0},(168,338):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(168,337):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(168,336):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(168,335):{'3_1':0.06,'5_1':0.0},(168,334):{'3_1':0.15},(168,333):{'3_1':0.15,'5_2':0.0},(168,332):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(168,331):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(168,330):{'3_1':0.06,'4_1':0.0},(168,329):{'3_1':0.15,'6_2':0.0},(168,328):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(168,327):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(168,326):{'3_1':0.06,'4_1':0.0},(168,325):{'3_1':0.09,'4_1':0.0},(168,324):{'3_1':0.06},(168,323):{'3_1':0.0,'4_1':0.0},(168,322):{'3_1':0.03},(168,321):{'3_1':0.03},(168,320):{'3_1':0.0},(168,319):{'3_1':0.0},(168,318):{'3_1':0.09},(168,317):{'3_1':0.03},(168,316):{'3_1':0.03},(168,315):{'3_1':0.0},(168,314):{'3_1':0.03,'5_1':0.0},(168,313):{'3_1':0.06},(168,312):{'3_1':0.0,'4_1':0.0},(168,311):{'3_1':0.03},(168,310):{'3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(168,309):{'3_1':0.03},(168,308):{'3_1':0.0},(168,307):{'3_1':0.03,'8_20|3_1#3_1':0.0},(168,306):{'3_1':0.0},(168,305):{'3_1':0.0},(168,304):{'3_1':0.0},(168,303):{'3_1':0.0},(168,302):{'3_1':0.0},(168,301):{'3_1':0.0,'4_1':0.0},(168,300):{'3_1':0.03},(168,299):{'3_1':0.03,'8_20|3_1#3_1':0.0},(168,298):{'3_1':0.03,'5_2':0.0},(168,297):{'3_1':0.0,'8_20|3_1#3_1':0.0},(168,296):{'3_1':0.0},(168,295):{'3_1':0.0,'5_1':0.0},(168,294):{'3_1':0.0,'8_20|3_1#3_1':0.0},(168,292):{'3_1':0.0,'4_1':0.0},(168,291):{'3_1':0.03},(168,290):{'3_1':0.03},(168,288):{'3_1':0.0,'4_1':0.0},(168,287):{'3_1':0.03},(168,286):{'3_1':0.03},(168,285):{'3_1':0.03,'4_1':0.0},(168,284):{'3_1':0.0},(168,283):{'3_1':0.0},(168,282):{'3_1':0.03,'4_1':0.0},(168,281):{'3_1':0.0},(168,280):{'3_1':0.0},(168,279):{'3_1':0.0,'4_1':0.0},(168,278):{'3_1':0.0},(168,277):{'3_1':0.0},(168,276):{'3_1':0.06},(168,275):{'3_1':0.03,'4_1':0.0},(168,274):{'3_1':0.03},(168,273):{'3_1':0.03},(168,272):{'3_1':0.0},(168,271):{'3_1':0.0,'4_1':0.0},(168,270):{'3_1':0.06},(168,269):{'3_1':0.03},(168,268):{'3_1':0.06},(168,267):{'3_1':0.06},(168,266):{'3_1':0.0,'5_2':0.0},(168,265):{'3_1':0.03},(168,264):{'3_1':0.0},(168,263):{'3_1':0.0,'5_2':0.0},(168,262):{'3_1':0.0,'4_1':0.0},(168,261):{'3_1':0.0},(168,260):{'3_1':0.03},(168,259):{'3_1':0.0},(168,258):{'3_1':0.03,'5_2':0.0},(168,257):{'3_1':0.03,'4_1':0.0},(168,256):{'3_1':0.0},(168,255):{'3_1':0.03},(168,254):{'3_1':0.06},(168,253):{'3_1':0.0},(168,252):{'3_1':0.0},(168,251):{'3_1':0.0},(168,250):{'3_1':0.0},(168,249):{'3_1':0.0},(168,248):{'3_1':0.03},(168,247):{'3_1':0.0},(168,246):{'3_1':0.0},(168,243):{'3_1':0.0},(168,242):{'3_1':0.0},(168,241):{'3_1':0.0},(168,240):{'3_1':0.0},(168,239):{'3_1':0.0},(168,238):{'3_1':0.0},(168,237):{'3_1':0.0},(168,236):{'3_1':0.0},(168,235):{'3_1':0.0},(168,231):{'3_1':0.0},(168,229):{'3_1':0.0},(168,227):{'3_1':0.0},(168,226):{'3_1':0.0},(168,222):{'3_1':0.0},(168,220):{'3_1':0.0},(168,218):{'3_1':0.0},(168,216):{'3_1':0.0},(168,215):{'3_1':0.0},(168,214):{'3_1':0.0},(168,212):{'3_1':0.0},(168,210):{'3_1':0.0},(168,209):{'3_1':0.0},(168,207):{'3_1':0.0},(168,206):{'3_1':0.0},(168,177):{'3_1':0.0},(169,459):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(169,458):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0},(169,457):{'3_1':0.15,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0},(169,456):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0},(169,455):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_2':0.0},(169,454):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'7_1':0.0},(169,453):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(169,452):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(169,451):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(169,450):{'3_1':0.06,'6_2':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(169,449):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(169,448):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'4_1':0.0},(169,447):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0},(169,446):{'3_1':0.03,'5_1':0.0},(169,445):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(169,444):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(169,443):{'3_1':0.06,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(169,442):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(169,441):{'3_1':0.06,'5_2':0.0,'7_3':0.0,'5_1':0.0},(169,440):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(169,439):{'3_1':0.12,'6_2':0.03,'4_1':0.0,'5_2':0.0},(169,438):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_2':0.0,'-3':0.0},(169,437):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_14':0.0},(169,436):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(169,435):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(169,434):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0},(169,433):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(169,432):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(169,431):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_4':0.0},(169,430):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(169,429):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(169,428):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'1':-0.03},(169,427):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_14':0.0},(169,426):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(169,425):{'3_1':0.12,'6_2':0.03,'4_1':0.0,'5_2':0.0},(169,424):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'7_5':0.0,'8_2':0.0,'8_14':0.0},(169,423):{'3_1':0.12,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_9':0.0},(169,422):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0},(169,421):{'3_1':0.12,'6_2':0.03,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_7':0.0,'8_11':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0},(169,420):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.03,'8_11':0.0},(169,419):{'3_1':0.15,'6_2':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_6':0.0},(169,418):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0},(169,417):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_9':0.0},(169,416):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'6_2':0.0},(169,415):{'3_1':0.24,'5_1':0.03,'6_2':0.03,'4_1':0.0,'7_5':0.0,'5_2':0.0,'8_2':0.0},(169,414):{'3_1':0.18,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(169,413):{'3_1':0.15,'4_1':0.06,'6_2':0.06,'5_1':0.03,'5_2':0.0,'-3':0.0},(169,412):{'3_1':0.06,'6_2':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(169,411):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'6_2':0.03,'-3':0.0,'5_2':0.0},(169,410):{'3_1':0.21,'4_1':0.03,'6_2':0.0,'7_1':0.0,'5_1':0.0,'8_2':0.0,'5_2':0.0,'7_5':0.0,'8_9':0.0},(169,409):{'3_1':0.21,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'5_1':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(169,408):{'3_1':0.21,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_9':0.0},(169,407):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(169,406):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(169,405):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0},(169,404):{'3_1':0.12,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_9':0.0},(169,403):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(169,402):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(169,401):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0},(169,400):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(169,399):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(169,398):{'3_1':0.12,'4_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(169,397):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(169,396):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0},(169,395):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(169,394):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_2':0.0},(169,393):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0},(169,392):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'6_2':0.0,'6_1':0.0},(169,391):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(169,390):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(169,389):{'3_1':0.12,'4_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'8_2':0.0},(169,388):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_11':0.0},(169,387):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(169,386):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(169,385):{'3_1':0.06,'4_1':0.03},(169,384):{'3_1':0.03,'4_1':0.03,'8_3':0.0,'8_21|3_1#4_1':0.0},(169,383):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(169,382):{'3_1':0.03,'4_1':0.03,'8_21|3_1#4_1':0.0},(169,381):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(169,380):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_11':0.0,'-3':0.0},(169,379):{'3_1':0.06,'4_1':0.0,'7_6':0.0,'5_1':0.0,'-3':0.0},(169,378):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(169,377):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(169,376):{'4_1':0.06,'3_1':0.03,'6_3':0.0},(169,375):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(169,374):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(169,373):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(169,372):{'3_1':0.09},(169,371):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(169,370):{'3_1':0.09,'4_1':0.0},(169,369):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(169,368):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(169,367):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(169,366):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0},(169,365):{'3_1':0.03,'4_1':0.0},(169,364):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(169,363):{'3_1':0.09,'6_2':0.0},(169,362):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(169,361):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(169,360):{'3_1':0.06},(169,359):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(169,358):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(169,357):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(169,356):{'3_1':0.03,'4_1':0.03},(169,355):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(169,354):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(169,353):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(169,352):{'3_1':0.06,'4_1':0.03},(169,351):{'3_1':0.06,'4_1':0.03},(169,350):{'3_1':0.0,'5_2':0.0},(169,349):{'3_1':0.03},(169,348):{'3_1':0.06},(169,347):{'3_1':0.03,'5_2':0.0},(169,346):{'3_1':0.06,'5_2':0.0},(169,345):{'3_1':0.06,'4_1':0.0},(169,344):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(169,343):{'3_1':0.03,'4_1':0.0},(169,342):{'3_1':0.06},(169,341):{'3_1':0.09,'6_3':0.0},(169,340):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(169,339):{'3_1':0.09},(169,338):{'3_1':0.09,'4_1':0.0},(169,337):{'3_1':0.15,'4_1':0.0},(169,336):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(169,335):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(169,334):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(169,333):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(169,332):{'3_1':0.09,'4_1':0.0},(169,331):{'3_1':0.09,'4_1':0.0},(169,330):{'3_1':0.09,'4_1':0.0},(169,329):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(169,328):{'3_1':0.06,'4_1':0.0},(169,327):{'3_1':0.06,'5_2':0.0},(169,326):{'3_1':0.06},(169,325):{'3_1':0.06},(169,324):{'3_1':0.03},(169,323):{'4_1':0.0},(169,322):{'3_1':0.03,'4_1':0.0},(169,321):{'3_1':0.03},(169,320):{'3_1':0.06,'4_1':0.0},(169,319):{'3_1':0.03},(169,318):{'3_1':0.03},(169,317):{'3_1':0.03,'4_1':0.0},(169,316):{'3_1':0.0,'5_1':0.0},(169,315):{'3_1':0.0},(169,314):{'3_1':0.0},(169,313):{'3_1':0.03},(169,312):{'3_1':0.03},(169,311):{'3_1':0.0},(169,310):{'3_1':0.0},(169,309):{'3_1':0.0},(169,308):{'3_1':0.03},(169,307):{'3_1':0.03},(169,306):{'3_1':0.0},(169,305):{'3_1':0.0},(169,304):{'3_1':0.0},(169,303):{'3_1':0.0,'4_1':0.0},(169,302):{'3_1':0.03,'4_1':0.0},(169,301):{'3_1':0.0,'4_1':0.0},(169,300):{'3_1':0.03},(169,299):{'3_1':0.06},(169,298):{'3_1':0.0},(169,297):{'3_1':0.03},(169,296):{'3_1':0.06},(169,295):{'3_1':0.0},(169,294):{'3_1':0.06},(169,293):{'3_1':0.0},(169,292):{'3_1':0.03,'4_1':0.0},(169,291):{'3_1':0.03,'4_1':0.0},(169,290):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(169,289):{'3_1':0.0,'4_1':0.0},(169,288):{'3_1':0.0},(169,287):{'3_1':0.0},(169,286):{'3_1':0.0},(169,285):{'3_1':0.0},(169,284):{'3_1':0.03,'4_1':0.0},(169,282):{'3_1':0.03},(169,281):{'3_1':0.03},(169,279):{'3_1':0.0},(169,278):{'3_1':0.0},(169,277):{'3_1':0.0,'4_1':0.0},(169,276):{'3_1':0.0,'4_1':0.0},(169,275):{'3_1':0.0,'4_1':0.0},(169,274):{'3_1':0.0,'4_1':0.0},(169,273):{'3_1':0.0},(169,272):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(169,270):{'5_2':0.0},(169,269):{'3_1':0.0},(169,268):{'3_1':0.03},(169,267):{'3_1':0.0},(169,266):{'3_1':0.0},(169,265):{'3_1':0.03},(169,264):{'3_1':0.0,'4_1':0.0},(169,263):{'3_1':0.0},(169,262):{'3_1':0.0,'4_1':0.0},(169,261):{'3_1':0.0},(169,260):{'3_1':0.0},(169,259):{'3_1':0.0},(169,258):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(169,257):{'4_1':0.0},(169,256):{'3_1':0.0},(169,255):{'3_1':0.0},(169,254):{'3_1':0.0},(169,253):{'3_1':0.0},(169,252):{'3_1':0.03},(169,249):{'3_1':0.0},(169,247):{'3_1':0.0},(169,246):{'3_1':0.0},(169,245):{'3_1':0.0},(169,243):{'3_1':0.0},(169,242):{'3_1':0.0},(169,241):{'3_1':0.0},(169,239):{'3_1':0.0},(169,238):{'3_1':0.0},(169,235):{'3_1':0.0},(169,232):{'3_1':0.0},(169,222):{'3_1':0.0},(169,221):{'3_1':0.0},(169,220):{'3_1':0.0},(169,217):{'3_1':0.0},(169,215):{'3_1':0.0},(169,214):{'3_1':0.0},(169,210):{'3_1':0.0},(169,206):{'3_1':0.0},(170,459):{'3_1':0.03,'5_1':0.0,'8_2':0.0,'4_1':0.0,'6_2':0.0},(170,458):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(170,457):{'3_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'8_6':0.0},(170,456):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(170,455):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(170,454):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(170,453):{'3_1':0.03,'5_1':0.0},(170,452):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(170,451):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(170,450):{'3_1':0.09,'5_1':0.03,'5_2':0.0},(170,449):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0},(170,448):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(170,447):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'5_2':0.0,'4_1':0.0},(170,446):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0},(170,445):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(170,444):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(170,443):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(170,442):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(170,441):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(170,440):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_2':0.0},(170,439):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(170,438):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(170,437):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0},(170,436):{'3_1':0.15,'5_1':0.03,'6_2':0.0},(170,435):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'8_11':0.0,'5_1':0.0,'5_2':0.0},(170,434):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_2':0.0},(170,433):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(170,432):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(170,431):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0},(170,430):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'9_1':0.0},(170,429):{'3_1':0.18,'6_2':0.03,'4_1':0.0,'9_1':0.0},(170,428):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_9':0.0,'8_11':0.0},(170,427):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'8_2':0.0,'8_9':0.0,'-3':0.0},(170,426):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(170,425):{'3_1':0.21,'5_1':0.0,'6_2':0.0,'4_1':0.0,'-3':0.0},(170,424):{'3_1':0.15,'6_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(170,423):{'3_1':0.21,'4_1':0.03,'6_2':0.03,'8_2':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(170,422):{'3_1':0.18,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_11':0.0},(170,421):{'3_1':0.21,'6_2':0.03,'5_2':0.0,'-3':0.0},(170,420):{'3_1':0.21,'6_2':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_11':0.0},(170,419):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'8_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_4':0.0},(170,418):{'3_1':0.18,'5_2':0.03,'6_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0,'8_9':0.0},(170,417):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_2':0.0},(170,416):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(170,415):{'3_1':0.15,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_6':0.0},(170,414):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(170,413):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_14':0.0,'-3':0.0},(170,412):{'3_1':0.18,'4_1':0.06,'6_2':0.03,'5_1':0.03,'-3':0.0,'5_2':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0},(170,411):{'3_1':0.15,'5_1':0.03,'6_2':0.03,'8_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(170,410):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0},(170,409):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(170,408):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0,'8_2':0.0},(170,407):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0},(170,406):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0},(170,405):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_6':0.0},(170,404):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0},(170,403):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'5_2':0.0,'6_1':0.0},(170,402):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_13':0.0},(170,401):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0},(170,400):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0},(170,399):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(170,398):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(170,397):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(170,396):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(170,395):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_18':0.0},(170,394):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(170,393):{'3_1':0.21,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0},(170,392):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(170,391):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(170,390):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.03,'8_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(170,389):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_2':0.0},(170,388):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(170,387):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_2':0.0},(170,386):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(170,385):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_1':0.0},(170,384):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0},(170,383):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(170,382):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(170,381):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'-3':0.0},(170,380):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0},(170,379):{'4_1':0.06,'3_1':0.0,'6_2':0.0},(170,378):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(170,377):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(170,376):{'4_1':0.09,'3_1':0.09,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'8_2':0.0},(170,375):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'8_20|3_1#3_1':0.0},(170,374):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(170,373):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(170,372):{'3_1':0.06,'4_1':0.0},(170,371):{'3_1':0.03,'4_1':0.03},(170,370):{'3_1':0.09,'4_1':0.0},(170,369):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(170,368):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(170,367):{'3_1':0.03},(170,366):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0},(170,365):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(170,364):{'3_1':0.06,'4_1':0.0},(170,363):{'3_1':0.06,'4_1':0.0},(170,362):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(170,361):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(170,360):{'3_1':0.03,'4_1':0.0},(170,359):{'3_1':0.09,'4_1':0.0},(170,358):{'3_1':0.06,'4_1':0.0,'8_9':0.0},(170,357):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(170,356):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(170,355):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(170,354):{'3_1':0.06,'4_1':0.03,'7_6':0.0},(170,353):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(170,352):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(170,351):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(170,350):{'3_1':0.06},(170,349):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(170,348):{'3_1':0.06,'4_1':0.03},(170,347):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(170,346):{'3_1':0.03,'4_1':0.0},(170,345):{'3_1':0.06,'4_1':0.0},(170,344):{'3_1':0.09,'5_2':0.0},(170,343):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(170,342):{'3_1':0.06,'4_1':0.0},(170,341):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(170,340):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0},(170,339):{'3_1':0.09,'4_1':0.0},(170,338):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(170,337):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(170,336):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(170,335):{'3_1':0.12,'5_2':0.0},(170,334):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(170,333):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(170,332):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(170,331):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(170,330):{'3_1':0.09,'4_1':0.03},(170,329):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(170,328):{'3_1':0.09},(170,327):{'3_1':0.12},(170,326):{'3_1':0.06},(170,325):{'3_1':0.03},(170,324):{'3_1':0.0,'4_1':0.0},(170,323):{'3_1':0.0,'4_1':0.0},(170,322):{'3_1':0.03},(170,321):{'3_1':0.0},(170,320):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(170,319):{'3_1':0.0},(170,318):{'3_1':0.06},(170,317):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(170,316):{'3_1':0.0,'5_2':0.0},(170,315):{'3_1':0.0,'4_1':0.0},(170,314):{'3_1':0.03},(170,313):{'3_1':0.0,'4_1':0.0},(170,312):{'3_1':0.03},(170,311):{'3_1':0.0},(170,310):{'3_1':0.0},(170,309):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(170,308):{'3_1':0.03},(170,307):{'3_1':0.0},(170,306):{'3_1':0.0},(170,305):{'3_1':0.0,'4_1':0.0},(170,304):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(170,303):{'3_1':0.0},(170,302):{'3_1':0.0},(170,301):{'3_1':0.03},(170,300):{'3_1':0.03},(170,299):{'3_1':0.0,'4_1':0.0},(170,298):{'3_1':0.03},(170,297):{'3_1':0.0},(170,296):{'3_1':0.0},(170,295):{'3_1':0.0},(170,294):{'3_1':0.0},(170,293):{'3_1':0.0},(170,292):{'3_1':0.03},(170,291):{'3_1':0.03},(170,290):{'3_1':0.0,'4_1':0.0},(170,289):{'3_1':0.03},(170,288):{'3_1':0.03},(170,287):{'3_1':0.0},(170,286):{'3_1':0.0,'4_1':0.0},(170,285):{'3_1':0.0,'4_1':0.0},(170,284):{'3_1':0.0},(170,283):{'3_1':0.0},(170,282):{'3_1':0.0},(170,281):{'3_1':0.0,'4_1':0.0},(170,280):{'3_1':0.0},(170,279):{'3_1':0.0},(170,278):{'3_1':0.0},(170,277):{'3_1':0.0},(170,275):{'3_1':0.0},(170,274):{'4_1':0.0,'5_2':0.0},(170,272):{'3_1':0.0},(170,271):{'3_1':0.0},(170,270):{'3_1':0.0},(170,269):{'3_1':0.0},(170,268):{'3_1':0.0},(170,267):{'3_1':0.03},(170,266):{'3_1':0.0,'4_1':0.0},(170,265):{'3_1':0.0},(170,264):{'3_1':0.0},(170,263):{'3_1':0.0},(170,262):{'3_1':0.03},(170,261):{'4_1':0.0},(170,260):{'3_1':0.0},(170,259):{'3_1':0.0,'4_1':0.0},(170,258):{'3_1':0.0,'5_2':0.0},(170,257):{'3_1':0.0},(170,256):{'3_1':0.0},(170,255):{'3_1':0.0},(170,254):{'3_1':0.0},(170,253):{'3_1':0.0},(170,252):{'3_1':0.0},(170,251):{'3_1':0.0},(170,250):{'3_1':0.0},(170,249):{'3_1':0.0},(170,248):{'3_1':0.0},(170,242):{'3_1':0.0},(170,237):{'3_1':0.0},(170,236):{'3_1':0.0},(170,235):{'3_1':0.0},(170,234):{'3_1':0.0},(170,233):{'3_1':0.0},(170,232):{'3_1':0.0},(170,229):{'3_1':0.0},(170,227):{'3_1':0.0},(170,226):{'3_1':0.0},(170,225):{'3_1':0.0},(170,223):{'3_1':0.0},(170,222):{'3_1':0.0},(170,221):{'3_1':0.0,'4_1':0.0},(170,220):{'3_1':0.0},(170,218):{'3_1':0.0},(170,216):{'3_1':0.0},(170,214):{'3_1':0.0},(170,213):{'3_1':0.0},(170,207):{'3_1':0.0},(170,181):{'3_1':0.0},(171,459):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'7_1':0.0},(171,458):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(171,457):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(171,456):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(171,455):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(171,454):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(171,453):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(171,452):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(171,451):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(171,450):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0},(171,449):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(171,448):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(171,447):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(171,446):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(171,445):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(171,444):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'9_1':0.0,'-3':0.0},(171,443):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_2':0.0},(171,442):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(171,441):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0,'-3':0.0},(171,440):{'3_1':0.15,'5_1':0.0,'6_2':0.0,'4_1':0.0},(171,439):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(171,438):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(171,437):{'3_1':0.15,'4_1':0.03,'8_2':0.0},(171,436):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(171,435):{'3_1':0.18,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(171,434):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(171,433):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_2':0.0},(171,432):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(171,431):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(171,430):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'8_11':0.0,'5_2':0.0,'6_2':0.0},(171,429):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'8_2':0.0},(171,428):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0},(171,427):{'3_1':0.15,'4_1':0.0,'8_2':0.0,'5_2':0.0,'7_5':0.0},(171,426):{'3_1':0.12,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(171,425):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'8_2':0.0},(171,424):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(171,423):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(171,422):{'3_1':0.21,'6_2':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(171,421):{'3_1':0.18,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(171,420):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0},(171,419):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(171,418):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(171,417):{'3_1':0.21,'5_2':0.03,'6_2':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0},(171,416):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0},(171,415):{'3_1':0.18,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'1':-0.03},(171,414):{'3_1':0.27,'4_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0,'8_2':0.0,'8_14':0.0,'-3':0.0},(171,413):{'3_1':0.12,'6_2':0.06,'5_1':0.03,'4_1':0.0,'8_2':0.0,'5_2':0.0,'8_11':0.0,'8_14':0.0,'-3':0.0},(171,412):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_9':0.0,'-3':0.0},(171,411):{'3_1':0.27,'6_2':0.03,'4_1':0.0,'5_2':0.0,'7_7':0.0,'8_2':0.0},(171,410):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0},(171,409):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_4':0.0,'-3':0.0,'1':-0.03},(171,408):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(171,407):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'8_2':0.0,'5_1':0.0,'8_4':0.0,'8_9':0.0},(171,406):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(171,405):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(171,404):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_9':0.0},(171,403):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_5':0.0},(171,402):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(171,401):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_2':0.0,'5_2':0.0},(171,400):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(171,399):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(171,398):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(171,397):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(171,396):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(171,395):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(171,394):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0},(171,393):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(171,392):{'4_1':0.12,'3_1':0.06,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(171,391):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(171,390):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(171,389):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(171,388):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_9':0.0},(171,387):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(171,386):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_10':0.0},(171,385):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(171,384):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(171,383):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(171,382):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'5_1':0.0},(171,381):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(171,380):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_17':0.0},(171,379):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0},(171,378):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(171,377):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(171,376):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(171,375):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(171,374):{'4_1':0.06,'3_1':0.03,'6_3':0.0},(171,373):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_2':0.0},(171,372):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(171,371):{'3_1':0.0,'4_1':0.0},(171,370):{'3_1':0.06},(171,369):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(171,368):{'3_1':0.06,'4_1':0.0},(171,367):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(171,366):{'3_1':0.06,'4_1':0.0},(171,365):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(171,364):{'3_1':0.09,'4_1':0.0},(171,363):{'3_1':0.06,'4_1':0.0},(171,362):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(171,361):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(171,360):{'3_1':0.06,'4_1':0.0},(171,359):{'3_1':0.06,'4_1':0.03},(171,358):{'3_1':0.03,'4_1':0.0},(171,357):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(171,356):{'3_1':0.03},(171,355):{'3_1':0.06,'4_1':0.0},(171,354):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(171,353):{'3_1':0.06,'4_1':0.0},(171,352):{'3_1':0.06,'4_1':0.0},(171,351):{'3_1':0.06,'4_1':0.03},(171,350):{'3_1':0.0,'4_1':0.0},(171,349):{'3_1':0.03,'4_1':0.0},(171,348):{'3_1':0.06},(171,347):{'3_1':0.03},(171,346):{'3_1':0.06,'4_1':0.0},(171,345):{'3_1':0.06,'4_1':0.0},(171,344):{'3_1':0.0},(171,343):{'3_1':0.06,'4_1':0.0},(171,342):{'3_1':0.06,'4_1':0.0},(171,341):{'3_1':0.09,'6_2':0.0},(171,340):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(171,339):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(171,338):{'3_1':0.09},(171,337):{'3_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0},(171,336):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(171,335):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(171,334):{'3_1':0.12,'4_1':0.0},(171,333):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(171,332):{'3_1':0.12,'4_1':0.0},(171,331):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(171,330):{'3_1':0.06,'5_2':0.0},(171,329):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(171,328):{'3_1':0.15,'4_1':0.0},(171,327):{'3_1':0.09,'4_1':0.0},(171,326):{'3_1':0.06,'4_1':0.0},(171,325):{'3_1':0.06,'5_2':0.0},(171,324):{'3_1':0.03,'4_1':0.0},(171,323):{'3_1':0.03,'4_1':0.0},(171,322):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(171,321):{'3_1':0.06,'5_2':0.0},(171,320):{'3_1':0.03,'4_1':0.0},(171,319):{'3_1':0.03},(171,318):{'3_1':0.0},(171,317):{'3_1':0.03},(171,316):{'3_1':0.0,'8_20|3_1#3_1':0.0},(171,315):{'3_1':0.06},(171,314):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(171,313):{'3_1':0.03},(171,312):{'3_1':0.06},(171,311):{'3_1':0.0},(171,310):{'3_1':0.03,'4_1':0.0},(171,309):{'3_1':0.0,'4_1':0.0},(171,308):{'3_1':0.03},(171,307):{'3_1':0.03,'4_1':0.0},(171,306):{'3_1':0.0},(171,305):{'3_1':0.0},(171,304):{'3_1':0.0,'5_1':0.0},(171,303):{'4_1':0.03,'3_1':0.0,'8_21|3_1#4_1':0.0},(171,302):{'3_1':0.0},(171,301):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(171,300):{'3_1':0.0},(171,299):{'3_1':0.03,'8_20|3_1#3_1':0.0},(171,298):{'3_1':0.06},(171,297):{'3_1':0.03},(171,296):{'3_1':0.03},(171,295):{'3_1':0.0,'4_1':0.0},(171,294):{'3_1':0.03},(171,293):{'3_1':0.03},(171,292):{'3_1':0.06,'4_1':0.0},(171,291):{'3_1':0.0,'4_1':0.0},(171,290):{'3_1':0.06},(171,289):{'3_1':0.03,'4_1':0.0},(171,288):{'3_1':0.03},(171,287):{'3_1':0.0},(171,286):{'3_1':0.03},(171,285):{'3_1':0.03},(171,284):{'3_1':0.03,'4_1':0.0},(171,282):{'3_1':0.0},(171,281):{'3_1':0.0},(171,280):{'3_1':0.03},(171,279):{'3_1':0.0},(171,278):{'3_1':0.0},(171,277):{'3_1':0.0,'4_1':0.0},(171,276):{'3_1':0.03,'4_1':0.0},(171,275):{'3_1':0.03},(171,274):{'3_1':0.0},(171,273):{'3_1':0.0},(171,272):{'3_1':0.03},(171,271):{'3_1':0.0},(171,270):{'3_1':0.03},(171,269):{'3_1':0.0,'5_1':0.0},(171,268):{'3_1':0.0},(171,267):{'3_1':0.0},(171,266):{'3_1':0.0,'4_1':0.0},(171,264):{'3_1':0.03,'4_1':0.0},(171,263):{'3_1':0.0,'4_1':0.0},(171,262):{'3_1':0.06},(171,261):{'3_1':0.0},(171,260):{'3_1':0.0},(171,259):{'3_1':0.0},(171,258):{'3_1':0.0,'4_1':0.0},(171,257):{'3_1':0.0,'4_1':0.0},(171,256):{'3_1':0.03},(171,255):{'3_1':0.0},(171,254):{'3_1':0.03},(171,253):{'3_1':0.03,'4_1':0.0},(171,252):{'3_1':0.0},(171,251):{'3_1':0.0},(171,250):{'3_1':0.0},(171,249):{'4_1':0.0},(171,248):{'3_1':0.0},(171,247):{'3_1':0.0},(171,244):{'3_1':0.0},(171,243):{'3_1':0.0},(171,238):{'3_1':0.0},(171,234):{'3_1':0.0},(171,233):{'3_1':0.0},(171,231):{'3_1':0.0},(171,230):{'3_1':0.0},(171,229):{'3_1':0.0},(171,226):{'3_1':0.0},(171,212):{'3_1':0.0},(171,209):{'3_1':0.0},(171,179):{'3_1':0.0},(172,459):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(172,458):{'3_1':0.0,'4_1':0.0},(172,457):{'3_1':0.06,'5_2':0.0},(172,456):{'3_1':0.06},(172,455):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(172,454):{'3_1':0.06,'5_2':0.0},(172,453):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(172,452):{'3_1':0.06,'5_2':0.0},(172,451):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(172,450):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(172,449):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0},(172,448):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(172,447):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(172,446):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(172,445):{'3_1':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0},(172,444):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(172,443):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(172,442):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(172,441):{'3_1':0.12,'4_1':0.0},(172,440):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(172,439):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_14':0.0},(172,438):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(172,437):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(172,436):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(172,435):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(172,434):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_11':0.0},(172,433):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_2':0.0},(172,432):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(172,431):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(172,430):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(172,429):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(172,428):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_7':0.0},(172,427):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'8_11':0.0},(172,426):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(172,425):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(172,424):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(172,423):{'3_1':0.09,'6_2':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_14':0.0},(172,422):{'3_1':0.06,'4_1':0.03,'6_2':0.03,'6_1':0.0,'7_5':0.0,'8_9':0.0},(172,421):{'3_1':0.15,'6_2':0.03,'5_2':0.0,'4_1':0.0,'8_11':0.0,'-3':0.0},(172,420):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_2':0.0},(172,419):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_2':0.0},(172,418):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_9':0.0},(172,417):{'3_1':0.15,'6_2':0.03,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_2':0.0,'8_4':0.0,'8_14':0.0},(172,416):{'3_1':0.15,'6_2':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_2':0.0},(172,415):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_5':0.0},(172,414):{'3_1':0.12,'6_2':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_4':0.0,'8_9':0.0},(172,413):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0},(172,412):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(172,411):{'3_1':0.09,'6_2':0.03,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_9':0.0},(172,410):{'3_1':0.12,'6_2':0.06,'4_1':0.03,'-3':0.0,'7_5':0.0},(172,409):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(172,408):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(172,407):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0},(172,406):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(172,405):{'3_1':0.15,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0},(172,404):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(172,403):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(172,402):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(172,401):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0},(172,400):{'3_1':0.15,'5_2':0.0,'8_9':0.0},(172,399):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(172,398):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(172,397):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_6':0.0},(172,396):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(172,395):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'7_6':0.0,'-3':0.0},(172,394):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(172,393):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0},(172,392):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(172,391):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_4':0.0},(172,390):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(172,389):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(172,388):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(172,387):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(172,386):{'3_1':0.06,'4_1':0.06},(172,385):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(172,384):{'3_1':0.12,'4_1':0.06,'8_21|3_1#4_1':0.0},(172,383):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(172,382):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(172,381):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(172,380):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(172,379):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(172,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(172,377):{'3_1':0.03,'4_1':0.0},(172,376):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(172,375):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(172,374):{'3_1':0.06,'4_1':0.0},(172,373):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(172,372):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(172,371):{'3_1':0.06},(172,370):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(172,369):{'3_1':0.03,'4_1':0.0},(172,368):{'3_1':0.09,'4_1':0.0},(172,367):{'3_1':0.03,'4_1':0.0},(172,366):{'3_1':0.12,'4_1':0.0},(172,365):{'3_1':0.06,'4_1':0.0},(172,364):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(172,363):{'3_1':0.03,'6_2':0.0},(172,362):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(172,361):{'3_1':0.06,'8_20|3_1#3_1':0.0},(172,360):{'3_1':0.03,'8_20|3_1#3_1':0.0},(172,359):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(172,358):{'3_1':0.09},(172,357):{'3_1':0.09},(172,356):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(172,355):{'3_1':0.0,'4_1':0.0},(172,354):{'3_1':0.03},(172,353):{'3_1':0.09},(172,352):{'3_1':0.09,'8_20|3_1#3_1':0.0},(172,351):{'3_1':0.06},(172,350):{'3_1':0.03},(172,349):{'3_1':0.0},(172,348):{'3_1':0.03,'4_1':0.0},(172,347):{'3_1':0.03,'4_1':0.0},(172,346):{'3_1':0.0,'4_1':0.0},(172,345):{'3_1':0.03,'4_1':0.0},(172,344):{'3_1':0.03},(172,343):{'3_1':0.03,'5_2':0.0},(172,342):{'3_1':0.06,'5_1':0.0},(172,341):{'3_1':0.03},(172,340):{'3_1':0.09,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(172,339):{'3_1':0.09,'4_1':0.0},(172,338):{'3_1':0.06,'4_1':0.0},(172,337):{'3_1':0.09},(172,336):{'3_1':0.09,'4_1':0.0},(172,335):{'3_1':0.09,'4_1':0.0},(172,334):{'3_1':0.03,'4_1':0.0},(172,333):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(172,332):{'3_1':0.09,'4_1':0.03},(172,331):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(172,330):{'3_1':0.03},(172,329):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(172,328):{'3_1':0.0,'4_1':0.0},(172,327):{'3_1':0.03},(172,326):{'3_1':0.03},(172,325):{'3_1':0.03},(172,324):{'3_1':0.03,'4_1':0.0},(172,323):{'3_1':0.06},(172,322):{'3_1':0.03,'4_1':0.0},(172,321):{'3_1':0.0,'4_1':0.0},(172,320):{'3_1':0.0},(172,319):{'3_1':0.06,'4_1':0.0},(172,318):{'3_1':0.06},(172,317):{'3_1':0.03},(172,316):{'3_1':0.0,'5_2':0.0},(172,315):{'3_1':0.03},(172,314):{'3_1':0.03,'6_3':0.0},(172,313):{'3_1':0.06},(172,312):{'3_1':0.03,'4_1':0.0},(172,311):{'3_1':0.0,'8_21|3_1#4_1':0.0},(172,310):{'3_1':0.03},(172,309):{'3_1':0.06},(172,308):{'3_1':0.0},(172,307):{'3_1':0.0},(172,306):{'3_1':0.0},(172,305):{'3_1':0.03},(172,304):{'3_1':0.0},(172,302):{'3_1':0.03},(172,301):{'3_1':0.03},(172,300):{'3_1':0.03,'5_2':0.0},(172,298):{'3_1':0.03},(172,297):{'3_1':0.0},(172,296):{'3_1':0.0},(172,295):{'3_1':0.03},(172,293):{'3_1':0.03},(172,292):{'3_1':0.03,'4_1':0.0},(172,291):{'3_1':0.0},(172,290):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(172,289):{'3_1':0.06},(172,288):{'3_1':0.03},(172,287):{'3_1':0.0},(172,286):{'3_1':0.03},(172,285):{'3_1':0.0},(172,284):{'3_1':0.0},(172,283):{'3_1':0.0},(172,282):{'3_1':0.03},(172,280):{'3_1':0.0},(172,279):{'3_1':0.0,'4_1':0.0},(172,278):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(172,277):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(172,275):{'3_1':0.0,'4_1':0.0},(172,274):{'3_1':0.0},(172,273):{'3_1':0.0},(172,272):{'3_1':0.0},(172,271):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(172,270):{'3_1':0.0},(172,269):{'3_1':0.0},(172,268):{'3_1':0.0,'4_1':0.0},(172,267):{'3_1':0.03,'5_2':0.0},(172,266):{'3_1':0.0},(172,265):{'3_1':0.0},(172,264):{'3_1':0.0,'4_1':0.0},(172,263):{'3_1':0.0},(172,262):{'3_1':0.03},(172,261):{'4_1':0.0,'3_1':0.0},(172,260):{'4_1':0.0,'3_1':0.0},(172,259):{'3_1':0.0},(172,257):{'3_1':0.0},(172,256):{'3_1':0.03},(172,254):{'3_1':0.03},(172,253):{'3_1':0.0},(172,252):{'3_1':0.03},(172,251):{'3_1':0.0},(172,250):{'3_1':0.0},(172,248):{'3_1':0.0},(172,246):{'3_1':0.0},(172,241):{'3_1':0.0},(172,235):{'3_1':0.0},(172,233):{'3_1':0.0},(172,232):{'3_1':0.0},(172,231):{'3_1':0.0},(172,230):{'3_1':0.0},(172,223):{'4_1':0.0},(172,221):{'4_1':0.0},(172,213):{'3_1':0.0},(172,212):{'3_1':0.0},(172,211):{'3_1':0.0},(172,210):{'3_1':0.0},(172,209):{'3_1':0.0},(172,207):{'3_1':0.0},(173,459):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(173,458):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(173,457):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(173,456):{'3_1':0.06,'7_4':0.0},(173,455):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(173,454):{'3_1':0.03,'4_1':0.0},(173,453):{'3_1':0.06,'6_1':0.0,'4_1':0.0,'5_2':0.0},(173,452):{'3_1':0.06,'4_1':0.0},(173,451):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(173,450):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(173,449):{'3_1':0.09,'4_1':0.0,'7_4':0.0},(173,448):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_4':0.0},(173,447):{'3_1':0.09},(173,446):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(173,445):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(173,444):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(173,443):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(173,442):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(173,441):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(173,440):{'3_1':0.06,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(173,439):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0,'5_1':0.0},(173,438):{'3_1':0.0,'4_1':0.0},(173,437):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(173,436):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(173,435):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(173,434):{'3_1':0.03,'4_1':0.0},(173,433):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0},(173,432):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(173,431):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(173,430):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0},(173,429):{'3_1':0.09,'4_1':0.0,'8_11':0.0,'8_19':0.0},(173,428):{'3_1':0.09},(173,427):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0,'8_14':0.0},(173,426):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(173,425):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_7':0.0},(173,424):{'3_1':0.06,'4_1':0.0},(173,423):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_4':0.0},(173,422):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(173,421):{'3_1':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_7':0.0,'8_2':0.0},(173,420):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(173,419):{'3_1':0.06,'4_1':0.03,'-3':0.0},(173,418):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(173,417):{'3_1':0.09,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0,'8_2':0.0},(173,416):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_11':0.0},(173,415):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0},(173,414):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(173,413):{'3_1':0.18,'6_2':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(173,412):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0},(173,411):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0},(173,410):{'3_1':0.15,'4_1':0.09,'6_2':0.0,'6_1':0.0,'8_11':0.0},(173,409):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0},(173,408):{'3_1':0.12,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_5':0.0},(173,407):{'3_1':0.09,'4_1':0.03,'8_14':0.0,'-3':0.0},(173,406):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(173,405):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0},(173,404):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(173,403):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(173,402):{'3_1':0.09,'5_1':0.0,'6_1':0.0,'8_16':0.0},(173,401):{'3_1':0.06,'4_1':0.03},(173,400):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0},(173,399):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(173,398):{'3_1':0.06,'4_1':0.06,'6_2':0.0},(173,397):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(173,396):{'3_1':0.03,'4_1':0.03,'5_2':0.03},(173,395):{'3_1':0.12,'4_1':0.03},(173,394):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(173,393):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(173,392):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(173,391):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(173,390):{'3_1':0.12,'5_2':0.0,'6_1':0.0,'-3':0.0},(173,389):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(173,388):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_16':0.0,'-3':0.0},(173,387):{'3_1':0.06,'4_1':0.0},(173,386):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0},(173,385):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(173,384):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(173,383):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(173,382):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(173,381):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(173,380):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(173,379):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(173,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(173,377):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(173,376):{'3_1':0.03,'4_1':0.03},(173,375):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(173,374):{'3_1':0.03,'4_1':0.0},(173,373):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(173,372):{'3_1':0.03,'4_1':0.0},(173,371):{'3_1':0.03},(173,370):{'3_1':0.03},(173,369):{'3_1':0.06,'8_20|3_1#3_1':0.0},(173,368):{'3_1':0.03},(173,367):{'3_1':0.06,'5_2':0.0},(173,366):{'3_1':0.06},(173,365):{'3_1':0.03},(173,364):{'3_1':0.09,'4_1':0.03},(173,363):{'3_1':0.06,'6_2':0.0},(173,362):{'3_1':0.06,'6_3':0.0},(173,361):{'3_1':0.06},(173,360):{'3_1':0.09},(173,359):{'3_1':0.03,'8_20|3_1#3_1':0.0},(173,358):{'3_1':0.03},(173,357):{'3_1':0.03,'5_2':0.0},(173,356):{'3_1':0.06,'4_1':0.0},(173,355):{'3_1':0.09},(173,354):{'3_1':0.06,'5_2':0.0},(173,353):{'3_1':0.03},(173,352):{'3_1':0.06},(173,351):{'3_1':0.06,'4_1':0.0},(173,350):{'3_1':0.06,'5_2':0.0},(173,349):{'3_1':0.03,'4_1':0.0},(173,348):{'3_1':0.0},(173,347):{'3_1':0.06},(173,346):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(173,345):{'3_1':0.0,'5_2':0.0},(173,344):{'3_1':0.0},(173,343):{'3_1':0.06,'4_1':0.0},(173,342):{'3_1':0.03},(173,341):{'3_1':0.03,'4_1':0.0},(173,340):{'3_1':0.06},(173,339):{'3_1':0.03},(173,338):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(173,337):{'3_1':0.12,'5_2':0.0},(173,336):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(173,335):{'3_1':0.12,'5_2':0.0},(173,334):{'3_1':0.12,'4_1':0.0},(173,333):{'3_1':0.06},(173,332):{'3_1':0.06,'6_2':0.03,'5_2':0.0},(173,331):{'3_1':0.03,'4_1':0.0},(173,330):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(173,329):{'3_1':0.15,'5_2':0.0},(173,328):{'3_1':0.06,'4_1':0.0},(173,327):{'3_1':0.06,'4_1':0.0},(173,326):{'3_1':0.06},(173,325):{'3_1':0.0},(173,324):{'3_1':0.0},(173,323):{'3_1':0.03,'6_3':0.0},(173,322):{'3_1':0.0},(173,321):{'3_1':0.0,'5_1':0.0},(173,320):{'3_1':0.03},(173,319):{'3_1':0.03},(173,318):{'3_1':0.06,'4_1':0.0},(173,317):{'3_1':0.0},(173,316):{'3_1':0.03},(173,315):{'3_1':0.03},(173,314):{'3_1':0.0},(173,313):{'3_1':0.03,'4_1':0.0},(173,311):{'3_1':0.03,'5_1':0.0},(173,310):{'3_1':0.03,'5_2':0.0},(173,309):{'3_1':0.0,'4_1':0.0},(173,308):{'3_1':0.03},(173,307):{'3_1':0.0,'4_1':0.0},(173,306):{'8_21|3_1#4_1':0.0},(173,305):{'3_1':0.0},(173,304):{'3_1':0.0,'4_1':0.0},(173,303):{'3_1':0.03,'5_2':0.0},(173,302):{'3_1':0.03,'8_20|3_1#3_1':0.0},(173,301):{'3_1':0.0,'8_20|3_1#3_1':0.0},(173,300):{'3_1':0.03},(173,299):{'3_1':0.0,'5_1':0.0},(173,298):{'3_1':0.0},(173,297):{'3_1':0.0},(173,296):{'3_1':0.03,'8_21|3_1#4_1':0.0},(173,295):{'3_1':0.0},(173,294):{'3_1':0.03},(173,293):{'3_1':0.0},(173,292):{'3_1':0.0},(173,291):{'3_1':0.03,'4_1':0.0},(173,290):{'3_1':0.0},(173,289):{'3_1':0.0},(173,288):{'3_1':0.0},(173,287):{'3_1':0.0},(173,285):{'3_1':0.03},(173,284):{'3_1':0.0},(173,283):{'3_1':0.0},(173,282):{'3_1':0.03},(173,280):{'3_1':0.0},(173,279):{'3_1':0.0},(173,278):{'3_1':0.0},(173,277):{'3_1':0.0},(173,276):{'3_1':0.0,'5_2':0.0},(173,275):{'3_1':0.0},(173,274):{'3_1':0.0},(173,273):{'3_1':0.03},(173,272):{'3_1':0.0},(173,271):{'3_1':0.0,'4_1':0.0},(173,270):{'3_1':0.03},(173,269):{'3_1':0.0},(173,268):{'3_1':0.0},(173,267):{'3_1':0.0,'4_1':0.0},(173,266):{'3_1':0.03},(173,265):{'3_1':0.0},(173,264):{'3_1':0.0,'4_1':0.0},(173,263):{'3_1':0.0},(173,262):{'3_1':0.03},(173,261):{'3_1':0.0,'4_1':0.0},(173,260):{'3_1':0.03,'4_1':0.0},(173,259):{'3_1':0.03},(173,258):{'3_1':0.0},(173,257):{'3_1':0.0},(173,256):{'3_1':0.0},(173,255):{'3_1':0.0},(173,254):{'3_1':0.0},(173,252):{'3_1':0.0},(173,251):{'3_1':0.0},(173,250):{'3_1':0.0},(173,249):{'3_1':0.0},(173,248):{'3_1':0.0},(173,244):{'3_1':0.0},(173,233):{'3_1':0.0},(173,232):{'3_1':0.0},(173,228):{'3_1':0.0},(173,226):{'3_1':0.0},(173,225):{'3_1':0.0},(173,224):{'3_1':0.0},(173,221):{'3_1':0.0},(173,209):{'3_1':0.0},(173,208):{'3_1':0.0},(174,459):{'3_1':0.0,'6_2':0.0},(174,458):{'3_1':0.0},(174,457):{'3_1':0.0,'4_1':0.0},(174,456):{'3_1':0.0},(174,455):{'3_1':0.06},(174,454):{'3_1':0.0},(174,453):{'3_1':0.0},(174,452):{'3_1':0.0},(174,451):{'3_1':0.03,'4_1':0.0},(174,450):{'3_1':0.0},(174,449):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(174,448):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(174,447):{'3_1':0.03,'4_1':0.0},(174,446):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(174,445):{'3_1':0.06,'5_1':0.0},(174,444):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(174,443):{'3_1':0.0,'4_1':0.0},(174,442):{'3_1':0.03,'4_1':0.0},(174,441):{'3_1':0.06,'5_2':0.0,'8_11':0.0},(174,440):{'3_1':0.0,'4_1':0.0},(174,439):{'3_1':0.03,'6_2':0.0},(174,438):{'3_1':0.03,'6_2':0.0},(174,437):{'3_1':0.03,'6_2':0.0},(174,436):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(174,435):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(174,434):{'3_1':0.03},(174,433):{'3_1':0.09},(174,432):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(174,431):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(174,430):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(174,429):{'3_1':0.06,'4_1':0.0,'8_7':0.0},(174,428):{'3_1':0.06,'4_1':0.0},(174,427):{'3_1':0.03,'4_1':0.0},(174,426):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_11':0.0},(174,425):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(174,424):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(174,423):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(174,422):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(174,421):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(174,420):{'3_1':0.06,'4_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(174,419):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(174,418):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0},(174,417):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(174,416):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(174,415):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(174,414):{'3_1':0.06,'6_2':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(174,413):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(174,412):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0},(174,411):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(174,410):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(174,409):{'3_1':0.15,'4_1':0.0},(174,408):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(174,407):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(174,406):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(174,405):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_3':0.0},(174,404):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(174,403):{'3_1':0.03,'4_1':0.0},(174,402):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(174,401):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(174,400):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(174,399):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(174,398):{'3_1':0.12,'4_1':0.0},(174,397):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(174,396):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(174,395):{'3_1':0.15,'4_1':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(174,394):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(174,393):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'7_7':0.0},(174,392):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0},(174,391):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(174,390):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(174,389):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_19':0.0},(174,388):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(174,387):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(174,386):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(174,385):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(174,384):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(174,383):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(174,382):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(174,381):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0},(174,380):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(174,379):{'3_1':0.06,'5_2':0.0,'6_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(174,378):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(174,377):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(174,376):{'3_1':0.12,'4_1':0.03},(174,375):{'3_1':0.06,'4_1':0.03,'7_5':0.0},(174,374):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(174,373):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(174,372):{'3_1':0.06,'4_1':0.0},(174,371):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(174,370):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(174,369):{'3_1':0.03,'6_2':0.0},(174,368):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(174,367):{'3_1':0.12,'7_2':0.0},(174,366):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(174,365):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(174,364):{'3_1':0.06,'4_1':0.0},(174,363):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(174,362):{'3_1':0.03,'4_1':0.0},(174,361):{'3_1':0.06,'8_20|3_1#3_1':0.0},(174,360):{'3_1':0.06,'4_1':0.0},(174,359):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(174,358):{'3_1':0.09},(174,357):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(174,356):{'3_1':0.06},(174,355):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(174,354):{'3_1':0.06,'4_1':0.0},(174,353):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(174,352):{'3_1':0.06,'4_1':0.0},(174,351):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(174,350):{'3_1':0.06},(174,349):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(174,348):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(174,347):{'3_1':0.03},(174,346):{'3_1':0.03,'4_1':0.0},(174,345):{'4_1':0.03,'3_1':0.0},(174,344):{'3_1':0.0},(174,343):{'3_1':0.06},(174,342):{'3_1':0.09},(174,341):{'3_1':0.03},(174,340):{'3_1':0.09},(174,339):{'3_1':0.09,'5_2':0.0},(174,338):{'3_1':0.03},(174,337):{'3_1':0.06,'8_20|3_1#3_1':0.0},(174,336):{'3_1':0.06},(174,335):{'3_1':0.03,'5_2':0.0},(174,334):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(174,333):{'3_1':0.03,'4_1':0.0},(174,332):{'3_1':0.09},(174,331):{'3_1':0.12,'4_1':0.0},(174,330):{'3_1':0.15,'4_1':0.0},(174,329):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(174,328):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(174,327):{'3_1':0.09,'5_2':0.0},(174,326):{'3_1':0.06,'4_1':0.0},(174,325):{'3_1':0.03},(174,324):{'3_1':0.0,'4_1':0.0},(174,323):{'3_1':0.03},(174,322):{'3_1':0.0},(174,321):{'3_1':0.0},(174,320):{'3_1':0.03},(174,319):{'3_1':0.03},(174,318):{'3_1':0.03},(174,317):{'3_1':0.03,'4_1':0.0},(174,316):{'3_1':0.0,'4_1':0.0},(174,315):{'3_1':0.03},(174,314):{'3_1':0.0},(174,313):{'3_1':0.06},(174,312):{'3_1':0.03},(174,311):{'3_1':0.03},(174,310):{'3_1':0.06},(174,309):{'3_1':0.0,'5_2':0.0},(174,308):{'3_1':0.0},(174,307):{'3_1':0.0},(174,306):{'3_1':0.0},(174,305):{'3_1':0.03,'8_21|3_1#4_1':0.0},(174,304):{'3_1':0.03},(174,303):{'3_1':0.0,'8_21|3_1#4_1':0.0},(174,302):{'3_1':0.0},(174,301):{'3_1':0.0},(174,300):{'3_1':0.03},(174,299):{'3_1':0.0},(174,298):{'3_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(174,297):{'3_1':0.0},(174,296):{'3_1':0.0},(174,295):{'3_1':0.03},(174,294):{'3_1':0.0},(174,293):{'3_1':0.0},(174,292):{'3_1':0.0},(174,291):{'3_1':0.06},(174,290):{'3_1':0.03},(174,289):{'3_1':0.09,'4_1':0.0},(174,288):{'3_1':0.03},(174,287):{'3_1':0.06},(174,286):{'3_1':0.03},(174,285):{'3_1':0.0},(174,284):{'3_1':0.0,'4_1':0.0},(174,283):{'3_1':0.0},(174,282):{'3_1':0.0},(174,281):{'3_1':0.0},(174,279):{'3_1':0.0,'4_1':0.0},(174,278):{'3_1':0.0,'4_1':0.0},(174,277):{'4_1':0.0},(174,276):{'3_1':0.0},(174,275):{'3_1':0.0,'4_1':0.0},(174,274):{'3_1':0.0},(174,273):{'3_1':0.0},(174,272):{'3_1':0.0},(174,271):{'3_1':0.03},(174,270):{'3_1':0.0},(174,269):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(174,268):{'3_1':0.0},(174,267):{'3_1':0.0},(174,266):{'3_1':0.0},(174,265):{'3_1':0.0},(174,264):{'3_1':0.0},(174,263):{'3_1':0.0,'4_1':0.0},(174,262):{'3_1':0.0,'4_1':0.0},(174,261):{'3_1':0.0},(174,260):{'3_1':0.0},(174,259):{'3_1':0.0},(174,258):{'3_1':0.0},(174,257):{'3_1':0.0},(174,256):{'3_1':0.03},(174,255):{'3_1':0.03,'4_1':0.0},(174,254):{'3_1':0.0,'4_1':0.0},(174,253):{'3_1':0.0},(174,252):{'3_1':0.0,'4_1':0.0},(174,251):{'3_1':0.0},(174,250):{'3_1':0.03},(174,248):{'3_1':0.0,'4_1':0.0},(174,247):{'3_1':0.0},(174,246):{'3_1':0.0},(174,245):{'4_1':0.0},(174,243):{'3_1':0.0},(174,242):{'3_1':0.0},(174,241):{'3_1':0.0},(174,240):{'3_1':0.0},(174,237):{'3_1':0.0},(174,235):{'3_1':0.0},(174,233):{'3_1':0.0},(174,231):{'3_1':0.0},(174,230):{'3_1':0.0},(174,228):{'3_1':0.0},(174,227):{'3_1':0.0},(174,225):{'3_1':0.0},(174,224):{'3_1':0.0,'4_1':0.0},(174,221):{'3_1':0.0},(174,212):{'3_1':0.0},(174,205):{'3_1':0.0},(175,459):{'3_1':0.03},(175,458):{'3_1':0.0},(175,457):{'3_1':0.03,'4_1':0.0},(175,456):{'3_1':0.0},(175,455):{'3_1':0.03,'4_1':0.0},(175,454):{'3_1':0.0},(175,453):{'3_1':0.0},(175,452):{'3_1':0.03,'5_2':0.0},(175,451):{'3_1':0.03,'5_1':0.0},(175,450):{'3_1':0.0},(175,449):{'3_1':0.0,'4_1':0.0},(175,448):{'3_1':0.0,'4_1':0.0},(175,447):{'3_1':0.03,'4_1':0.0},(175,446):{'3_1':0.06},(175,445):{'3_1':0.0,'5_1':0.0},(175,444):{'3_1':0.06},(175,443):{'3_1':0.03,'4_1':0.0},(175,442):{'3_1':0.03,'6_2':0.0},(175,441):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(175,440):{'3_1':0.03},(175,439):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(175,438):{'3_1':0.0,'4_1':0.0,'8_4':0.0},(175,437):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(175,436):{'3_1':0.06,'4_1':0.0,'8_11':0.0},(175,435):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(175,434):{'3_1':0.03},(175,433):{'3_1':0.0},(175,432):{'3_1':0.03,'4_1':0.0},(175,431):{'3_1':0.03,'4_1':0.03},(175,430):{'3_1':0.0,'6_2':0.0},(175,429):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(175,428):{'3_1':0.06,'5_2':0.0},(175,427):{'3_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(175,426):{'3_1':0.03,'4_1':0.0},(175,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(175,424):{'3_1':0.03,'4_1':0.0},(175,423):{'4_1':0.0,'6_2':0.0,'3_1':0.0},(175,422):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(175,421):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'-3':0.0},(175,420):{'3_1':0.03,'4_1':0.0},(175,419):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(175,418):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_2':0.0},(175,417):{'3_1':0.09,'4_1':0.06,'6_2':0.0},(175,416):{'3_1':0.03,'6_1':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0},(175,415):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(175,414):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(175,413):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(175,412):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(175,411):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_2':0.0},(175,410):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(175,409):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(175,408):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(175,407):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(175,406):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(175,405):{'3_1':0.03,'4_1':0.0},(175,404):{'3_1':0.09,'4_1':0.03},(175,403):{'3_1':0.09},(175,402):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(175,401):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(175,400):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(175,399):{'3_1':0.06,'4_1':0.0},(175,398):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(175,397):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(175,396):{'3_1':0.12,'4_1':0.0},(175,395):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_14':0.0},(175,394):{'3_1':0.06,'4_1':0.0},(175,393):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(175,392):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0},(175,391):{'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_7':0.0},(175,390):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(175,389):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'8_3':0.0},(175,388):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(175,387):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(175,386):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(175,385):{'3_1':0.09,'4_1':0.03},(175,384):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(175,383):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(175,382):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(175,381):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0},(175,380):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(175,379):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(175,378):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(175,377):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0},(175,376):{'4_1':0.09,'3_1':0.06,'8_20|3_1#3_1':0.0},(175,375):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_3':0.0},(175,374):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(175,373):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(175,372):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(175,371):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(175,370):{'3_1':0.03,'4_1':0.0},(175,369):{'3_1':0.06},(175,368):{'3_1':0.06,'4_1':0.0},(175,367):{'3_1':0.06},(175,366):{'3_1':0.12,'4_1':0.0},(175,365):{'3_1':0.03},(175,364):{'3_1':0.09,'4_1':0.0},(175,363):{'3_1':0.09,'4_1':0.0},(175,362):{'3_1':0.06},(175,361):{'3_1':0.03},(175,360):{'5_2':0.0},(175,359):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(175,358):{'3_1':0.03,'4_1':0.0},(175,357):{'3_1':0.03,'4_1':0.0},(175,356):{'3_1':0.03},(175,355):{'3_1':0.06,'5_2':0.0},(175,354):{'3_1':0.03},(175,353):{'3_1':0.06,'4_1':0.0},(175,352):{'3_1':0.06},(175,351):{'3_1':0.06,'5_2':0.0},(175,350):{'3_1':0.0},(175,349):{'3_1':0.09,'8_20|3_1#3_1':0.0},(175,348):{'3_1':0.03,'4_1':0.0},(175,347):{'3_1':0.06},(175,346):{'3_1':0.06},(175,345):{'3_1':0.0,'8_20|3_1#3_1':0.0},(175,344):{'3_1':0.06},(175,343):{'3_1':0.06},(175,342):{'3_1':0.06},(175,341):{'3_1':0.03},(175,340):{'3_1':0.03},(175,339):{'3_1':0.06,'4_1':0.0},(175,338):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(175,337):{'3_1':0.06},(175,336):{'3_1':0.06,'5_2':0.0},(175,335):{'3_1':0.06},(175,334):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(175,333):{'3_1':0.09,'4_1':0.0},(175,332):{'3_1':0.03,'6_2':0.0},(175,331):{'3_1':0.03},(175,330):{'3_1':0.06,'5_2':0.0},(175,329):{'3_1':0.09},(175,328):{'3_1':0.03},(175,327):{'3_1':0.03,'4_1':0.0},(175,326):{'3_1':0.0},(175,325):{'3_1':0.0,'4_1':0.0},(175,324):{'3_1':0.0},(175,322):{'3_1':0.0},(175,321):{'3_1':0.03,'4_1':0.0},(175,320):{'3_1':0.03},(175,319):{'3_1':0.03,'5_2':0.0},(175,318):{'3_1':0.03},(175,317):{'3_1':0.0},(175,316):{'3_1':0.03},(175,315):{'3_1':0.0},(175,314):{'3_1':0.06,'5_2':0.0},(175,313):{'3_1':0.03},(175,312):{'3_1':0.0},(175,311):{'3_1':0.0},(175,310):{'3_1':0.03},(175,309):{'3_1':0.0},(175,308):{'3_1':0.0},(175,307):{'3_1':0.03},(175,306):{'3_1':0.0},(175,305):{'3_1':0.0},(175,304):{'3_1':0.0},(175,303):{'3_1':0.0,'5_1':0.0},(175,302):{'3_1':0.03,'4_1':0.0},(175,301):{'3_1':0.0,'5_2':0.0},(175,300):{'3_1':0.0,'5_1':0.0},(175,299):{'3_1':0.0},(175,298):{'3_1':0.0},(175,297):{'3_1':0.03,'8_20|3_1#3_1':0.0},(175,296):{'3_1':0.06},(175,295):{'3_1':0.0},(175,294):{'3_1':0.0},(175,293):{'3_1':0.03,'5_2':0.0},(175,292):{'3_1':0.06},(175,291):{'3_1':0.0},(175,290):{'3_1':0.03},(175,289):{'3_1':0.03},(175,288):{'3_1':0.0},(175,287):{'3_1':0.0},(175,286):{'3_1':0.0},(175,285):{'3_1':0.0},(175,284):{'3_1':0.0},(175,283):{'3_1':0.0},(175,282):{'3_1':0.03},(175,281):{'3_1':0.0},(175,280):{'3_1':0.0},(175,279):{'3_1':0.0},(175,278):{'3_1':0.0},(175,277):{'4_1':0.0},(175,276):{'3_1':0.03},(175,275):{'3_1':0.03,'4_1':0.0},(175,274):{'3_1':0.03,'4_1':0.0},(175,272):{'3_1':0.0},(175,271):{'4_1':0.0,'5_2':0.0},(175,270):{'3_1':0.0,'4_1':0.0},(175,269):{'3_1':0.0},(175,268):{'3_1':0.03},(175,267):{'3_1':0.0},(175,266):{'3_1':0.0},(175,265):{'3_1':0.0,'4_1':0.0},(175,264):{'3_1':0.03},(175,263):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(175,262):{'3_1':0.03,'4_1':0.0},(175,261):{'3_1':0.03,'4_1':0.0},(175,260):{'3_1':0.0},(175,259):{'3_1':0.0},(175,258):{'3_1':0.0},(175,257):{'3_1':0.0},(175,255):{'3_1':0.0},(175,253):{'3_1':0.0},(175,252):{'3_1':0.0},(175,250):{'3_1':0.0},(175,249):{'3_1':0.0},(175,248):{'3_1':0.0},(175,246):{'3_1':0.0},(175,244):{'3_1':0.0},(175,243):{'3_1':0.0},(175,239):{'3_1':0.0},(175,233):{'3_1':0.0,'4_1':0.0},(175,232):{'3_1':0.0},(175,228):{'3_1':0.0},(175,225):{'3_1':0.0},(175,223):{'3_1':0.0},(175,212):{'3_1':0.0},(175,210):{'3_1':0.0},(176,459):{'3_1':0.0},(176,458):{'3_1':0.03,'5_2':0.0},(176,457):{'3_1':0.0},(176,456):{'3_1':0.0},(176,455):{'3_1':0.03},(176,454):{'3_1':0.0},(176,453):{'3_1':0.06},(176,452):{'3_1':0.03},(176,451):{'3_1':0.0,'5_2':0.0},(176,450):{'3_1':0.0,'5_2':0.0},(176,449):{'3_1':0.03,'5_2':0.0},(176,448):{'3_1':0.06},(176,447):{'3_1':0.0,'5_2':0.0},(176,446):{'3_1':0.0,'4_1':0.0},(176,445):{'3_1':0.03},(176,444):{'3_1':0.03,'5_2':0.0},(176,443):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(176,442):{'3_1':0.06,'4_1':0.0},(176,441):{'3_1':0.06,'5_2':0.0},(176,440):{'3_1':0.03,'4_1':0.0},(176,439):{'3_1':0.03},(176,438):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(176,437):{'3_1':0.06,'4_1':0.0},(176,436):{'3_1':0.09,'6_2':0.0},(176,435):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'8_11':0.0},(176,434):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(176,433):{'3_1':0.06,'4_1':0.0},(176,432):{'3_1':0.03,'4_1':0.0},(176,431):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(176,430):{'3_1':0.03,'4_1':0.0},(176,429):{'3_1':0.03,'5_1':0.0},(176,428):{'3_1':0.06,'4_1':0.0},(176,427):{'3_1':0.09},(176,426):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_6':0.0},(176,425):{'3_1':0.03,'4_1':0.03},(176,424):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(176,423):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0},(176,422):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0},(176,421):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0},(176,420):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(176,419):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(176,418):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(176,417):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(176,416):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(176,415):{'3_1':0.09,'4_1':0.0},(176,414):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(176,413):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(176,412):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(176,411):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_11':0.0},(176,410):{'3_1':0.09,'4_1':0.03,'8_21|3_1#4_1':0.0},(176,409):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(176,408):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(176,407):{'3_1':0.06,'4_1':0.0},(176,406):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(176,405):{'3_1':0.03,'4_1':0.0},(176,404):{'3_1':0.06,'8_20|3_1#3_1':0.0},(176,403):{'3_1':0.03,'4_1':0.0},(176,402):{'3_1':0.03,'8_20|3_1#3_1':0.0},(176,401):{'3_1':0.09,'4_1':0.0},(176,400):{'3_1':0.09,'5_2':0.0},(176,399):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(176,398):{'3_1':0.03},(176,397):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(176,396):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(176,395):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0},(176,394):{'3_1':0.09,'4_1':0.0},(176,393):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(176,392):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(176,391):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(176,390):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(176,389):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_10':0.0},(176,388):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(176,387):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_11':0.0},(176,386):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(176,385):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(176,384):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(176,383):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(176,382):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(176,381):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(176,380):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(176,379):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(176,378):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(176,377):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_2':0.0,'7_6':0.0},(176,376):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(176,375):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(176,374):{'3_1':0.03,'5_2':0.0},(176,373):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(176,372):{'3_1':0.12,'5_2':0.0},(176,371):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(176,370):{'3_1':0.09},(176,369):{'3_1':0.12},(176,368):{'3_1':0.09,'5_1':0.0},(176,367):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(176,366):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(176,365):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(176,364):{'3_1':0.06,'5_1':0.0},(176,363):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(176,362):{'3_1':0.06,'5_2':0.0},(176,361):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(176,360):{'3_1':0.09,'4_1':0.0},(176,359):{'3_1':0.03,'6_3':0.0},(176,358):{'3_1':0.09,'4_1':0.0},(176,357):{'3_1':0.06,'4_1':0.0},(176,356):{'3_1':0.06,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(176,355):{'3_1':0.09,'5_2':0.0},(176,354):{'3_1':0.03},(176,353):{'3_1':0.09,'4_1':0.0},(176,352):{'3_1':0.09,'4_1':0.0},(176,351):{'3_1':0.03},(176,350):{'3_1':0.03,'4_1':0.0},(176,349):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(176,348):{'3_1':0.0,'4_1':0.0},(176,347):{'3_1':0.06,'4_1':0.0},(176,346):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(176,345):{'3_1':0.0},(176,344):{'3_1':0.0,'6_2':0.0},(176,343):{'3_1':0.03,'4_1':0.0},(176,342):{'3_1':0.06},(176,341):{'3_1':0.06,'4_1':0.0},(176,340):{'3_1':0.06,'6_2':0.0},(176,339):{'3_1':0.03,'4_1':0.0},(176,338):{'3_1':0.03,'4_1':0.0},(176,337):{'3_1':0.03,'4_1':0.0},(176,336):{'3_1':0.06,'4_1':0.0},(176,335):{'3_1':0.03,'4_1':0.0},(176,334):{'3_1':0.03},(176,333):{'3_1':0.03,'4_1':0.0},(176,332):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(176,331):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(176,330):{'3_1':0.03},(176,329):{'3_1':0.0},(176,328):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(176,327):{'3_1':0.03,'4_1':0.0},(176,326):{'3_1':0.06},(176,325):{'3_1':0.03},(176,324):{'3_1':0.0,'4_1':0.0},(176,323):{'3_1':0.03,'4_1':0.0},(176,322):{'3_1':0.06,'4_1':0.0},(176,321):{'3_1':0.03,'4_1':0.0},(176,320):{'3_1':0.0},(176,319):{'3_1':0.0},(176,318):{'3_1':0.03},(176,317):{'3_1':0.03,'6_3':0.0},(176,316):{'3_1':0.03},(176,315):{'3_1':0.0,'4_1':0.0},(176,314):{'3_1':0.03,'5_1':0.0},(176,313):{'3_1':0.03},(176,312):{'3_1':0.03},(176,311):{'3_1':0.0,'8_20|3_1#3_1':0.0},(176,310):{'3_1':0.03,'4_1':0.0},(176,309):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(176,308):{'3_1':0.0,'4_1':0.0},(176,307):{'3_1':0.0,'8_21|3_1#4_1':0.0},(176,306):{'3_1':0.0},(176,305):{'3_1':0.0},(176,304):{'3_1':0.0},(176,303):{'3_1':0.0},(176,302):{'3_1':0.0,'4_1':0.0},(176,301):{'3_1':0.0},(176,300):{'3_1':0.03,'5_1':0.0},(176,299):{'3_1':0.0},(176,298):{'3_1':0.0},(176,297):{'3_1':0.0},(176,296):{'3_1':0.03},(176,295):{'3_1':0.06},(176,294):{'3_1':0.06,'5_2':0.0},(176,293):{'3_1':0.0},(176,292):{'3_1':0.0},(176,291):{'3_1':0.03,'4_1':0.0},(176,289):{'3_1':0.03,'8_20|3_1#3_1':0.0},(176,288):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(176,287):{'3_1':0.03},(176,286):{'3_1':0.03,'5_2':0.0},(176,285):{'3_1':0.0},(176,284):{'5_1':0.0},(176,283):{'3_1':0.0},(176,282):{'3_1':0.0},(176,280):{'3_1':0.03},(176,279):{'3_1':0.0},(176,278):{'3_1':0.03},(176,277):{'3_1':0.0},(176,276):{'3_1':0.0},(176,275):{'3_1':0.0},(176,274):{'3_1':0.0},(176,273):{'3_1':0.03,'4_1':0.0},(176,272):{'3_1':0.0},(176,271):{'4_1':0.0,'3_1':0.0},(176,270):{'3_1':0.0},(176,269):{'3_1':0.0},(176,268):{'3_1':0.0},(176,267):{'3_1':0.0,'5_2':0.0},(176,266):{'3_1':0.0},(176,265):{'3_1':0.0,'4_1':0.0},(176,264):{'3_1':0.0,'4_1':0.0},(176,263):{'3_1':0.03},(176,262):{'3_1':0.03,'4_1':0.0},(176,261):{'3_1':0.0},(176,260):{'3_1':0.0},(176,259):{'3_1':0.0},(176,258):{'3_1':0.0},(176,257):{'3_1':0.0,'4_1':0.0},(176,256):{'3_1':0.03},(176,255):{'3_1':0.0,'4_1':0.0},(176,253):{'3_1':0.0,'4_1':0.0},(176,251):{'3_1':0.0},(176,250):{'3_1':0.0},(176,249):{'3_1':0.0},(176,248):{'3_1':0.0,'4_1':0.0},(176,246):{'3_1':0.0},(176,239):{'3_1':0.0},(176,238):{'3_1':0.0},(176,237):{'3_1':0.0},(176,236):{'3_1':0.0},(176,234):{'3_1':0.0},(176,233):{'3_1':0.0},(176,229):{'3_1':0.0},(176,227):{'3_1':0.0},(176,226):{'3_1':0.0},(176,224):{'3_1':0.0},(176,223):{'3_1':0.0,'4_1':0.0},(176,221):{'3_1':0.0},(176,220):{'3_1':0.0},(177,459):{'3_1':0.0},(177,458):{'3_1':0.06},(177,457):{'3_1':0.06},(177,456):{'3_1':0.03},(177,455):{'3_1':0.03},(177,454):{'3_1':0.06,'5_1':0.0},(177,453):{'3_1':0.06},(177,452):{'3_1':0.03},(177,451):{'3_1':0.06},(177,450):{'3_1':0.06},(177,449):{'3_1':0.03},(177,448):{'3_1':0.06},(177,447):{'3_1':0.03,'5_2':0.0},(177,446):{'3_1':0.03},(177,445):{'3_1':0.03,'4_1':0.0},(177,444):{'3_1':0.06,'4_1':0.0},(177,443):{'3_1':0.03},(177,442):{'3_1':0.06,'4_1':0.0},(177,441):{'3_1':0.06},(177,440):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(177,439):{'3_1':0.03},(177,438):{'3_1':0.06,'6_1':0.0},(177,437):{'3_1':0.06},(177,436):{'3_1':0.06,'-3':0.0},(177,435):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0},(177,434):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(177,433):{'3_1':0.06,'4_1':0.0},(177,432):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(177,431):{'3_1':0.06,'4_1':0.0},(177,430):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'6_1':0.0},(177,429):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(177,428):{'3_1':0.06,'4_1':0.0},(177,427):{'3_1':0.09,'8_20|3_1#3_1':0.0},(177,426):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(177,425):{'3_1':0.15,'6_2':0.0,'6_3':0.0},(177,424):{'3_1':0.06,'6_1':0.0},(177,423):{'3_1':0.12,'4_1':0.0},(177,422):{'3_1':0.09,'4_1':0.0},(177,421):{'3_1':0.09,'4_1':0.0},(177,420):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(177,419):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'6_3':0.0},(177,418):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_2':0.0},(177,417):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(177,416):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'-3':0.0},(177,415):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(177,414):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0},(177,413):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(177,412):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(177,411):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(177,410):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0},(177,409):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_3':0.0},(177,408):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(177,407):{'3_1':0.09,'6_1':0.0},(177,406):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(177,405):{'3_1':0.12},(177,404):{'3_1':0.09},(177,403):{'3_1':0.09},(177,402):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(177,401):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(177,400):{'3_1':0.06,'4_1':0.0},(177,399):{'3_1':0.06,'4_1':0.0},(177,398):{'3_1':0.03,'4_1':0.0},(177,397):{'3_1':0.09,'4_1':0.0},(177,396):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(177,395):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(177,394):{'3_1':0.12,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(177,393):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(177,392):{'3_1':0.21,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(177,391):{'3_1':0.18,'5_1':0.0,'8_20|3_1#3_1':0.0},(177,390):{'3_1':0.18,'5_1':0.0,'6_3':0.0,'4_1':0.0},(177,389):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(177,388):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(177,387):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(177,386):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(177,385):{'3_1':0.15},(177,384):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(177,383):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(177,382):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(177,381):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(177,380):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(177,379):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'5_2':0.0,'6_1':0.0},(177,378):{'3_1':0.09,'5_2':0.0},(177,377):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0},(177,376):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'6_3':0.0},(177,375):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(177,374):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(177,373):{'3_1':0.12,'4_1':0.0},(177,372):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(177,371):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(177,370):{'3_1':0.12,'4_1':0.0},(177,369):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(177,368):{'3_1':0.15,'4_1':0.0},(177,367):{'3_1':0.12,'4_1':0.0},(177,366):{'3_1':0.09,'5_2':0.0,'8_5':0.0},(177,365):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(177,364):{'3_1':0.18},(177,363):{'3_1':0.12,'4_1':0.0},(177,362):{'3_1':0.09},(177,361):{'3_1':0.12},(177,360):{'3_1':0.09,'4_1':0.03},(177,359):{'3_1':0.12,'4_1':0.0},(177,358):{'3_1':0.15,'5_1':0.0,'6_3':0.0},(177,357):{'3_1':0.09,'4_1':0.0},(177,356):{'3_1':0.09,'6_3':0.0},(177,355):{'3_1':0.03},(177,354):{'3_1':0.12,'4_1':0.0},(177,353):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(177,352):{'3_1':0.09},(177,351):{'3_1':0.09},(177,350):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(177,349):{'3_1':0.03,'4_1':0.0},(177,348):{'3_1':0.06,'4_1':0.0},(177,347):{'3_1':0.0,'4_1':0.0},(177,346):{'3_1':0.0},(177,345):{'3_1':0.03,'4_1':0.0},(177,344):{'3_1':0.0},(177,343):{'3_1':0.03,'4_1':0.0},(177,342):{'3_1':0.06,'4_1':0.0},(177,341):{'3_1':0.06,'4_1':0.0},(177,340):{'3_1':0.06,'4_1':0.0},(177,339):{'3_1':0.03,'5_2':0.0},(177,338):{'3_1':0.03,'4_1':0.0},(177,337):{'3_1':0.03,'4_1':0.0},(177,336):{'3_1':0.03,'4_1':0.0},(177,335):{'3_1':0.03},(177,334):{'3_1':0.0},(177,333):{'3_1':0.06,'6_2':0.0},(177,332):{'3_1':0.06,'4_1':0.03},(177,331):{'3_1':0.06,'4_1':0.0},(177,330):{'3_1':0.03,'4_1':0.0},(177,329):{'3_1':0.06},(177,328):{'3_1':0.03,'4_1':0.0},(177,327):{'3_1':0.03,'4_1':0.0},(177,326):{'3_1':0.0,'5_2':0.0},(177,325):{'3_1':0.0},(177,324):{'3_1':0.0},(177,323):{'3_1':0.0},(177,322):{'3_1':0.0},(177,321):{'3_1':0.03},(177,320):{'3_1':0.06},(177,319):{'3_1':0.0},(177,318):{'3_1':0.0},(177,317):{'3_1':0.03},(177,316):{'3_1':0.03},(177,315):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(177,314):{'3_1':0.06},(177,313):{'3_1':0.03},(177,312):{'3_1':0.03,'5_1':0.0},(177,311):{'3_1':0.09},(177,310):{'3_1':0.09},(177,309):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(177,308):{'3_1':0.06},(177,307):{'3_1':0.0},(177,306):{'3_1':0.0,'4_1':0.0},(177,305):{'4_1':0.0,'3_1':0.0},(177,304):{'3_1':0.03},(177,303):{'3_1':0.0,'4_1':0.0},(177,302):{'3_1':0.03},(177,301):{'3_1':0.06},(177,300):{'3_1':0.0},(177,299):{'3_1':0.03},(177,298):{'3_1':0.0},(177,297):{'3_1':0.03},(177,296):{'3_1':0.03},(177,295):{'3_1':0.03,'5_1':0.0},(177,294):{'3_1':0.03},(177,293):{'3_1':0.0,'5_2':0.0},(177,292):{'3_1':0.03},(177,291):{'3_1':0.0},(177,290):{'3_1':0.03},(177,289):{'3_1':0.06},(177,288):{'3_1':0.06},(177,287):{'3_1':0.03},(177,286):{'3_1':0.03},(177,285):{'3_1':0.03},(177,284):{'3_1':0.0},(177,283):{'3_1':0.0},(177,282):{'3_1':0.0,'4_1':0.0},(177,281):{'3_1':0.0},(177,280):{'3_1':0.0},(177,279):{'3_1':0.0},(177,278):{'3_1':0.0},(177,277):{'3_1':0.0},(177,276):{'3_1':0.03},(177,275):{'3_1':0.0},(177,274):{'3_1':0.0},(177,271):{'3_1':0.03},(177,270):{'3_1':0.03},(177,269):{'4_1':0.0},(177,268):{'3_1':0.0},(177,267):{'3_1':0.0},(177,266):{'3_1':0.0},(177,265):{'3_1':0.0},(177,264):{'3_1':0.0},(177,263):{'3_1':0.0},(177,262):{'3_1':0.0},(177,261):{'3_1':0.0},(177,260):{'3_1':0.0,'4_1':0.0},(177,259):{'3_1':0.0},(177,258):{'3_1':0.0},(177,256):{'3_1':0.0},(177,255):{'3_1':0.0},(177,254):{'3_1':0.0},(177,253):{'3_1':0.0},(177,250):{'3_1':0.0},(177,249):{'3_1':0.0},(177,246):{'3_1':0.0},(177,245):{'3_1':0.0},(177,240):{'3_1':0.0},(177,236):{'3_1':0.0},(177,231):{'3_1':0.0},(177,230):{'3_1':0.0},(177,229):{'3_1':0.0},(177,228):{'3_1':0.0},(177,226):{'3_1':0.0},(177,224):{'3_1':0.0},(177,221):{'3_1':0.0},(177,219):{'3_1':0.0},(177,218):{'3_1':0.0},(177,217):{'3_1':0.0},(177,216):{'3_1':0.0},(177,215):{'3_1':0.0},(177,213):{'3_1':0.0},(177,212):{'3_1':0.0},(177,211):{'3_1':0.0},(177,207):{'3_1':0.0},(177,206):{'3_1':0.0},(178,459):{'3_1':0.03},(178,458):{'3_1':0.03},(178,457):{'3_1':0.03,'4_1':0.0},(178,456):{'3_1':0.0},(178,455):{'3_1':0.06},(178,454):{'3_1':0.09},(178,453):{'3_1':0.06},(178,452):{'3_1':0.03},(178,451):{'3_1':0.03},(178,450):{'3_1':0.09},(178,449):{'3_1':0.0},(178,448):{'3_1':0.06,'4_1':0.0},(178,447):{'3_1':0.09,'5_1':0.0},(178,446):{'3_1':0.06},(178,445):{'3_1':0.06,'5_1':0.0},(178,444):{'3_1':0.06},(178,443):{'3_1':0.06},(178,442):{'3_1':0.06,'4_1':0.0},(178,441):{'3_1':0.03,'5_2':0.0},(178,440):{'3_1':0.09},(178,439):{'3_1':0.12,'4_1':0.0},(178,438):{'3_1':0.09},(178,437):{'3_1':0.06},(178,436):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(178,435):{'3_1':0.0,'4_1':0.0},(178,434):{'3_1':0.12},(178,433):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(178,432):{'3_1':0.09,'5_2':0.0},(178,431):{'3_1':0.06,'5_2':0.0},(178,430):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(178,429):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(178,428):{'3_1':0.09,'4_1':0.0},(178,427):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(178,426):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_11':0.0},(178,425):{'3_1':0.09,'5_2':0.0},(178,424):{'3_1':0.09,'4_1':0.0},(178,423):{'3_1':0.12,'4_1':0.0},(178,422):{'3_1':0.12},(178,421):{'3_1':0.06,'4_1':0.0},(178,420):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(178,419):{'3_1':0.06,'4_1':0.0},(178,418):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(178,417):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(178,416):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0},(178,415):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(178,414):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(178,413):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(178,412):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(178,411):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(178,410):{'3_1':0.09,'4_1':0.03},(178,409):{'3_1':0.03,'4_1':0.0},(178,408):{'3_1':0.06,'4_1':0.03},(178,407):{'3_1':0.12,'4_1':0.0},(178,406):{'3_1':0.12,'4_1':0.0},(178,405):{'3_1':0.09,'5_2':0.0},(178,404):{'3_1':0.09},(178,403):{'3_1':0.06,'4_1':0.0},(178,402):{'3_1':0.09,'5_1':0.0},(178,401):{'3_1':0.06,'6_3':0.0},(178,400):{'3_1':0.06},(178,399):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(178,398):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(178,397):{'3_1':0.15,'4_1':0.0},(178,396):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(178,395):{'3_1':0.15,'5_2':0.0},(178,394):{'3_1':0.15,'5_2':0.0},(178,393):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(178,392):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(178,391):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_3':0.0},(178,390):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(178,389):{'3_1':0.09,'6_1':0.0},(178,388):{'3_1':0.15,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(178,387):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(178,386):{'3_1':0.15,'4_1':0.03},(178,385):{'3_1':0.15,'4_1':0.0},(178,384):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_12':0.0},(178,383):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(178,382):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(178,381):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(178,380):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(178,379):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'5_1':0.0},(178,378):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(178,377):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(178,376):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(178,375):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(178,374):{'3_1':0.18},(178,373):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(178,372):{'3_1':0.09,'5_1':0.0},(178,371):{'3_1':0.09,'4_1':0.0},(178,370):{'3_1':0.03},(178,369):{'3_1':0.18},(178,368):{'3_1':0.09,'8_21|3_1#4_1':0.0},(178,367):{'3_1':0.09},(178,366):{'3_1':0.09,'5_2':0.0},(178,365):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(178,364):{'3_1':0.03,'4_1':0.0},(178,363):{'3_1':0.12,'4_1':0.0},(178,362):{'3_1':0.12},(178,361):{'3_1':0.06,'5_2':0.0},(178,360):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(178,359):{'3_1':0.09,'4_1':0.0},(178,358):{'3_1':0.12},(178,357):{'3_1':0.06},(178,356):{'3_1':0.09,'6_1':0.0},(178,355):{'3_1':0.09,'4_1':0.0},(178,354):{'3_1':0.12},(178,353):{'3_1':0.06,'4_1':0.0},(178,352):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(178,351):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(178,350):{'3_1':0.06},(178,349):{'3_1':0.09},(178,348):{'3_1':0.0,'4_1':0.0},(178,347):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(178,346):{'3_1':0.09,'4_1':0.0},(178,345):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(178,344):{'3_1':0.03,'4_1':0.0},(178,343):{'3_1':0.06},(178,342):{'3_1':0.0,'4_1':0.0},(178,341):{'3_1':0.09,'4_1':0.0},(178,340):{'3_1':0.0,'4_1':0.0},(178,339):{'3_1':0.09,'4_1':0.0},(178,338):{'3_1':0.06,'4_1':0.0},(178,337):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(178,336):{'3_1':0.03,'5_2':0.0},(178,335):{'3_1':0.06},(178,334):{'3_1':0.12,'4_1':0.0},(178,333):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(178,332):{'3_1':0.06,'4_1':0.0},(178,331):{'3_1':0.06,'4_1':0.0},(178,330):{'3_1':0.03,'4_1':0.0},(178,329):{'3_1':0.06,'4_1':0.0},(178,328):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(178,327):{'3_1':0.03,'4_1':0.0},(178,326):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(178,325):{'3_1':0.0,'4_1':0.0},(178,324):{'3_1':0.03},(178,323):{'3_1':0.03,'4_1':0.0},(178,322):{'3_1':0.0},(178,321):{'3_1':0.03,'6_1':0.0},(178,320):{'3_1':0.03},(178,319):{'3_1':0.03,'5_1':0.0},(178,318):{'3_1':0.03,'4_1':0.0},(178,317):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(178,316):{'3_1':0.06,'5_1':0.0},(178,315):{'3_1':0.06,'5_2':0.0},(178,314):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(178,313):{'3_1':0.03},(178,312):{'3_1':0.06},(178,311):{'3_1':0.03},(178,310):{'3_1':0.03},(178,309):{'3_1':0.03,'4_1':0.0},(178,308):{'3_1':0.03,'5_1':0.0},(178,307):{'3_1':0.03,'5_1':0.0},(178,306):{'3_1':0.03},(178,305):{'3_1':0.0},(178,304):{'3_1':0.0},(178,303):{'3_1':0.0},(178,302):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(178,301):{'3_1':0.0,'4_1':0.0},(178,300):{'3_1':0.0,'5_1':0.0},(178,299):{'3_1':0.03},(178,298):{'3_1':0.03,'5_1':0.0},(178,297):{'3_1':0.06,'4_1':0.0},(178,296):{'3_1':0.06},(178,295):{'3_1':0.03},(178,294):{'3_1':0.0,'5_1':0.0},(178,293):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(178,292):{'3_1':0.06},(178,291):{'3_1':0.0},(178,290):{'3_1':0.03},(178,289):{'3_1':0.03},(178,288):{'3_1':0.03},(178,287):{'3_1':0.0},(178,286):{'3_1':0.0},(178,284):{'3_1':0.03},(178,283):{'3_1':0.03},(178,282):{'3_1':0.03,'5_1':0.0},(178,280):{'3_1':0.03},(178,279):{'3_1':0.03,'5_1':0.0},(178,278):{'3_1':0.03},(178,276):{'4_1':0.0},(178,275):{'3_1':0.0},(178,274):{'3_1':0.03},(178,273):{'3_1':0.0,'5_2':0.0},(178,272):{'3_1':0.0},(178,271):{'3_1':0.0,'4_1':0.0},(178,270):{'3_1':0.0},(178,269):{'3_1':0.0},(178,267):{'3_1':0.0},(178,266):{'3_1':0.03,'4_1':0.0},(178,265):{'4_1':0.0},(178,264):{'3_1':0.0},(178,263):{'3_1':0.03},(178,262):{'3_1':0.0,'4_1':0.0},(178,261):{'3_1':0.0,'4_1':0.0},(178,260):{'3_1':0.0,'4_1':0.0},(178,259):{'3_1':0.0},(178,258):{'3_1':0.0},(178,257):{'4_1':0.0},(178,256):{'3_1':0.0},(178,255):{'3_1':0.0},(178,254):{'3_1':0.03},(178,253):{'3_1':0.0},(178,252):{'3_1':0.03},(178,251):{'3_1':0.0},(178,249):{'3_1':0.0},(178,248):{'3_1':0.0},(178,247):{'3_1':0.0},(178,246):{'3_1':0.0},(178,245):{'3_1':0.0},(178,243):{'3_1':0.0},(178,241):{'3_1':0.0},(178,231):{'3_1':0.0},(178,227):{'4_1':0.0},(178,225):{'3_1':0.0,'4_1':0.0},(178,221):{'3_1':0.0},(178,219):{'3_1':0.0},(178,218):{'3_1':0.0},(178,216):{'3_1':0.03},(178,214):{'3_1':0.0},(178,213):{'3_1':0.0},(178,208):{'3_1':0.0},(179,459):{'3_1':0.06},(179,458):{'3_1':0.06},(179,457):{'3_1':0.0},(179,456):{'3_1':0.06,'4_1':0.0},(179,455):{'3_1':0.06},(179,454):{'3_1':0.06},(179,453):{'3_1':0.06},(179,452):{'3_1':0.0},(179,451):{'3_1':0.09},(179,450):{'3_1':0.03},(179,449):{'3_1':0.06},(179,448):{'3_1':0.03,'4_1':0.0},(179,447):{'3_1':0.09},(179,446):{'3_1':0.06},(179,445):{'3_1':0.06,'5_2':0.0},(179,444):{'3_1':0.06},(179,443):{'3_1':0.09,'5_1':0.0},(179,442):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_2':0.0},(179,441):{'3_1':0.09,'4_1':0.0},(179,440):{'3_1':0.06,'4_1':0.0},(179,439):{'3_1':0.0,'4_1':0.0},(179,438):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(179,437):{'3_1':0.06,'4_1':0.0},(179,436):{'3_1':0.09,'7_6':0.0},(179,435):{'3_1':0.03,'4_1':0.0},(179,434):{'3_1':0.06,'4_1':0.0},(179,433):{'3_1':0.06},(179,432):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(179,431):{'3_1':0.09,'4_1':0.0},(179,430):{'3_1':0.03,'4_1':0.0},(179,429):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(179,428):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_1':0.0},(179,427):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(179,426):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(179,425):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(179,424):{'3_1':0.09,'6_1':0.0},(179,423):{'3_1':0.06,'6_1':0.0},(179,422):{'3_1':0.12,'4_1':0.0},(179,421):{'3_1':0.06,'4_1':0.0},(179,420):{'3_1':0.0,'4_1':0.0},(179,419):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(179,418):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(179,417):{'3_1':0.12,'4_1':0.03},(179,416):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'5_1':0.0},(179,415):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(179,414):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(179,413):{'3_1':0.15,'4_1':0.0},(179,412):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(179,411):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(179,410):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(179,409):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(179,408):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(179,407):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(179,406):{'3_1':0.06,'8_21|3_1#4_1':0.0},(179,405):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(179,404):{'3_1':0.12,'4_1':0.0},(179,403):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(179,402):{'3_1':0.09,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(179,401):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(179,400):{'3_1':0.09,'4_1':0.0},(179,399):{'3_1':0.15,'5_2':0.0},(179,398):{'3_1':0.09,'6_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(179,397):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(179,396):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(179,395):{'3_1':0.15,'4_1':0.0},(179,394):{'3_1':0.18,'6_3':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(179,393):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0,'5_1':0.0},(179,392):{'3_1':0.15,'5_2':0.0},(179,391):{'3_1':0.21,'5_1':0.0,'6_3':0.0},(179,390):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(179,389):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(179,388):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(179,387):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(179,386):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_19':0.0},(179,385):{'3_1':0.06,'4_1':0.0},(179,384):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(179,383):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(179,382):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(179,381):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'6_3':0.0},(179,380):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'-3':0.0},(179,379):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(179,378):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(179,377):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0},(179,376):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_7':0.0},(179,375):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'8_5':0.0},(179,374):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(179,373):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(179,372):{'3_1':0.12,'4_1':0.0},(179,371):{'3_1':0.06},(179,370):{'3_1':0.12},(179,369):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(179,368):{'3_1':0.12},(179,367):{'3_1':0.12,'5_2':0.0},(179,366):{'3_1':0.09,'4_1':0.0},(179,365):{'3_1':0.12,'5_2':0.0},(179,364):{'3_1':0.15,'8_20|3_1#3_1':0.0},(179,363):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(179,362):{'3_1':0.12},(179,361):{'3_1':0.06,'6_3':0.0},(179,360):{'3_1':0.09},(179,359):{'3_1':0.06,'5_1':0.0},(179,358):{'3_1':0.15},(179,357):{'3_1':0.12},(179,356):{'3_1':0.09},(179,355):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(179,354):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(179,353):{'3_1':0.09,'8_20|3_1#3_1':0.0},(179,352):{'3_1':0.06,'5_2':0.0},(179,351):{'3_1':0.09,'5_2':0.0},(179,350):{'3_1':0.06,'4_1':0.0},(179,349):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(179,348):{'3_1':0.0,'4_1':0.0},(179,347):{'3_1':0.06},(179,346):{'4_1':0.03,'3_1':0.0},(179,345):{'3_1':0.09,'4_1':0.0},(179,344):{'3_1':0.09,'4_1':0.0},(179,343):{'3_1':0.03,'4_1':0.0},(179,342):{'3_1':0.12,'4_1':0.0},(179,341):{'3_1':0.06},(179,340):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(179,339):{'3_1':0.03,'4_1':0.0},(179,338):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0},(179,337):{'3_1':0.09,'4_1':0.0},(179,336):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(179,335):{'3_1':0.06,'4_1':0.0},(179,334):{'3_1':0.06},(179,333):{'3_1':0.09,'4_1':0.0},(179,332):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(179,331):{'3_1':0.06,'6_2':0.0},(179,330):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(179,329):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(179,328):{'3_1':0.06,'4_1':0.0},(179,327):{'3_1':0.0,'5_1':0.0},(179,326):{'3_1':0.06,'4_1':0.0},(179,325):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(179,324):{'3_1':0.03},(179,323):{'3_1':0.03},(179,322):{'3_1':0.0},(179,321):{'3_1':0.03},(179,320):{'3_1':0.03,'5_1':0.0},(179,319):{'3_1':0.03},(179,318):{'3_1':0.03},(179,317):{'3_1':0.06,'4_1':0.0},(179,316):{'3_1':0.06,'5_1':0.0},(179,315):{'3_1':0.06},(179,314):{'3_1':0.03,'5_1':0.0},(179,313):{'3_1':0.03,'5_1':0.0},(179,312):{'3_1':0.03,'4_1':0.0},(179,311):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(179,310):{'3_1':0.06},(179,309):{'3_1':0.03,'5_2':0.0},(179,308):{'3_1':0.03},(179,307):{'3_1':0.06},(179,306):{'3_1':0.0},(179,305):{'3_1':0.0},(179,304):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(179,303):{'3_1':0.03},(179,302):{'3_1':0.03},(179,301):{'3_1':0.06},(179,300):{'3_1':0.03,'5_1':0.0},(179,299):{'3_1':0.0},(179,298):{'3_1':0.06,'5_1':0.0},(179,297):{'3_1':0.06,'5_2':0.0},(179,296):{'3_1':0.0,'4_1':0.0},(179,295):{'3_1':0.03,'5_1':0.0},(179,294):{'3_1':0.03,'5_2':0.0},(179,293):{'3_1':0.0},(179,292):{'3_1':0.03},(179,291):{'3_1':0.06},(179,290):{'3_1':0.06,'5_1':0.0},(179,289):{'3_1':0.06,'5_1':0.0},(179,288):{'3_1':0.03},(179,287):{'3_1':0.0},(179,286):{'3_1':0.0,'4_1':0.0},(179,285):{'3_1':0.06,'5_1':0.0},(179,284):{'3_1':0.03},(179,283):{'3_1':0.0},(179,282):{'3_1':0.0},(179,281):{'3_1':0.0},(179,280):{'3_1':0.0,'4_1':0.0},(179,278):{'3_1':0.0},(179,276):{'3_1':0.03},(179,275):{'3_1':0.0},(179,274):{'3_1':0.0},(179,273):{'3_1':0.0},(179,272):{'3_1':0.0},(179,271):{'3_1':0.0},(179,270):{'3_1':0.03},(179,269):{'3_1':0.0},(179,267):{'3_1':0.0},(179,266):{'3_1':0.0},(179,265):{'3_1':0.0},(179,264):{'3_1':0.0},(179,263):{'3_1':0.0,'4_1':0.0},(179,262):{'3_1':0.0},(179,261):{'3_1':0.0},(179,260):{'3_1':0.0},(179,259):{'3_1':0.0},(179,258):{'3_1':0.0},(179,257):{'3_1':0.03,'4_1':0.0},(179,256):{'3_1':0.0},(179,255):{'3_1':0.03},(179,254):{'3_1':0.03},(179,253):{'3_1':0.0},(179,252):{'4_1':0.0},(179,250):{'3_1':0.0},(179,248):{'3_1':0.0},(179,247):{'3_1':0.0},(179,246):{'3_1':0.0},(179,245):{'3_1':0.0},(179,242):{'3_1':0.0},(179,241):{'4_1':0.0},(179,240):{'3_1':0.0},(179,237):{'3_1':0.0},(179,236):{'3_1':0.0},(179,231):{'3_1':0.0},(179,229):{'3_1':0.0},(179,228):{'3_1':0.0},(179,227):{'3_1':0.0},(179,226):{'3_1':0.0},(179,224):{'4_1':0.0},(179,223):{'3_1':0.0},(179,219):{'3_1':0.0},(179,216):{'3_1':0.0},(179,215):{'4_1':0.0},(179,214):{'3_1':0.0},(179,212):{'3_1':0.0,'4_1':0.0},(179,211):{'3_1':0.0},(179,210):{'3_1':0.03},(179,208):{'3_1':0.0},(179,206):{'3_1':0.0},(180,459):{'3_1':0.09},(180,458):{'3_1':0.09},(180,457):{'3_1':0.06},(180,456):{'3_1':0.09},(180,455):{'3_1':0.12},(180,454):{'3_1':0.06},(180,453):{'3_1':0.09},(180,452):{'3_1':0.12},(180,451):{'3_1':0.06,'5_2':0.0},(180,450):{'3_1':0.09},(180,449):{'3_1':0.03,'4_1':0.0},(180,448):{'3_1':0.06,'4_1':0.0},(180,447):{'3_1':0.15,'7_2':0.0},(180,446):{'3_1':0.09,'5_2':0.0},(180,445):{'3_1':0.06,'4_1':0.0},(180,444):{'3_1':0.09,'4_1':0.0},(180,443):{'3_1':0.09,'5_1':0.0},(180,442):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0},(180,441):{'3_1':0.12,'6_1':0.0,'8_14':0.0},(180,440):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(180,439):{'3_1':0.06,'5_2':0.0},(180,438):{'3_1':0.09},(180,437):{'3_1':0.12},(180,436):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(180,435):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(180,434):{'3_1':0.09,'4_1':0.0},(180,433):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(180,432):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_1':0.0},(180,431):{'3_1':0.12,'4_1':0.0},(180,430):{'3_1':0.15,'4_1':0.0},(180,429):{'3_1':0.09},(180,428):{'3_1':0.09},(180,427):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(180,426):{'3_1':0.09,'4_1':0.0},(180,425):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(180,424):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'8_1':0.0},(180,423):{'3_1':0.12,'4_1':0.0,'7_7':0.0},(180,422):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_2':0.0},(180,421):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(180,420):{'3_1':0.09,'6_1':0.0,'-3':0.0},(180,419):{'3_1':0.06,'4_1':0.0},(180,418):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(180,417):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0},(180,416):{'3_1':0.06,'4_1':0.0},(180,415):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0},(180,414):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(180,413):{'3_1':0.12,'5_1':0.0},(180,412):{'3_1':0.06,'5_2':0.0},(180,411):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0},(180,410):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(180,409):{'3_1':0.15,'4_1':0.03},(180,408):{'3_1':0.09,'4_1':0.0},(180,407):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(180,406):{'3_1':0.21,'4_1':0.0},(180,405):{'3_1':0.12,'4_1':0.0},(180,404):{'3_1':0.15,'4_1':0.0},(180,403):{'3_1':0.12,'5_2':0.0},(180,402):{'3_1':0.15,'5_1':0.0},(180,401):{'3_1':0.15},(180,400):{'3_1':0.15,'5_2':0.0},(180,399):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(180,398):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(180,397):{'3_1':0.03},(180,396):{'3_1':0.18,'5_2':0.0,'8_20|3_1#3_1':0.0},(180,395):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(180,394):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(180,393):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(180,392):{'3_1':0.21,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(180,391):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(180,390):{'3_1':0.18,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(180,389):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_3':0.0},(180,388):{'3_1':0.15,'4_1':0.0},(180,387):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(180,386):{'3_1':0.15,'4_1':0.03},(180,385):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0},(180,384):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(180,383):{'3_1':0.21,'6_3':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(180,382):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(180,381):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(180,380):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(180,379):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(180,378):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(180,377):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(180,376):{'3_1':0.09,'4_1':0.03,'-3':0.0},(180,375):{'3_1':0.18,'4_1':0.06},(180,374):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(180,373):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(180,372):{'3_1':0.15,'5_2':0.0},(180,371):{'3_1':0.12,'4_1':0.0},(180,370):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(180,369):{'3_1':0.09,'4_1':0.0},(180,368):{'3_1':0.15,'5_1':0.0},(180,367):{'3_1':0.15,'5_2':0.0},(180,366):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(180,365):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(180,364):{'3_1':0.15,'5_1':0.0},(180,363):{'3_1':0.15},(180,362):{'3_1':0.09},(180,361):{'3_1':0.12},(180,360):{'3_1':0.06,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(180,359):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(180,358):{'3_1':0.12},(180,357):{'3_1':0.12},(180,356):{'3_1':0.12,'6_3':0.0},(180,355):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(180,354):{'3_1':0.12},(180,353):{'3_1':0.12,'5_1':0.0,'8_20|3_1#3_1':0.0},(180,352):{'3_1':0.12,'5_1':0.0},(180,351):{'3_1':0.06},(180,350):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(180,349):{'3_1':0.06,'4_1':0.0},(180,348):{'3_1':0.06,'5_1':0.0},(180,347):{'3_1':0.06},(180,346):{'3_1':0.06},(180,345):{'3_1':0.06,'4_1':0.0},(180,344):{'3_1':0.06,'6_3':0.0},(180,343):{'3_1':0.03,'4_1':0.0},(180,342):{'3_1':0.06,'4_1':0.0},(180,341):{'3_1':0.03},(180,340):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(180,339):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(180,338):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(180,337):{'3_1':0.06,'5_2':0.0},(180,336):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(180,335):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(180,334):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(180,333):{'3_1':0.03,'4_1':0.03},(180,332):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(180,331):{'3_1':0.12,'4_1':0.03},(180,330):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(180,329):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(180,328):{'3_1':0.06,'6_2':0.0,'4_1':0.0},(180,327):{'3_1':0.09},(180,326):{'3_1':0.09,'4_1':0.0},(180,325):{'3_1':0.06},(180,324):{'3_1':0.06},(180,323):{'3_1':0.03},(180,322):{'3_1':0.03},(180,321):{'3_1':0.03,'4_1':0.0},(180,320):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(180,319):{'3_1':0.0},(180,318):{'3_1':0.09},(180,317):{'3_1':0.06,'4_1':0.0},(180,316):{'3_1':0.03,'4_1':0.0},(180,315):{'3_1':0.03},(180,314):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(180,313):{'3_1':0.09},(180,312):{'3_1':0.03,'4_1':0.0},(180,311):{'3_1':0.03,'5_2':0.0},(180,310):{'3_1':0.03},(180,309):{'3_1':0.06,'5_1':0.0},(180,308):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(180,307):{'3_1':0.03,'5_1':0.0},(180,306):{'3_1':0.03},(180,305):{'3_1':0.0},(180,304):{'3_1':0.0},(180,303):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(180,302):{'3_1':0.03},(180,301):{'3_1':0.0,'4_1':0.0},(180,300):{'3_1':0.0},(180,299):{'3_1':0.09,'5_1':0.0},(180,298):{'3_1':0.0},(180,297):{'3_1':0.03},(180,296):{'3_1':0.03},(180,295):{'3_1':0.03},(180,294):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(180,293):{'3_1':0.03,'5_1':0.0},(180,292):{'3_1':0.03,'4_1':0.0},(180,291):{'3_1':0.06,'5_1':0.0},(180,290):{'3_1':0.03},(180,289):{'3_1':0.03},(180,288):{'3_1':0.06,'5_2':0.0},(180,287):{'3_1':0.03},(180,286):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(180,285):{'3_1':0.0},(180,284):{'3_1':0.09},(180,283):{'3_1':0.03,'4_1':0.0},(180,282):{'3_1':0.0},(180,281):{'3_1':0.03},(180,280):{'3_1':0.0},(180,279):{'3_1':0.0},(180,278):{'3_1':0.0},(180,277):{'3_1':0.0},(180,276):{'3_1':0.06,'4_1':0.0},(180,275):{'3_1':0.03,'4_1':0.0},(180,274):{'3_1':0.0,'4_1':0.0},(180,273):{'4_1':0.0,'3_1':0.0},(180,272):{'3_1':0.0,'4_1':0.0},(180,271):{'3_1':0.03},(180,270):{'3_1':0.0,'4_1':0.0},(180,269):{'3_1':0.0},(180,268):{'3_1':0.0},(180,267):{'3_1':0.0},(180,266):{'3_1':0.0},(180,265):{'3_1':0.03,'4_1':0.0},(180,264):{'3_1':0.0},(180,263):{'3_1':0.03,'4_1':0.0},(180,262):{'3_1':0.0},(180,261):{'3_1':0.03},(180,260):{'3_1':0.0},(180,259):{'3_1':0.03},(180,258):{'3_1':0.0,'4_1':0.0},(180,257):{'3_1':0.0},(180,256):{'3_1':0.0,'5_2':0.0},(180,255):{'3_1':0.03},(180,253):{'3_1':0.03},(180,252):{'3_1':0.0},(180,250):{'3_1':0.0},(180,249):{'3_1':0.0},(180,248):{'3_1':0.0},(180,247):{'3_1':0.0},(180,246):{'3_1':0.0,'4_1':0.0},(180,243):{'3_1':0.0},(180,241):{'4_1':0.0},(180,238):{'3_1':0.0},(180,236):{'3_1':0.0},(180,235):{'3_1':0.0},(180,233):{'3_1':0.0},(180,232):{'3_1':0.0},(180,231):{'6_3':0.0},(180,229):{'3_1':0.0},(180,227):{'3_1':0.0},(180,226):{'4_1':0.0},(180,224):{'3_1':0.0},(180,223):{'3_1':0.0},(180,222):{'3_1':0.0},(180,219):{'3_1':0.0},(180,218):{'4_1':0.0},(180,217):{'3_1':0.0},(180,215):{'3_1':0.0},(180,214):{'3_1':0.0},(180,213):{'3_1':0.0,'4_1':0.0},(180,212):{'3_1':0.0},(180,211):{'3_1':0.0},(180,210):{'3_1':0.0},(180,209):{'3_1':0.0},(180,208):{'3_1':0.03},(180,207):{'3_1':0.0},(180,205):{'3_1':0.0},(181,459):{'3_1':0.03},(181,458):{'3_1':0.0},(181,457):{'3_1':0.0},(181,456):{'3_1':0.03,'4_1':0.0},(181,455):{'3_1':0.06},(181,454):{'3_1':0.06},(181,453):{'3_1':0.06},(181,452):{'3_1':0.03},(181,451):{'3_1':0.09,'4_1':0.0},(181,450):{'3_1':0.03},(181,449):{'3_1':0.0,'4_1':0.0},(181,448):{'3_1':0.03},(181,447):{'3_1':0.06},(181,446):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(181,445):{'3_1':0.03},(181,444):{'3_1':0.03,'4_1':0.0},(181,443):{'3_1':0.03},(181,442):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(181,441):{'3_1':0.03,'4_1':0.0,'-3':0.0},(181,440):{'3_1':0.06,'8_21|3_1#4_1':0.0},(181,439):{'3_1':0.06},(181,438):{'3_1':0.03},(181,437):{'3_1':0.03,'5_2':0.0},(181,436):{'3_1':0.09},(181,435):{'3_1':0.09,'4_1':0.0},(181,434):{'3_1':0.12},(181,433):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'-3':0.0},(181,432):{'3_1':0.06,'5_2':0.0},(181,431):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(181,430):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(181,429):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(181,428):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(181,427):{'3_1':0.03,'4_1':0.0},(181,426):{'3_1':0.06,'4_1':0.0,'8_11':0.0},(181,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(181,424):{'3_1':0.06,'4_1':0.0},(181,423):{'3_1':0.09,'4_1':0.0},(181,422):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(181,421):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(181,420):{'3_1':0.06,'-3':0.0},(181,419):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(181,418):{'3_1':0.06,'4_1':0.03},(181,417):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(181,416):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(181,415):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(181,414):{'4_1':0.09,'3_1':0.03},(181,413):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_2':0.0},(181,412):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(181,411):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(181,410):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(181,409):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(181,408):{'3_1':0.06,'4_1':0.03},(181,407):{'3_1':0.09,'4_1':0.0},(181,406):{'3_1':0.03,'4_1':0.03},(181,405):{'3_1':0.06},(181,404):{'3_1':0.09,'4_1':0.0},(181,403):{'3_1':0.06},(181,402):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(181,401):{'3_1':0.03,'6_1':0.0},(181,400):{'3_1':0.09,'4_1':0.0},(181,399):{'3_1':0.03,'5_2':0.0},(181,398):{'3_1':0.06,'5_2':0.0},(181,397):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(181,396):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(181,395):{'3_1':0.06,'4_1':0.0},(181,394):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(181,393):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(181,392):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'8_10':0.0,'-3':0.0},(181,391):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(181,390):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(181,389):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0},(181,388):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(181,387):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(181,386):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(181,385):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(181,384):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(181,383):{'3_1':0.12,'4_1':0.0},(181,382):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(181,381):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(181,380):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(181,379):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(181,378):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(181,377):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(181,376):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(181,375):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(181,374):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(181,373):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(181,372):{'3_1':0.09,'5_2':0.0},(181,371):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(181,370):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(181,369):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(181,368):{'3_1':0.15,'4_1':0.0},(181,367):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_3':0.0},(181,366):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(181,365):{'3_1':0.09,'4_1':0.0},(181,364):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(181,363):{'3_1':0.03,'5_1':0.0},(181,362):{'3_1':0.06},(181,361):{'3_1':0.12},(181,360):{'3_1':0.12,'5_2':0.0},(181,359):{'3_1':0.12},(181,358):{'3_1':0.09},(181,357):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(181,356):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'-3':0.0},(181,355):{'3_1':0.06,'5_1':0.0},(181,354):{'3_1':0.06,'5_2':0.0},(181,353):{'3_1':0.09},(181,352):{'3_1':0.09,'4_1':0.0},(181,351):{'3_1':0.12,'4_1':0.0},(181,350):{'3_1':0.03,'5_1':0.0},(181,349):{'3_1':0.09,'4_1':0.0},(181,348):{'3_1':0.0},(181,347):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(181,346):{'3_1':0.0},(181,345):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(181,344):{'3_1':0.06,'4_1':0.0},(181,343):{'3_1':0.03},(181,342):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(181,341):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(181,340):{'3_1':0.03,'4_1':0.03},(181,339):{'3_1':0.06,'4_1':0.0},(181,338):{'3_1':0.03},(181,337):{'3_1':0.03,'4_1':0.0},(181,336):{'3_1':0.03,'5_2':0.0},(181,335):{'3_1':0.0,'4_1':0.0},(181,334):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(181,333):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(181,332):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(181,331):{'3_1':0.06},(181,330):{'3_1':0.03,'6_3':0.0},(181,329):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(181,328):{'3_1':0.03},(181,327):{'3_1':0.03,'4_1':0.0},(181,326):{'3_1':0.06},(181,325):{'3_1':0.03,'4_1':0.0},(181,324):{'3_1':0.03,'4_1':0.0},(181,323):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(181,322):{'3_1':0.0},(181,321):{'3_1':0.03,'4_1':0.0},(181,320):{'3_1':0.03},(181,319):{'3_1':0.09},(181,318):{'3_1':0.0,'4_1':0.0},(181,317):{'3_1':0.06,'4_1':0.0},(181,316):{'3_1':0.03,'4_1':0.0},(181,315):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(181,314):{'3_1':0.09},(181,313):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(181,312):{'3_1':0.06},(181,311):{'3_1':0.06,'4_1':0.0},(181,310):{'3_1':0.03,'4_1':0.0},(181,309):{'3_1':0.09,'4_1':0.0},(181,308):{'3_1':0.06},(181,307):{'3_1':0.03},(181,306):{'3_1':0.0},(181,305):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(181,304):{'3_1':0.0},(181,303):{'3_1':0.0},(181,302):{'3_1':0.03,'4_1':0.0},(181,301):{'3_1':0.06},(181,300):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(181,299):{'3_1':0.03,'5_1':0.0},(181,298):{'3_1':0.03},(181,297):{'3_1':0.03},(181,296):{'3_1':0.03},(181,295):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(181,294):{'3_1':0.09,'4_1':0.0},(181,293):{'3_1':0.03,'8_19':0.0},(181,292):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(181,291):{'3_1':0.03,'4_1':0.0},(181,290):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(181,289):{'3_1':0.03,'4_1':0.0},(181,288):{'3_1':0.03,'4_1':0.0},(181,287):{'3_1':0.0},(181,286):{'3_1':0.0},(181,285):{'3_1':0.03},(181,284):{'3_1':0.0,'4_1':0.0},(181,283):{'3_1':0.0},(181,282):{'3_1':0.03},(181,281):{'3_1':0.0},(181,280):{'3_1':0.0},(181,279):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(181,277):{'3_1':0.0},(181,276):{'3_1':0.0,'4_1':0.0},(181,275):{'3_1':0.0},(181,274):{'4_1':0.0},(181,273):{'3_1':0.03},(181,272):{'3_1':0.0},(181,271):{'3_1':0.0},(181,270):{'3_1':0.06,'4_1':0.0},(181,269):{'3_1':0.0,'5_2':0.0},(181,268):{'3_1':0.03,'4_1':0.0},(181,267):{'3_1':0.03},(181,266):{'3_1':0.0},(181,265):{'3_1':0.0},(181,264):{'3_1':0.0},(181,263):{'3_1':0.0},(181,262):{'3_1':0.0},(181,261):{'3_1':0.0},(181,260):{'3_1':0.03},(181,259):{'3_1':0.03,'5_2':0.0},(181,258):{'4_1':0.0},(181,257):{'3_1':0.0,'4_1':0.0},(181,256):{'3_1':0.0},(181,255):{'3_1':0.0,'4_1':0.0},(181,254):{'3_1':0.0},(181,253):{'3_1':0.0},(181,252):{'3_1':0.0},(181,251):{'3_1':0.0,'4_1':0.0},(181,250):{'3_1':0.0},(181,249):{'4_1':0.0},(181,248):{'3_1':0.0,'4_1':0.0},(181,247):{'3_1':0.0},(181,246):{'3_1':0.0},(181,245):{'3_1':0.0},(181,243):{'3_1':0.0},(181,242):{'3_1':0.0},(181,241):{'6_3':0.0},(181,239):{'3_1':0.0,'4_1':0.0},(181,238):{'3_1':0.0},(181,236):{'3_1':0.0},(181,234):{'3_1':0.0},(181,230):{'4_1':0.0},(181,227):{'3_1':0.0},(181,226):{'3_1':0.0},(181,225):{'3_1':0.0,'4_1':0.0},(181,224):{'3_1':0.0},(181,223):{'4_1':0.0},(181,222):{'3_1':0.0},(181,221):{'3_1':0.0},(181,220):{'3_1':0.0},(181,219):{'3_1':0.0},(181,217):{'3_1':0.0},(181,216):{'3_1':0.0},(181,215):{'3_1':0.0},(181,214):{'3_1':0.0,'4_1':0.0},(181,213):{'3_1':0.0},(181,212):{'3_1':0.0},(181,211):{'3_1':0.0},(181,209):{'3_1':0.0},(181,207):{'3_1':0.0},(181,206):{'3_1':0.0},(182,459):{'3_1':0.09},(182,458):{'3_1':0.06},(182,457):{'3_1':0.06},(182,456):{'3_1':0.03,'8_21|3_1#4_1':0.0},(182,455):{'3_1':0.03},(182,454):{'3_1':0.06},(182,453):{'3_1':0.06},(182,452):{'3_1':0.09},(182,451):{'3_1':0.09},(182,450):{'3_1':0.06},(182,449):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(182,448):{'3_1':0.03},(182,447):{'3_1':0.06},(182,446):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(182,445):{'3_1':0.09},(182,444):{'3_1':0.06,'4_1':0.0},(182,443):{'3_1':0.06,'4_1':0.0},(182,442):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(182,441):{'3_1':0.03,'5_1':0.0},(182,440):{'3_1':0.06,'5_2':0.0},(182,439):{'3_1':0.03,'4_1':0.0},(182,438):{'3_1':0.12,'4_1':0.0},(182,437):{'3_1':0.09,'6_1':0.0},(182,436):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(182,435):{'3_1':0.12,'6_1':0.0},(182,434):{'3_1':0.0,'4_1':0.0},(182,433):{'3_1':0.09,'4_1':0.0},(182,432):{'3_1':0.06,'8_21|3_1#4_1':0.0},(182,431):{'3_1':0.06,'4_1':0.0},(182,430):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(182,429):{'3_1':0.06,'6_1':0.0},(182,428):{'3_1':0.06,'5_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(182,427):{'3_1':0.12,'4_1':0.03},(182,426):{'3_1':0.06,'4_1':0.0},(182,425):{'3_1':0.03,'6_1':0.0},(182,424):{'3_1':0.06,'4_1':0.0},(182,423):{'3_1':0.09,'4_1':0.0},(182,422):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(182,421):{'3_1':0.06,'4_1':0.0},(182,420):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(182,419):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(182,418):{'3_1':0.09,'4_1':0.03},(182,417):{'3_1':0.12,'4_1':0.06,'6_1':0.0},(182,416):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(182,415):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(182,414):{'3_1':0.06,'4_1':0.06},(182,413):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(182,412):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(182,411):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(182,410):{'3_1':0.03,'4_1':0.0},(182,409):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(182,408):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(182,407):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(182,406):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(182,405):{'3_1':0.12,'6_3':0.0,'8_20|3_1#3_1':0.0},(182,404):{'3_1':0.06,'5_2':0.0},(182,403):{'3_1':0.06},(182,402):{'3_1':0.06,'5_2':0.0},(182,401):{'3_1':0.12,'8_20|3_1#3_1':0.0},(182,400):{'3_1':0.12,'5_2':0.0},(182,399):{'3_1':0.09,'4_1':0.0},(182,398):{'3_1':0.09,'6_1':0.0},(182,397):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(182,396):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(182,395):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(182,394):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(182,393):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(182,392):{'3_1':0.15,'8_17':0.0},(182,391):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(182,390):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(182,389):{'3_1':0.09,'4_1':0.06,'6_1':0.0},(182,388):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(182,387):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(182,386):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(182,385):{'3_1':0.09,'6_1':0.0,'6_2':0.0},(182,384):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(182,383):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'6_2':0.0},(182,382):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(182,381):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(182,380):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(182,379):{'3_1':0.15,'4_1':0.03,'6_3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(182,378):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(182,377):{'3_1':0.18,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(182,376):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(182,375):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(182,374):{'3_1':0.12,'4_1':0.0},(182,373):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(182,372):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(182,371):{'3_1':0.12},(182,370):{'3_1':0.12},(182,369):{'3_1':0.18,'7_2':0.0},(182,368):{'3_1':0.12},(182,367):{'3_1':0.06},(182,366):{'3_1':0.09,'5_1':0.0},(182,365):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_19':0.0},(182,364):{'3_1':0.12,'8_19':0.0},(182,363):{'3_1':0.15,'5_2':0.0},(182,362):{'3_1':0.09,'5_2':0.0},(182,361):{'3_1':0.06},(182,360):{'3_1':0.03,'4_1':0.0},(182,359):{'3_1':0.06},(182,358):{'3_1':0.12,'5_1':0.0},(182,357):{'3_1':0.09,'5_2':0.0},(182,356):{'3_1':0.09,'4_1':0.0},(182,355):{'3_1':0.09},(182,354):{'3_1':0.09,'5_1':0.0},(182,353):{'3_1':0.03},(182,352):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(182,351):{'3_1':0.06,'5_1':0.0},(182,350):{'3_1':0.06},(182,349):{'3_1':0.09,'4_1':0.0},(182,348):{'3_1':0.03,'4_1':0.0},(182,347):{'3_1':0.06},(182,346):{'3_1':0.03,'4_1':0.0},(182,345):{'3_1':0.03},(182,344):{'3_1':0.09,'4_1':0.0},(182,343):{'3_1':0.06},(182,342):{'3_1':0.06,'4_1':0.0},(182,341):{'3_1':0.06,'4_1':0.0},(182,340):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(182,339):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(182,338):{'3_1':0.09,'4_1':0.0},(182,337):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(182,336):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(182,335):{'3_1':0.09,'8_20|3_1#3_1':0.0},(182,334):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(182,333):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(182,332):{'3_1':0.09,'4_1':0.0},(182,331):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(182,330):{'3_1':0.03,'4_1':0.0},(182,329):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(182,328):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(182,327):{'3_1':0.0},(182,326):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(182,325):{'3_1':0.03,'4_1':0.0},(182,324):{'3_1':0.0,'4_1':0.0},(182,323):{'3_1':0.03},(182,322):{'3_1':0.03,'4_1':0.0},(182,321):{'3_1':0.03},(182,320):{'3_1':0.03,'4_1':0.0},(182,319):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(182,318):{'3_1':0.03},(182,317):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(182,316):{'3_1':0.06,'4_1':0.0},(182,315):{'3_1':0.06,'5_1':0.0},(182,314):{'3_1':0.06,'5_1':0.0},(182,313):{'3_1':0.06,'4_1':0.0},(182,312):{'3_1':0.0},(182,311):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(182,310):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(182,309):{'3_1':0.06},(182,308):{'3_1':0.03},(182,307):{'3_1':0.03},(182,306):{'3_1':0.0},(182,305):{'3_1':0.06},(182,304):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(182,303):{'3_1':0.0,'4_1':0.0},(182,302):{'3_1':0.06},(182,301):{'3_1':0.0},(182,300):{'3_1':0.03,'5_1':0.0},(182,299):{'3_1':0.03},(182,298):{'3_1':0.03},(182,297):{'3_1':0.03,'5_1':0.0},(182,296):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(182,295):{'3_1':0.03},(182,294):{'3_1':0.03},(182,293):{'3_1':0.03},(182,292):{'3_1':0.06,'4_1':0.0},(182,291):{'3_1':0.03,'8_20|3_1#3_1':0.0},(182,290):{'3_1':0.0,'5_1':0.0},(182,289):{'3_1':0.0,'4_1':0.0},(182,288):{'3_1':0.03,'5_2':0.0},(182,287):{'3_1':0.0},(182,286):{'3_1':0.03,'4_1':0.0},(182,285):{'3_1':0.03},(182,284):{'3_1':0.0},(182,283):{'3_1':0.03},(182,282):{'3_1':0.03},(182,281):{'3_1':0.0},(182,280):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(182,279):{'3_1':0.03,'4_1':0.0},(182,278):{'3_1':0.03,'5_1':0.0},(182,277):{'3_1':0.03},(182,276):{'3_1':0.03},(182,275):{'3_1':0.0},(182,273):{'3_1':0.0},(182,272):{'3_1':0.0},(182,271):{'3_1':0.0,'5_2':0.0},(182,270):{'3_1':0.0},(182,269):{'3_1':0.03,'4_1':0.0},(182,267):{'3_1':0.0,'4_1':0.0},(182,266):{'3_1':0.03},(182,265):{'3_1':0.03,'4_1':0.0},(182,264):{'3_1':0.0,'4_1':0.0},(182,263):{'3_1':0.0,'4_1':0.0},(182,262):{'3_1':0.0},(182,261):{'3_1':0.03},(182,260):{'3_1':0.0},(182,259):{'3_1':0.0},(182,258):{'3_1':0.0},(182,257):{'3_1':0.0},(182,256):{'3_1':0.0},(182,255):{'3_1':0.0},(182,254):{'3_1':0.0},(182,253):{'3_1':0.0},(182,251):{'3_1':0.0},(182,250):{'3_1':0.0},(182,249):{'5_1':0.0},(182,247):{'3_1':0.0},(182,246):{'3_1':0.0},(182,242):{'3_1':0.0},(182,240):{'3_1':0.0},(182,238):{'3_1':0.0},(182,236):{'4_1':0.0},(182,234):{'3_1':0.0},(182,232):{'3_1':0.0},(182,231):{'3_1':0.0,'4_1':0.0},(182,227):{'3_1':0.0},(182,226):{'4_1':0.0},(182,225):{'4_1':0.0},(182,221):{'3_1':0.0},(182,220):{'3_1':0.0},(182,217):{'3_1':0.0},(182,216):{'3_1':0.0},(182,215):{'4_1':0.0,'3_1':0.0},(182,213):{'3_1':0.0},(182,212):{'3_1':0.0},(182,211):{'4_1':0.0},(182,210):{'3_1':0.0},(182,207):{'3_1':0.0},(182,206):{'3_1':0.0},(182,205):{'3_1':0.0},(182,204):{'3_1':0.0},(183,459):{'3_1':0.06},(183,458):{'3_1':0.06},(183,457):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(183,456):{'3_1':0.0,'6_2':0.0},(183,455):{'3_1':0.0},(183,454):{'3_1':0.03},(183,453):{'3_1':0.03},(183,452):{'3_1':0.06},(183,451):{'3_1':0.03},(183,450):{'3_1':0.03},(183,449):{'3_1':0.06,'5_2':0.0,'8_3':0.0},(183,448):{'3_1':0.0},(183,447):{'3_1':0.06},(183,446):{'3_1':0.03,'4_1':0.0},(183,445):{'3_1':0.09,'4_1':0.0},(183,444):{'3_1':0.03,'4_1':0.0},(183,443):{'3_1':0.06,'6_2':0.0},(183,442):{'3_1':0.06,'5_2':0.0},(183,441):{'3_1':0.03},(183,440):{'3_1':0.03},(183,439):{'3_1':0.03},(183,438):{'4_1':0.03,'3_1':0.0},(183,437):{'3_1':0.06,'4_1':0.0},(183,436):{'3_1':0.03,'4_1':0.0,'8_11':0.0},(183,435):{'3_1':0.06,'4_1':0.0,'-3':0.0},(183,434):{'3_1':0.03,'4_1':0.0},(183,433):{'3_1':0.03},(183,432):{'3_1':0.09},(183,431):{'3_1':0.06,'4_1':0.0},(183,430):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(183,429):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(183,428):{'3_1':0.09,'4_1':0.0},(183,427):{'3_1':0.03,'5_2':0.0},(183,426):{'3_1':0.06,'4_1':0.0},(183,425):{'3_1':0.12,'4_1':0.0},(183,424):{'3_1':0.06,'4_1':0.0},(183,423):{'3_1':0.03,'4_1':0.03,'7_2':0.0,'8_21|3_1#4_1':0.0},(183,422):{'3_1':0.06,'4_1':0.03},(183,421):{'3_1':0.12,'4_1':0.0,'7_6':0.0},(183,420):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(183,419):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(183,418):{'3_1':0.12,'4_1':0.0},(183,417):{'3_1':0.09,'4_1':0.03},(183,416):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_2':0.0},(183,415):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_3':0.0},(183,414):{'4_1':0.06,'3_1':0.03},(183,413):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(183,412):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(183,411):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(183,410):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(183,409):{'3_1':0.06,'6_1':0.0,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(183,408):{'3_1':0.09,'4_1':0.0,'8_6':0.0},(183,407):{'3_1':0.06,'4_1':0.03},(183,406):{'3_1':0.09,'4_1':0.0},(183,405):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(183,404):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(183,403):{'3_1':0.09,'4_1':0.0},(183,402):{'3_1':0.06,'4_1':0.0},(183,401):{'3_1':0.09,'4_1':0.0},(183,400):{'3_1':0.06,'4_1':0.0},(183,399):{'3_1':0.12,'8_20|3_1#3_1':0.0},(183,398):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(183,397):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(183,396):{'3_1':0.12,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(183,395):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(183,394):{'3_1':0.12,'4_1':0.0},(183,393):{'3_1':0.12,'5_1':0.0,'6_3':0.0},(183,392):{'3_1':0.12,'5_2':0.0,'8_8':0.0},(183,391):{'3_1':0.09},(183,390):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(183,389):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'5_2':0.0},(183,388):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(183,387):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(183,386):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(183,385):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(183,384):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(183,383):{'3_1':0.12,'4_1':0.0},(183,382):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(183,381):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0},(183,380):{'3_1':0.24},(183,379):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(183,378):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(183,377):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0},(183,376):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(183,375):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(183,374):{'3_1':0.06,'4_1':0.0},(183,373):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(183,372):{'3_1':0.12,'4_1':0.0},(183,371):{'3_1':0.09,'4_1':0.0},(183,370):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(183,369):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(183,368):{'3_1':0.03,'5_2':0.0},(183,367):{'3_1':0.03,'5_2':0.0},(183,366):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(183,365):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_6':0.0},(183,364):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(183,363):{'3_1':0.15,'4_1':0.0},(183,362):{'3_1':0.06,'5_2':0.0},(183,361):{'3_1':0.03,'4_1':0.0},(183,360):{'3_1':0.12,'4_1':0.0},(183,359):{'3_1':0.12},(183,358):{'3_1':0.15,'5_1':0.0},(183,357):{'3_1':0.09,'5_1':0.0},(183,356):{'3_1':0.06,'6_3':0.0},(183,355):{'3_1':0.03,'5_2':0.0},(183,354):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(183,353):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(183,352):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(183,351):{'3_1':0.06,'4_1':0.0},(183,350):{'3_1':0.06},(183,349):{'3_1':0.06,'4_1':0.0},(183,348):{'3_1':0.03,'4_1':0.0},(183,347):{'3_1':0.03},(183,346):{'3_1':0.06,'4_1':0.0},(183,345):{'3_1':0.03},(183,344):{'3_1':0.12,'4_1':0.0},(183,343):{'3_1':0.06,'4_1':0.0},(183,342):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(183,341):{'3_1':0.06,'6_2':0.0},(183,340):{'3_1':0.03,'4_1':0.0},(183,339):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(183,338):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(183,337):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(183,336):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(183,335):{'3_1':0.06,'4_1':0.0},(183,334):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(183,333):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(183,332):{'3_1':0.06,'4_1':0.0},(183,331):{'3_1':0.09,'4_1':0.0},(183,330):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(183,329):{'3_1':0.03},(183,328):{'3_1':0.03},(183,327):{'3_1':0.03,'4_1':0.0},(183,326):{'3_1':0.03,'6_2':0.0},(183,325):{'3_1':0.03},(183,324):{'3_1':0.03,'4_1':0.0},(183,323):{'3_1':0.06},(183,322):{'3_1':0.03,'4_1':0.0},(183,321):{'3_1':0.06,'5_1':0.0},(183,320):{'3_1':0.06,'4_1':0.0},(183,319):{'3_1':0.03},(183,318):{'3_1':0.03,'4_1':0.0},(183,317):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(183,316):{'3_1':0.03,'5_1':0.0},(183,315):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(183,314):{'3_1':0.0,'4_1':0.0},(183,313):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(183,312):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(183,311):{'3_1':0.03,'4_1':0.0},(183,310):{'3_1':0.09,'4_1':0.0},(183,309):{'3_1':0.03,'5_2':0.0},(183,308):{'3_1':0.03},(183,307):{'3_1':0.0,'4_1':0.0},(183,306):{'3_1':0.03},(183,305):{'3_1':0.0},(183,304):{'3_1':0.0},(183,303):{'3_1':0.03,'4_1':0.0},(183,302):{'3_1':0.0,'5_2':0.0},(183,301):{'3_1':0.06},(183,300):{'3_1':0.0,'5_1':0.0},(183,299):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(183,298):{'3_1':0.03,'4_1':0.0},(183,297):{'3_1':0.03},(183,296):{'3_1':0.0},(183,295):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(183,294):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(183,293):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(183,292):{'3_1':0.09},(183,291):{'3_1':0.03},(183,290):{'3_1':0.09,'5_1':0.0},(183,289):{'3_1':0.03},(183,288):{'3_1':0.03,'4_1':0.0},(183,287):{'3_1':0.03,'4_1':0.0},(183,286):{'3_1':0.03},(183,285):{'3_1':0.0},(183,284):{'3_1':0.0},(183,283):{'3_1':0.03,'5_1':0.0},(183,282):{'3_1':0.0,'4_1':0.0},(183,281):{'3_1':0.03,'4_1':0.0},(183,280):{'3_1':0.0,'4_1':0.0},(183,279):{'3_1':0.0,'4_1':0.0},(183,277):{'4_1':0.0,'3_1':0.0},(183,276):{'3_1':0.0,'4_1':0.0},(183,275):{'3_1':0.03,'4_1':0.0},(183,274):{'3_1':0.0},(183,273):{'3_1':0.06,'5_2':0.0},(183,272):{'3_1':0.0},(183,271):{'3_1':0.03,'4_1':0.0},(183,270):{'3_1':0.03,'4_1':0.0},(183,269):{'3_1':0.0},(183,268):{'3_1':0.0},(183,267):{'4_1':0.0},(183,266):{'3_1':0.0},(183,265):{'3_1':0.0},(183,264):{'3_1':0.0},(183,262):{'3_1':0.06},(183,261):{'3_1':0.03},(183,260):{'3_1':0.06},(183,259):{'3_1':0.0},(183,258):{'3_1':0.0},(183,257):{'3_1':0.0},(183,256):{'3_1':0.0,'4_1':0.0},(183,255):{'3_1':0.03,'4_1':0.0},(183,254):{'3_1':0.0},(183,253):{'3_1':0.03},(183,252):{'3_1':0.03},(183,251):{'3_1':0.0},(183,250):{'3_1':0.03},(183,249):{'3_1':0.0,'4_1':0.0},(183,248):{'3_1':0.0},(183,247):{'3_1':0.0},(183,246):{'3_1':0.0},(183,244):{'3_1':0.0},(183,243):{'3_1':0.0},(183,241):{'3_1':0.0},(183,240):{'3_1':0.0},(183,238):{'3_1':0.03},(183,236):{'3_1':0.0},(183,234):{'3_1':0.0},(183,231):{'3_1':0.0,'4_1':0.0},(183,230):{'3_1':0.0},(183,228):{'3_1':0.0},(183,227):{'3_1':0.0},(183,226):{'3_1':0.0},(183,225):{'4_1':0.0},(183,224):{'3_1':0.0},(183,223):{'3_1':0.0,'4_1':0.0},(183,222):{'3_1':0.0},(183,221):{'3_1':0.0},(183,220):{'3_1':0.0},(183,216):{'4_1':0.0},(183,210):{'3_1':0.0},(183,208):{'3_1':0.0},(183,207):{'3_1':0.0},(183,206):{'3_1':0.0},(183,204):{'4_1':0.0},(183,203):{'3_1':0.0},(184,459):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(184,458):{'3_1':0.06},(184,457):{'3_1':0.03,'4_1':0.0},(184,456):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(184,455):{'3_1':0.06,'4_1':0.0},(184,454):{'3_1':0.06},(184,453):{'3_1':0.03,'4_1':0.0},(184,452):{'3_1':0.03,'4_1':0.0},(184,451):{'3_1':0.03,'5_2':0.0},(184,450):{'3_1':0.0},(184,449):{'3_1':0.03},(184,448):{'3_1':0.06},(184,447):{'3_1':0.0,'5_1':0.0},(184,446):{'3_1':0.0},(184,445):{'3_1':0.03,'4_1':0.0},(184,444):{'3_1':0.03,'4_1':0.0},(184,443):{'3_1':0.06},(184,442):{'3_1':0.0,'4_1':0.0},(184,441):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(184,440):{'3_1':0.03},(184,439):{'3_1':0.03},(184,438):{'3_1':0.06,'4_1':0.0},(184,437):{'3_1':0.03,'5_2':0.0,'8_3':0.0},(184,436):{'3_1':0.09,'4_1':0.0},(184,435):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(184,434):{'3_1':0.03,'4_1':0.0},(184,433):{'3_1':0.06,'4_1':0.0},(184,432):{'3_1':0.09,'4_1':0.0},(184,431):{'3_1':0.03,'4_1':0.0},(184,430):{'3_1':0.03,'4_1':0.0},(184,429):{'3_1':0.06,'4_1':0.0},(184,428):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(184,427):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(184,426):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(184,425):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(184,424):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(184,423):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(184,422):{'3_1':0.09,'4_1':0.0},(184,421):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(184,420):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(184,419):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(184,418):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(184,417):{'3_1':0.06,'4_1':0.0},(184,416):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(184,415):{'3_1':0.09,'4_1':0.03},(184,414):{'4_1':0.09,'3_1':0.06,'6_1':0.0},(184,413):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(184,412):{'3_1':0.09,'4_1':0.0},(184,411):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(184,410):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(184,409):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(184,408):{'3_1':0.06,'4_1':0.0},(184,407):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0},(184,406):{'3_1':0.09,'4_1':0.0},(184,405):{'3_1':0.06},(184,404):{'3_1':0.03},(184,403):{'3_1':0.06,'4_1':0.0},(184,402):{'3_1':0.06,'5_1':0.0},(184,401):{'3_1':0.06,'4_1':0.0},(184,400):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(184,399):{'3_1':0.06,'4_1':0.0},(184,398):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(184,397):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(184,396):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(184,395):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(184,394):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(184,393):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(184,392):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(184,391):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(184,390):{'3_1':0.12,'4_1':0.0,'7_6':0.0,'-3':0.0},(184,389):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0},(184,388):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(184,387):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(184,386):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(184,385):{'3_1':0.03,'4_1':0.0},(184,384):{'3_1':0.12,'4_1':0.09,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(184,383):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(184,382):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_2':0.0},(184,381):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0},(184,380):{'3_1':0.03,'5_2':0.0},(184,379):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'6_3':0.0,'8_14':0.0},(184,378):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(184,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_6':0.0},(184,376):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(184,375):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(184,374):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(184,373):{'3_1':0.09,'4_1':0.0},(184,372):{'3_1':0.15,'4_1':0.0},(184,371):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(184,370):{'3_1':0.09,'4_1':0.0},(184,369):{'3_1':0.06,'4_1':0.0},(184,368):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(184,367):{'3_1':0.03},(184,366):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(184,365):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(184,364):{'3_1':0.12,'5_2':0.0},(184,363):{'3_1':0.12},(184,362):{'3_1':0.09,'4_1':0.0},(184,361):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(184,360):{'3_1':0.09,'5_2':0.0},(184,359):{'3_1':0.06,'4_1':0.0},(184,358):{'3_1':0.06,'4_1':0.0},(184,357):{'3_1':0.12,'4_1':0.0,'8_19':0.0},(184,356):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(184,355):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(184,354):{'3_1':0.09},(184,353):{'3_1':0.12,'5_2':0.0},(184,352):{'3_1':0.06,'5_2':0.0},(184,351):{'3_1':0.09},(184,350):{'3_1':0.06},(184,349):{'3_1':0.03,'5_2':0.0},(184,348):{'3_1':0.06,'5_1':0.0},(184,347):{'3_1':0.09,'5_1':0.0},(184,346):{'3_1':0.06},(184,345):{'3_1':0.03,'4_1':0.0},(184,344):{'3_1':0.03},(184,343):{'3_1':0.09},(184,342):{'3_1':0.06,'4_1':0.0},(184,341):{'3_1':0.03},(184,340):{'3_1':0.0,'7_2':0.0},(184,339):{'3_1':0.03,'4_1':0.0},(184,338):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(184,337):{'3_1':0.09,'6_2':0.0},(184,336):{'3_1':0.03},(184,335):{'3_1':0.09},(184,334):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(184,333):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(184,332):{'3_1':0.06,'4_1':0.0},(184,331):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(184,330):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(184,329):{'3_1':0.06,'4_1':0.0},(184,328):{'3_1':0.03,'4_1':0.0},(184,327):{'3_1':0.06},(184,326):{'3_1':0.03,'5_2':0.0},(184,325):{'3_1':0.03,'4_1':0.0},(184,324):{'3_1':0.03,'5_2':0.0},(184,323):{'3_1':0.03,'6_1':0.0},(184,322):{'3_1':0.0,'4_1':0.0},(184,321):{'3_1':0.09,'4_1':0.0},(184,320):{'3_1':0.0},(184,319):{'3_1':0.0},(184,318):{'3_1':0.0},(184,317):{'3_1':0.06},(184,316):{'3_1':0.03,'4_1':0.0},(184,315):{'3_1':0.06},(184,314):{'3_1':0.03},(184,313):{'3_1':0.03,'4_1':0.0},(184,312):{'3_1':0.06},(184,311):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(184,310):{'3_1':0.06},(184,309):{'3_1':0.06},(184,308):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(184,307):{'3_1':0.03,'5_2':0.0},(184,306):{'3_1':0.0,'4_1':0.0},(184,305):{'3_1':0.0,'5_2':0.0},(184,304):{'3_1':0.0},(184,303):{'3_1':0.0,'4_1':0.0},(184,302):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(184,301):{'3_1':0.06,'-3':0.0},(184,300):{'3_1':0.06},(184,299):{'3_1':0.06,'4_1':0.0},(184,298):{'3_1':0.0},(184,297):{'3_1':0.03},(184,296):{'3_1':0.03,'4_1':0.0},(184,295):{'3_1':0.06,'5_1':0.0},(184,294):{'3_1':0.06,'5_2':0.0,'-3':0.0},(184,293):{'3_1':0.0,'4_1':0.0},(184,292):{'3_1':0.0,'4_1':0.0},(184,291):{'3_1':0.06},(184,290):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(184,289):{'3_1':0.03,'5_1':0.0},(184,288):{'3_1':0.0,'4_1':0.0},(184,287):{'3_1':0.03},(184,286):{'3_1':0.03},(184,285):{'3_1':0.03,'5_1':0.0},(184,284):{'3_1':0.03},(184,283):{'3_1':0.0},(184,282):{'3_1':0.0},(184,281):{'3_1':0.0,'4_1':0.0},(184,280):{'3_1':0.0},(184,279):{'3_1':0.0,'4_1':0.0},(184,278):{'3_1':0.0},(184,277):{'3_1':0.03,'5_1':0.0},(184,276):{'4_1':0.0,'3_1':0.0},(184,275):{'3_1':0.0},(184,274):{'3_1':0.0},(184,273):{'3_1':0.0},(184,272):{'3_1':0.0},(184,271):{'3_1':0.0},(184,270):{'3_1':0.0,'4_1':0.0},(184,269):{'3_1':0.0},(184,268):{'3_1':0.0,'4_1':0.0},(184,267):{'3_1':0.0},(184,266):{'3_1':0.0},(184,265):{'3_1':0.0},(184,264):{'4_1':0.0},(184,263):{'3_1':0.0},(184,262):{'3_1':0.0},(184,261):{'3_1':0.0},(184,258):{'3_1':0.0},(184,257):{'3_1':0.0,'4_1':0.0},(184,256):{'3_1':0.0},(184,255):{'3_1':0.0,'4_1':0.0},(184,254):{'3_1':0.0},(184,253):{'3_1':0.0,'4_1':0.0},(184,252):{'3_1':0.0},(184,248):{'3_1':0.0},(184,247):{'3_1':0.0},(184,246):{'3_1':0.0},(184,243):{'3_1':0.0},(184,240):{'3_1':0.0},(184,239):{'3_1':0.0},(184,238):{'3_1':0.0,'5_2':0.0},(184,237):{'3_1':0.0},(184,236):{'3_1':0.0},(184,233):{'3_1':0.0},(184,231):{'3_1':0.0},(184,230):{'3_1':0.0},(184,226):{'3_1':0.0},(184,223):{'3_1':0.0,'4_1':0.0},(184,222):{'3_1':0.0},(184,221):{'3_1':0.0},(184,219):{'3_1':0.0},(184,212):{'3_1':0.0},(184,211):{'3_1':0.0},(184,210):{'3_1':0.0},(184,209):{'3_1':0.0},(184,206):{'3_1':0.0},(185,459):{'3_1':0.03,'4_1':0.0},(185,458):{'3_1':0.06,'4_1':0.0},(185,457):{'3_1':0.0},(185,456):{'3_1':0.03,'4_1':0.0},(185,455):{'3_1':0.0,'4_1':0.0},(185,454):{'3_1':0.0,'4_1':0.0},(185,453):{'3_1':0.06},(185,452):{'3_1':0.09},(185,451):{'3_1':0.0,'4_1':0.0},(185,450):{'3_1':0.06,'4_1':0.0},(185,449):{'3_1':0.06,'4_1':0.0},(185,448):{'3_1':0.06,'4_1':0.0},(185,447):{'3_1':0.0,'4_1':0.0},(185,446):{'3_1':0.06,'4_1':0.0},(185,445):{'3_1':0.06},(185,444):{'3_1':0.06},(185,443):{'3_1':0.09},(185,442):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(185,441):{'3_1':0.03},(185,440):{'3_1':0.06},(185,439):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(185,438):{'3_1':0.09},(185,437):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(185,436):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(185,435):{'3_1':0.03,'4_1':0.0},(185,434):{'3_1':0.12,'4_1':0.0},(185,433):{'3_1':0.06},(185,432):{'3_1':0.06,'4_1':0.0},(185,431):{'3_1':0.09,'4_1':0.0},(185,430):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(185,429):{'3_1':0.06},(185,428):{'3_1':0.06,'4_1':0.0},(185,427):{'3_1':0.06,'4_1':0.0,'-3':0.0},(185,426):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(185,425):{'3_1':0.06,'4_1':0.0},(185,424):{'3_1':0.09,'4_1':0.0},(185,423):{'3_1':0.06,'4_1':0.0},(185,422):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(185,421):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(185,420):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_12':0.0},(185,419):{'3_1':0.12,'4_1':0.0},(185,418):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(185,417):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(185,416):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(185,415):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'8_1':0.0},(185,414):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(185,413):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(185,412):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(185,411):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(185,410):{'3_1':0.09,'4_1':0.0},(185,409):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_3':0.0},(185,408):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(185,407):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(185,406):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(185,405):{'3_1':0.09,'4_1':0.0},(185,404):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(185,403):{'3_1':0.06},(185,402):{'3_1':0.03,'4_1':0.0},(185,401):{'3_1':0.06,'4_1':0.0},(185,400):{'3_1':0.06,'4_1':0.0},(185,399):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(185,398):{'3_1':0.09,'4_1':0.0},(185,397):{'3_1':0.09,'4_1':0.0},(185,396):{'3_1':0.03,'4_1':0.0},(185,395):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(185,394):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(185,393):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(185,392):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(185,391):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(185,390):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(185,389):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(185,388):{'3_1':0.09,'4_1':0.03,'8_21|3_1#4_1':0.0},(185,387):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(185,386):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(185,385):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'-3':0.0},(185,384):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(185,383):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(185,382):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(185,381):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_17':0.0},(185,380):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(185,379):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(185,378):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'6_2':0.0,'8_6':0.0},(185,377):{'3_1':0.09,'6_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_2':0.0},(185,376):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'6_3':0.0},(185,375):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(185,374):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'5_1':0.0},(185,373):{'3_1':0.15,'4_1':0.0},(185,372):{'3_1':0.12,'6_3':0.0},(185,371):{'3_1':0.06,'4_1':0.0},(185,370):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(185,369):{'3_1':0.09,'4_1':0.0},(185,368):{'3_1':0.12},(185,367):{'3_1':0.06},(185,366):{'3_1':0.09,'5_2':0.0},(185,365):{'3_1':0.06,'4_1':0.0},(185,364):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(185,363):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'5_2':0.0},(185,362):{'3_1':0.06,'5_2':0.0},(185,361):{'3_1':0.12},(185,360):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(185,359):{'3_1':0.12,'4_1':0.0},(185,358):{'3_1':0.03,'6_2':0.0},(185,357):{'3_1':0.06,'4_1':0.0},(185,356):{'3_1':0.12},(185,355):{'3_1':0.09,'5_2':0.0},(185,354):{'3_1':0.15,'5_2':0.0},(185,353):{'3_1':0.12,'4_1':0.0},(185,352):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(185,351):{'3_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0},(185,350):{'3_1':0.06,'5_1':0.0},(185,349):{'3_1':0.06,'4_1':0.0},(185,348):{'3_1':0.03},(185,347):{'3_1':0.0,'4_1':0.0},(185,346):{'3_1':0.0,'4_1':0.0},(185,345):{'3_1':0.03},(185,344):{'3_1':0.03},(185,343):{'3_1':0.06},(185,342):{'3_1':0.03,'4_1':0.0},(185,341):{'3_1':0.09,'4_1':0.0},(185,340):{'3_1':0.09},(185,339):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(185,338):{'3_1':0.0,'4_1':0.0},(185,337):{'3_1':0.06,'4_1':0.0},(185,336):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(185,335):{'3_1':0.06},(185,334):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(185,333):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(185,332):{'3_1':0.06},(185,331):{'3_1':0.03,'4_1':0.0},(185,330):{'3_1':0.09,'4_1':0.0},(185,329):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(185,328):{'3_1':0.06},(185,327):{'3_1':0.03},(185,326):{'3_1':0.06},(185,325):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(185,324):{'3_1':0.06},(185,323):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(185,322):{'3_1':0.03},(185,321):{'3_1':0.06,'6_1':0.0},(185,320):{'3_1':0.03},(185,319):{'3_1':0.03,'4_1':0.0},(185,318):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(185,317):{'3_1':0.09,'4_1':0.0},(185,316):{'3_1':0.03},(185,315):{'3_1':0.06},(185,314):{'3_1':0.06},(185,313):{'3_1':0.06,'4_1':0.0},(185,312):{'3_1':0.03},(185,311):{'3_1':0.06},(185,310):{'3_1':0.06,'5_1':0.0},(185,309):{'3_1':0.12,'4_1':0.0},(185,308):{'3_1':0.03},(185,307):{'3_1':0.06},(185,306):{'3_1':0.0},(185,305):{'3_1':0.06},(185,304):{'3_1':0.03},(185,303):{'3_1':0.0,'4_1':0.0},(185,302):{'3_1':0.09,'4_1':0.0},(185,301):{'3_1':0.06,'4_1':0.0},(185,300):{'3_1':0.03,'5_1':0.0},(185,299):{'3_1':0.06},(185,298):{'3_1':0.06},(185,297):{'3_1':0.06,'4_1':0.0,'8_19':0.0},(185,296):{'3_1':0.03,'5_1':0.0},(185,295):{'3_1':0.0},(185,294):{'3_1':0.03},(185,293):{'3_1':0.03},(185,292):{'3_1':0.03},(185,291):{'3_1':0.03,'5_1':0.0},(185,290):{'3_1':0.03},(185,289):{'3_1':0.06},(185,288):{'3_1':0.06},(185,287):{'3_1':0.03},(185,286):{'3_1':0.0,'5_2':0.0},(185,285):{'3_1':0.03},(185,284):{'3_1':0.06},(185,283):{'3_1':0.03},(185,282):{'3_1':0.03},(185,281):{'3_1':0.03},(185,280):{'4_1':0.0},(185,279):{'3_1':0.0},(185,278):{'3_1':0.03},(185,277):{'3_1':0.0},(185,276):{'3_1':0.0},(185,275):{'3_1':0.03},(185,274):{'3_1':0.0,'4_1':0.0},(185,273):{'3_1':0.03},(185,272):{'3_1':0.0},(185,271):{'3_1':0.03},(185,270):{'5_2':0.0},(185,269):{'3_1':0.0},(185,268):{'3_1':0.0},(185,266):{'3_1':0.0,'4_1':0.0},(185,265):{'3_1':0.0,'4_1':0.0},(185,264):{'3_1':0.0},(185,263):{'3_1':0.03},(185,262):{'3_1':0.0},(185,261):{'3_1':0.0,'4_1':0.0},(185,260):{'4_1':0.0},(185,259):{'3_1':0.0},(185,258):{'3_1':0.0},(185,257):{'3_1':0.0},(185,256):{'3_1':0.0,'4_1':0.0},(185,255):{'3_1':0.0,'4_1':0.0},(185,254):{'3_1':0.03},(185,252):{'3_1':0.0},(185,251):{'3_1':0.0},(185,250):{'3_1':0.0,'5_1':0.0},(185,249):{'3_1':0.0},(185,248):{'3_1':0.0},(185,247):{'3_1':0.0},(185,246):{'3_1':0.0,'4_1':0.0},(185,245):{'3_1':0.0,'4_1':0.0},(185,244):{'3_1':0.0},(185,243):{'3_1':0.0},(185,241):{'3_1':0.03},(185,240):{'3_1':0.0},(185,238):{'3_1':0.0},(185,237):{'3_1':0.0},(185,236):{'3_1':0.0},(185,235):{'3_1':0.0},(185,234):{'3_1':0.0},(185,232):{'3_1':0.0},(185,231):{'3_1':0.0},(185,230):{'3_1':0.0},(185,228):{'3_1':0.0},(185,227):{'3_1':0.0,'4_1':0.0},(185,226):{'3_1':0.0},(185,225):{'3_1':0.0},(185,224):{'3_1':0.0},(185,221):{'3_1':0.0},(185,218):{'3_1':0.0},(185,215):{'3_1':0.0},(185,214):{'3_1':0.0},(185,213):{'3_1':0.0},(185,212):{'3_1':0.0},(185,211):{'4_1':0.0},(185,210):{'3_1':0.0},(185,209):{'3_1':0.03},(185,208):{'3_1':0.0},(185,207):{'3_1':0.0},(185,205):{'3_1':0.0},(185,204):{'3_1':0.0},(185,203):{'3_1':0.0},(186,459):{'3_1':0.0,'4_1':0.0},(186,458):{'3_1':0.03,'6_1':0.0},(186,457):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(186,456):{'3_1':0.0,'6_1':0.0},(186,455):{'3_1':0.0,'4_1':0.0},(186,454):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(186,453):{'3_1':0.06,'4_1':0.0},(186,452):{'3_1':0.03,'4_1':0.0},(186,451):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(186,450):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(186,449):{'3_1':0.06,'4_1':0.0},(186,448):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(186,447):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(186,446):{'5_2':0.0,'6_1':0.0,'3_1':0.0,'4_1':0.0},(186,445):{'4_1':0.03,'3_1':0.0},(186,444):{'4_1':0.0},(186,443):{'3_1':0.03},(186,442):{'3_1':0.0,'6_1':0.0},(186,441):{'4_1':0.03,'3_1':0.0},(186,440):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(186,439):{'3_1':0.03,'6_1':0.0},(186,438):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(186,437):{'3_1':0.0,'4_1':0.0},(186,436):{'3_1':0.03,'4_1':0.0,'8_1':0.0,'8_14':0.0},(186,435):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(186,434):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(186,433):{'3_1':0.03,'6_1':0.0},(186,432):{'3_1':0.03,'4_1':0.0},(186,431):{'3_1':0.0,'-3':0.0},(186,430):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(186,429):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0},(186,428):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(186,427):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(186,426):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(186,425):{'3_1':0.0,'4_1':0.0},(186,424):{'3_1':0.03,'8_21|3_1#4_1':0.0},(186,423):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(186,422):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(186,421):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(186,420):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0},(186,419):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(186,418):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(186,417):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(186,416):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(186,415):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(186,414):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(186,413):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_2':0.0},(186,412):{'4_1':0.0,'5_2':0.0,'6_1':0.0,'3_1':0.0},(186,411):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(186,410):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(186,409):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'8_3':0.0},(186,408):{'4_1':0.03,'3_1':0.0},(186,407):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_3':0.0},(186,406):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(186,405):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(186,404):{'3_1':0.09,'5_2':0.0,'8_3':0.0},(186,403):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(186,402):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(186,401):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(186,400):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(186,399):{'3_1':0.03,'6_3':0.0},(186,398):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(186,397):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(186,396):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,395):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'5_2':0.0},(186,394):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(186,393):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(186,392):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(186,391):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(186,390):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(186,389):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(186,388):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_2':0.0,'6_3':0.0,'7_7':0.0},(186,387):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_3':0.0,'-3':0.0},(186,386):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0},(186,385):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0},(186,384):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(186,383):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_3':0.0,'7_6':0.0},(186,382):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(186,381):{'3_1':0.12,'4_1':0.06,'6_1':0.0},(186,380):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'-3':0.0},(186,379):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(186,378):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0},(186,377):{'3_1':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0},(186,376):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_3':0.0,'8_3':0.0},(186,375):{'3_1':0.03,'4_1':0.0},(186,374):{'3_1':0.12,'6_1':0.03,'4_1':0.03,'5_2':0.0},(186,373):{'3_1':0.09,'4_1':0.0,'7_4':0.0},(186,372):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(186,371):{'3_1':0.06,'6_1':0.0,'4_1':0.0,'-3':0.0},(186,370):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(186,369):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(186,368):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_1':0.0},(186,367):{'3_1':0.09,'5_2':0.0},(186,366):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(186,365):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(186,364):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,363):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(186,362):{'3_1':0.09,'4_1':0.0,'-3':0.0},(186,361):{'3_1':0.06,'6_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(186,360):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(186,359):{'3_1':0.06,'4_1':0.0},(186,358):{'3_1':0.03,'4_1':0.03},(186,357):{'3_1':0.0,'4_1':0.0},(186,356):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(186,355):{'3_1':0.06,'5_2':0.03},(186,354):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(186,353):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(186,352):{'3_1':0.03,'5_2':0.0},(186,351):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(186,350):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,349):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(186,348):{'3_1':0.06},(186,347):{'3_1':0.03,'6_2':0.0},(186,346):{'3_1':0.06,'4_1':0.0},(186,345):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(186,344):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(186,343):{'3_1':0.03,'4_1':0.0},(186,342):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,341):{'3_1':0.03,'5_1':0.0},(186,340):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,339):{'3_1':0.09},(186,338):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(186,337):{'3_1':0.06,'5_2':0.0},(186,336):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(186,335):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(186,334):{'3_1':0.03,'4_1':0.0},(186,333):{'3_1':0.09,'5_2':0.0},(186,332):{'3_1':0.09,'5_1':0.0},(186,331):{'3_1':0.09,'5_2':0.0},(186,330):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(186,329):{'3_1':0.06},(186,328):{'3_1':0.06,'4_1':0.0},(186,327):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(186,326):{'3_1':0.06,'4_1':0.0},(186,325):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(186,324):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(186,323):{'3_1':0.09,'4_1':0.0},(186,322):{'3_1':0.03,'4_1':0.0},(186,321):{'3_1':0.03,'4_1':0.0},(186,320):{'3_1':0.03,'5_2':0.0},(186,319):{'3_1':0.03},(186,318):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(186,317):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,316):{'3_1':0.12,'5_1':0.0},(186,315):{'3_1':0.09,'5_1':0.0},(186,314):{'3_1':0.03,'5_1':0.0},(186,313):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(186,312):{'3_1':0.06},(186,311):{'3_1':0.06,'4_1':0.0},(186,310):{'3_1':0.09},(186,309):{'3_1':0.06},(186,308):{'3_1':0.06,'5_1':0.0},(186,307):{'3_1':0.03,'5_1':0.0},(186,306):{'3_1':0.03,'5_1':0.0},(186,305):{'3_1':0.06},(186,304):{'5_1':0.0},(186,303):{'3_1':0.03,'5_2':0.0},(186,302):{'3_1':0.06},(186,301):{'3_1':0.03,'5_1':0.0},(186,300):{'3_1':0.0,'5_2':0.0},(186,299):{'3_1':0.06,'5_1':0.0},(186,298):{'3_1':0.0},(186,297):{'3_1':0.03,'4_1':0.0},(186,296):{'3_1':0.06},(186,295):{'3_1':0.03},(186,294):{'3_1':0.03,'5_1':0.0},(186,293):{'3_1':0.06,'4_1':0.0},(186,292):{'3_1':0.03},(186,291):{'3_1':0.06},(186,290):{'3_1':0.03,'4_1':0.0},(186,289):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(186,288):{'3_1':0.03,'5_1':0.0},(186,287):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(186,286):{'3_1':0.0},(186,285):{'4_1':0.0},(186,284):{'3_1':0.0,'5_1':0.0},(186,283):{'3_1':0.03,'5_1':0.0},(186,282):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(186,281):{'3_1':0.0,'4_1':0.0},(186,280):{'3_1':0.0,'4_1':0.0},(186,279):{'3_1':0.0},(186,278):{'3_1':0.0,'5_2':0.0},(186,277):{'3_1':0.03},(186,276):{'4_1':0.0},(186,275):{'3_1':0.0},(186,274):{'3_1':0.0,'4_1':0.0},(186,273):{'3_1':0.0},(186,272):{'3_1':0.0},(186,271):{'3_1':0.03},(186,270):{'3_1':0.0},(186,269):{'3_1':0.0},(186,268):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(186,267):{'3_1':0.0,'4_1':0.0},(186,266):{'3_1':0.0},(186,265):{'3_1':0.0},(186,263):{'3_1':0.0},(186,262):{'3_1':0.0},(186,261):{'3_1':0.0,'4_1':0.0},(186,260):{'3_1':0.0},(186,259):{'3_1':0.0},(186,257):{'3_1':0.0,'4_1':0.0},(186,255):{'3_1':0.03,'4_1':0.0},(186,254):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(186,252):{'3_1':0.0,'4_1':0.0},(186,250):{'3_1':0.0},(186,249):{'3_1':0.0},(186,246):{'3_1':0.0},(186,245):{'3_1':0.0},(186,244):{'3_1':0.0},(186,243):{'3_1':0.0},(186,240):{'3_1':0.0},(186,238):{'3_1':0.0},(186,237):{'4_1':0.0},(186,235):{'3_1':0.0},(186,234):{'3_1':0.0},(186,230):{'3_1':0.0},(186,227):{'3_1':0.0},(186,226):{'3_1':0.0,'4_1':0.0},(186,225):{'3_1':0.0,'4_1':0.0},(186,224):{'3_1':0.0},(186,222):{'3_1':0.0},(186,220):{'3_1':0.0},(186,219):{'3_1':0.0},(186,216):{'4_1':0.0},(186,215):{'3_1':0.0,'4_1':0.0},(186,207):{'3_1':0.0},(186,205):{'3_1':0.0},(186,204):{'3_1':0.0},(186,202):{'3_1':0.0},(187,459):{'3_1':0.0},(187,458):{'3_1':0.0},(187,457):{'3_1':0.03},(187,456):{'3_1':0.0,'4_1':0.0},(187,455):{'3_1':0.06},(187,454):{'3_1':0.0,'4_1':0.0},(187,453):{'3_1':0.0,'4_1':0.0},(187,452):{'3_1':0.03},(187,451):{'3_1':0.0,'4_1':0.0},(187,450):{'3_1':0.03,'4_1':0.0},(187,449):{'3_1':0.03,'4_1':0.0},(187,448):{'3_1':0.03,'4_1':0.0},(187,447):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(187,446):{'3_1':0.03,'4_1':0.0},(187,445):{'3_1':0.06,'4_1':0.0},(187,444):{'3_1':0.0,'4_1':0.0},(187,443):{'3_1':0.09,'5_2':0.0},(187,442):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(187,441):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(187,440):{'3_1':0.0,'4_1':0.0},(187,439):{'4_1':0.0,'3_1':0.0},(187,438):{'3_1':0.03},(187,437):{'4_1':0.0,'6_1':0.0,'3_1':0.0},(187,436):{'4_1':0.03,'3_1':0.0},(187,435):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(187,434):{'3_1':0.03,'4_1':0.0},(187,433):{'3_1':0.03,'4_1':0.0},(187,432):{'4_1':0.0,'3_1':0.0},(187,431):{'3_1':0.0,'4_1':0.0},(187,430):{'3_1':0.09,'4_1':0.0},(187,429):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(187,428):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(187,427):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(187,426):{'3_1':0.03,'4_1':0.0},(187,425):{'3_1':0.03,'4_1':0.03},(187,424):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(187,423):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(187,422):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(187,421):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(187,420):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(187,419):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(187,418):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(187,417):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'8_17':0.0},(187,416):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(187,415):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(187,414):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(187,413):{'3_1':0.03,'4_1':0.03},(187,412):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0},(187,411):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(187,410):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_11':0.0},(187,409):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0},(187,408):{'3_1':0.03,'4_1':0.0},(187,407):{'3_1':0.06,'4_1':0.0},(187,406):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(187,405):{'3_1':0.03,'4_1':0.0},(187,404):{'3_1':0.06},(187,403):{'3_1':0.03,'4_1':0.0},(187,402):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(187,401):{'3_1':0.03,'4_1':0.0,'8_11':0.0},(187,400):{'3_1':0.03,'5_2':0.0},(187,399):{'4_1':0.03,'3_1':0.0},(187,398):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(187,397):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(187,396):{'3_1':0.12,'4_1':0.0},(187,395):{'3_1':0.06,'4_1':0.03},(187,394):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(187,393):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(187,392):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(187,391):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_17':0.0,'8_21|3_1#4_1':0.0},(187,390):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(187,389):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(187,388):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_3':0.0},(187,387):{'3_1':0.06,'4_1':0.06},(187,386):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0,'6_1':0.0,'6_2':0.0},(187,385):{'3_1':0.06,'4_1':0.06},(187,384):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(187,383):{'3_1':0.03,'6_1':0.0},(187,382):{'3_1':0.09,'4_1':0.0},(187,381):{'3_1':0.09,'4_1':0.03,'-3':0.0},(187,380):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(187,379):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(187,378):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(187,377):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(187,376):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(187,375):{'3_1':0.09,'4_1':0.0},(187,374):{'3_1':0.09,'4_1':0.03},(187,373):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(187,372):{'3_1':0.09,'6_1':0.0},(187,371):{'3_1':0.09,'4_1':0.0},(187,370):{'3_1':0.09},(187,369):{'3_1':0.09,'5_1':0.0},(187,368):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(187,367):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(187,366):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(187,365):{'3_1':0.06,'4_1':0.0},(187,364):{'3_1':0.12,'4_1':0.0},(187,363):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(187,362):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(187,361):{'3_1':0.12,'4_1':0.0},(187,360):{'3_1':0.06},(187,359):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(187,358):{'3_1':0.06,'4_1':0.0},(187,357):{'3_1':0.06},(187,356):{'3_1':0.03,'4_1':0.0},(187,355):{'3_1':0.09},(187,354):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(187,353):{'3_1':0.06,'4_1':0.0},(187,352):{'3_1':0.03,'4_1':0.0},(187,351):{'3_1':0.0,'4_1':0.0},(187,350):{'3_1':0.03,'4_1':0.0},(187,349):{'3_1':0.03,'5_1':0.0},(187,348):{'3_1':0.06},(187,347):{'3_1':0.03},(187,346):{'3_1':0.03},(187,345):{'3_1':0.03,'4_1':0.0},(187,344):{'3_1':0.03,'4_1':0.0},(187,343):{'3_1':0.06,'4_1':0.0},(187,342):{'3_1':0.06,'4_1':0.0},(187,341):{'3_1':0.03},(187,340):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(187,339):{'3_1':0.06,'4_1':0.0},(187,338):{'3_1':0.03,'4_1':0.0},(187,337):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(187,336):{'3_1':0.06,'4_1':0.0},(187,335):{'3_1':0.0,'6_2':0.0},(187,334):{'3_1':0.06},(187,333):{'3_1':0.03,'4_1':0.0},(187,332):{'3_1':0.03},(187,331):{'3_1':0.03,'4_1':0.0},(187,330):{'3_1':0.03},(187,329):{'3_1':0.0,'6_2':0.0},(187,328):{'3_1':0.0,'4_1':0.0},(187,327):{'3_1':0.03},(187,326):{'3_1':0.0},(187,325):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(187,323):{'3_1':0.03,'4_1':0.0},(187,322):{'3_1':0.03,'4_1':0.0},(187,321):{'3_1':0.03,'4_1':0.0},(187,320):{'3_1':0.0,'4_1':0.0},(187,319):{'3_1':0.03,'6_1':0.0},(187,318):{'3_1':0.03},(187,317):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(187,316):{'3_1':0.06,'5_1':0.0},(187,315):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(187,314):{'3_1':0.06,'4_1':0.0},(187,313):{'3_1':0.0,'4_1':0.0},(187,312):{'3_1':0.0},(187,311):{'3_1':0.03},(187,310):{'3_1':0.06,'4_1':0.0},(187,309):{'3_1':0.03,'4_1':0.0},(187,308):{'3_1':0.06,'5_1':0.0},(187,307):{'3_1':0.03},(187,306):{'3_1':0.03},(187,305):{'3_1':0.03},(187,304):{'3_1':0.0},(187,303):{'3_1':0.0,'4_1':0.0},(187,302):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(187,301):{'3_1':0.03},(187,300):{'3_1':0.06},(187,299):{'3_1':0.06},(187,298):{'3_1':0.06,'4_1':0.0},(187,297):{'3_1':0.03,'5_1':0.0},(187,296):{'3_1':0.03,'5_1':0.0},(187,295):{'3_1':0.0},(187,294):{'3_1':0.0,'5_2':0.0},(187,293):{'3_1':0.03,'5_1':0.0},(187,292):{'3_1':0.06,'5_2':0.0},(187,291):{'3_1':0.0},(187,290):{'3_1':0.06},(187,289):{'3_1':0.03},(187,288):{'3_1':0.06,'4_1':0.0},(187,287):{'3_1':0.03,'4_1':0.0},(187,286):{'3_1':0.03},(187,285):{'3_1':0.03},(187,284):{'3_1':0.0},(187,283):{'3_1':0.03},(187,282):{'3_1':0.0},(187,281):{'3_1':0.0},(187,280):{'3_1':0.03,'4_1':0.0},(187,279):{'3_1':0.0,'4_1':0.0},(187,277):{'3_1':0.0},(187,276):{'3_1':0.0},(187,274):{'3_1':0.06},(187,273):{'3_1':0.03},(187,272):{'3_1':0.0},(187,271):{'3_1':0.0},(187,270):{'3_1':0.0},(187,268):{'3_1':0.0,'5_1':0.0},(187,267):{'3_1':0.0},(187,265):{'3_1':0.0,'4_1':0.0},(187,264):{'3_1':0.0,'4_1':0.0},(187,263):{'3_1':0.0},(187,261):{'3_1':0.0},(187,260):{'3_1':0.0},(187,259):{'3_1':0.0},(187,258):{'3_1':0.0},(187,257):{'3_1':0.0},(187,256):{'3_1':0.0},(187,255):{'3_1':0.0},(187,254):{'3_1':0.0},(187,253):{'3_1':0.0,'4_1':0.0},(187,252):{'3_1':0.0},(187,251):{'3_1':0.0},(187,249):{'3_1':0.0},(187,248):{'3_1':0.0},(187,247):{'3_1':0.0},(187,246):{'3_1':0.0},(187,245):{'3_1':0.0},(187,243):{'3_1':0.0},(187,240):{'3_1':0.0},(187,238):{'3_1':0.0},(187,236):{'3_1':0.0},(187,235):{'3_1':0.0},(187,233):{'3_1':0.0},(187,232):{'3_1':0.0},(187,230):{'3_1':0.0},(187,228):{'3_1':0.0},(187,227):{'3_1':0.0},(187,225):{'3_1':0.0},(187,221):{'4_1':0.0},(187,207):{'3_1':0.0},(187,201):{'3_1':0.0},(188,459):{'3_1':0.03,'4_1':0.0},(188,458):{'3_1':0.0},(188,457):{'3_1':0.0,'4_1':0.0},(188,456):{'3_1':0.0,'4_1':0.0},(188,455):{'3_1':0.03},(188,454):{'3_1':0.0,'4_1':0.0},(188,453):{'3_1':0.0},(188,452):{'4_1':0.0},(188,451):{'3_1':0.0},(188,450):{'3_1':0.0},(188,449):{'3_1':0.0,'4_1':0.0},(188,448):{'3_1':0.0},(188,447):{'3_1':0.03,'4_1':0.0},(188,446):{'4_1':0.0},(188,445):{'3_1':0.0},(188,444):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(188,443):{'3_1':0.0},(188,442):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(188,441):{'4_1':0.0,'6_1':0.0},(188,440):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(188,439):{'4_1':0.0,'3_1':0.0},(188,438):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(188,437):{'3_1':0.0,'4_1':0.0},(188,436):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(188,435):{'3_1':0.0,'4_1':0.0},(188,434):{'3_1':0.0,'4_1':0.0},(188,433):{'3_1':0.0,'4_1':0.0},(188,432):{'3_1':0.03,'8_21|3_1#4_1':0.0},(188,431):{'3_1':0.0,'4_1':0.0},(188,430):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(188,429):{'3_1':0.03,'6_1':0.0},(188,428):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(188,427):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(188,426):{'4_1':0.0,'3_1':0.0},(188,425):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(188,424):{'4_1':0.03,'3_1':0.03,'6_2':0.0},(188,423):{'4_1':0.0,'3_1':0.0},(188,422):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(188,421):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(188,420):{'4_1':0.03,'3_1':0.0},(188,419):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(188,418):{'4_1':0.06,'3_1':0.0,'5_2':0.0},(188,417):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(188,416):{'3_1':0.03,'4_1':0.0},(188,415):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0},(188,414):{'4_1':0.06,'3_1':0.0,'6_1':0.0},(188,413):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(188,412):{'4_1':0.06,'3_1':0.03,'6_1':0.0},(188,411):{'4_1':0.09,'5_2':0.0},(188,410):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'8_6':0.0},(188,409):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(188,408):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(188,407):{'4_1':0.06,'3_1':0.03,'8_21|3_1#4_1':0.0},(188,406):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(188,405):{'3_1':0.0,'6_1':0.0},(188,404):{'3_1':0.0},(188,403):{'3_1':0.0,'4_1':0.0},(188,402):{'3_1':0.0,'4_1':0.0},(188,401):{'3_1':0.03},(188,400):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(188,399):{'3_1':0.03},(188,398):{'3_1':0.0},(188,397):{'4_1':0.06,'3_1':0.03,'6_1':0.0},(188,396):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(188,395):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'6_1':0.0},(188,394):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(188,393):{'3_1':0.09,'4_1':0.03},(188,392):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(188,391):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(188,390):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(188,389):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(188,388):{'3_1':0.06,'4_1':0.03,'8_21|3_1#4_1':0.0},(188,387):{'4_1':0.03,'3_1':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(188,386):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'7_6':0.0},(188,385):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(188,384):{'3_1':0.06,'4_1':0.0},(188,383):{'4_1':0.03,'3_1':0.03,'7_6':0.0,'8_21|3_1#4_1':0.0},(188,382):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(188,381):{'3_1':0.03,'4_1':0.03,'6_3':0.0,'5_2':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(188,380):{'3_1':0.06,'6_1':0.0,'6_2':0.0},(188,379):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0},(188,378):{'3_1':0.12,'4_1':0.03,'7_5':0.0},(188,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(188,376):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(188,375):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(188,374):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(188,373):{'3_1':0.06,'4_1':0.03},(188,372):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(188,371):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(188,370):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(188,369):{'3_1':0.03,'4_1':0.0},(188,368):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(188,367):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(188,366):{'3_1':0.03,'4_1':0.0,'-3':0.0},(188,365):{'3_1':0.06,'4_1':0.0},(188,364):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(188,363):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(188,362):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(188,361):{'3_1':0.06,'4_1':0.0},(188,360):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(188,359):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(188,358):{'3_1':0.03,'4_1':0.0},(188,357):{'3_1':0.12,'4_1':0.0},(188,356):{'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(188,355):{'3_1':0.09,'5_2':0.0},(188,354):{'3_1':0.0,'5_1':0.0},(188,353):{'3_1':0.03,'6_1':0.0},(188,352):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(188,351):{'3_1':0.03},(188,350):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(188,349):{'3_1':0.06},(188,348):{'3_1':0.03},(188,347):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(188,346):{'3_1':0.06},(188,345):{'3_1':0.06,'4_1':0.0},(188,344):{'3_1':0.06},(188,343):{'3_1':0.03},(188,342):{'3_1':0.06,'5_2':0.0},(188,341):{'3_1':0.03,'6_2':0.0},(188,340):{'3_1':0.09,'5_2':0.0},(188,339):{'3_1':0.03,'5_2':0.0},(188,338):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(188,337):{'3_1':0.06},(188,336):{'3_1':0.12,'6_2':0.0},(188,335):{'3_1':0.09},(188,334):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(188,333):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(188,332):{'3_1':0.09,'4_1':0.0},(188,331):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(188,330):{'3_1':0.12,'4_1':0.0},(188,329):{'3_1':0.06},(188,328):{'3_1':0.09,'5_2':0.0},(188,327):{'3_1':0.03},(188,326):{'3_1':0.06,'4_1':0.0},(188,325):{'3_1':0.06,'5_2':0.0},(188,324):{'3_1':0.03},(188,323):{'3_1':0.03},(188,322):{'3_1':0.0},(188,321):{'3_1':0.06},(188,320):{'3_1':0.0},(188,319):{'3_1':0.06,'5_2':0.0},(188,318):{'3_1':0.06},(188,317):{'3_1':0.03},(188,316):{'3_1':0.06,'5_1':0.0},(188,315):{'3_1':0.06},(188,314):{'3_1':0.03},(188,313):{'3_1':0.06,'4_1':0.0},(188,312):{'3_1':0.03},(188,311):{'3_1':0.03,'5_1':0.0},(188,310):{'3_1':0.06},(188,309):{'3_1':0.06},(188,308):{'3_1':0.03},(188,307):{'3_1':0.0},(188,306):{'3_1':0.0},(188,305):{'3_1':0.0,'5_1':0.0},(188,304):{'3_1':0.03},(188,303):{'3_1':0.0},(188,302):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(188,301):{'3_1':0.03},(188,300):{'3_1':0.03,'5_2':0.0},(188,299):{'3_1':0.0,'5_1':0.0},(188,298):{'3_1':0.0,'5_1':0.0},(188,297):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(188,296):{'3_1':0.0},(188,295):{'3_1':0.09},(188,294):{'3_1':0.03},(188,293):{'3_1':0.03,'4_1':0.0},(188,292):{'3_1':0.03},(188,291):{'3_1':0.0},(188,290):{'3_1':0.0},(188,289):{'3_1':0.0},(188,288):{'3_1':0.03,'4_1':0.0},(188,287):{'3_1':0.0},(188,286):{'3_1':0.0},(188,285):{'3_1':0.0},(188,284):{'3_1':0.0},(188,282):{'3_1':0.0},(188,281):{'3_1':0.03},(188,280):{'3_1':0.0},(188,279):{'3_1':0.0},(188,278):{'3_1':0.0},(188,277):{'3_1':0.0},(188,276):{'3_1':0.03},(188,275):{'3_1':0.0},(188,274):{'3_1':0.0},(188,273):{'3_1':0.0},(188,271):{'3_1':0.0,'4_1':0.0},(188,270):{'3_1':0.0},(188,269):{'3_1':0.0},(188,267):{'3_1':0.0},(188,266):{'3_1':0.0},(188,265):{'3_1':0.0},(188,264):{'3_1':0.0,'4_1':0.0},(188,263):{'3_1':0.0},(188,261):{'3_1':0.0},(188,260):{'3_1':0.0,'4_1':0.0},(188,259):{'3_1':0.0},(188,258):{'3_1':0.0},(188,257):{'3_1':0.0},(188,255):{'3_1':0.0,'4_1':0.0},(188,254):{'3_1':0.0},(188,252):{'3_1':0.0},(188,250):{'3_1':0.0},(188,249):{'3_1':0.0},(188,248):{'3_1':0.0},(188,247):{'3_1':0.0},(188,246):{'3_1':0.0},(188,240):{'3_1':0.0},(188,231):{'3_1':0.0},(188,224):{'4_1':0.0},(188,222):{'3_1':0.0},(188,219):{'4_1':0.0},(189,459):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(189,458):{'4_1':0.03,'3_1':0.0},(189,457):{'4_1':0.0,'3_1':0.0},(189,456):{'3_1':0.0,'4_1':0.0},(189,455):{'3_1':0.0,'4_1':0.0},(189,454):{'4_1':0.06,'3_1':0.0},(189,453):{'3_1':0.0,'4_1':0.0},(189,452):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(189,451):{'3_1':0.0,'4_1':0.0},(189,450):{'3_1':0.03,'4_1':0.03},(189,449):{'3_1':0.0,'4_1':0.0},(189,448):{'4_1':0.0},(189,447):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(189,446):{'4_1':0.06,'5_2':0.0,'3_1':0.0},(189,445):{'4_1':0.03,'3_1':0.0},(189,444):{'3_1':0.0,'4_1':0.0},(189,443):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(189,442):{'4_1':0.0},(189,441):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(189,440):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_3':0.0},(189,439):{'3_1':0.0,'4_1':0.0},(189,438):{'4_1':0.03,'5_2':0.0},(189,437):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(189,436):{'4_1':0.03,'5_2':0.0},(189,435):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(189,434):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(189,433):{'4_1':0.03,'3_1':0.0},(189,432):{'4_1':0.03,'5_2':0.0,'3_1':0.0},(189,431):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(189,430):{'4_1':0.06,'3_1':0.0},(189,429):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(189,428):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(189,427):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(189,426):{'4_1':0.0,'5_2':0.0},(189,425):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_2':0.0},(189,424):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(189,423):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0},(189,422):{'4_1':0.03,'3_1':0.0,'6_1':0.0,'8_10':0.0},(189,421):{'4_1':0.06,'3_1':0.0,'6_2':0.0},(189,420):{'4_1':0.03,'5_2':0.0,'3_1':0.0,'7_2':0.0},(189,419):{'4_1':0.06,'3_1':0.0},(189,418):{'4_1':0.03,'3_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(189,417):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'6_1':0.0},(189,416):{'4_1':0.03,'5_2':0.0,'6_1':0.0,'3_1':0.0,'7_6':0.0,'8_12':0.0},(189,415):{'4_1':0.09,'8_21|3_1#4_1':0.0,'5_2':0.0},(189,414):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(189,413):{'4_1':0.03,'8_21|3_1#4_1':0.0,'6_1':0.0},(189,412):{'4_1':0.03,'3_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_14':0.0},(189,411):{'4_1':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0},(189,410):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(189,409):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0},(189,408):{'4_1':0.06,'3_1':0.0},(189,407):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(189,406):{'4_1':0.03,'3_1':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0},(189,405):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0},(189,404):{'4_1':0.03,'3_1':0.0,'8_12':0.0},(189,403):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(189,402):{'4_1':0.0,'3_1':0.0},(189,401):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(189,400):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(189,399):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(189,398):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(189,397):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(189,396):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(189,395):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(189,394):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(189,393):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(189,392):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(189,391):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(189,390):{'3_1':0.09,'4_1':0.0},(189,389):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(189,388):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(189,387):{'3_1':0.06,'4_1':0.06,'6_1':0.0},(189,386):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0},(189,385):{'3_1':0.03,'4_1':0.0},(189,384):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0},(189,383):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(189,382):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'-3':0.0},(189,381):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(189,380):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(189,379):{'3_1':0.06,'4_1':0.03,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(189,378):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0,'-3':0.0},(189,377):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'-3':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(189,376):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(189,375):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(189,374):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(189,373):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(189,372):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(189,371):{'5_2':0.03,'3_1':0.0,'4_1':0.0},(189,370):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,369):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,368):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'-3':0.0},(189,367):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(189,366):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(189,365):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(189,364):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(189,363):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(189,362):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(189,361):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'7_6':0.0},(189,360):{'5_2':0.0,'3_1':0.0},(189,359):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(189,358):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(189,357):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(189,356):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(189,355):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(189,354):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(189,353):{'3_1':0.06,'5_2':0.0},(189,352):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(189,351):{'3_1':0.06,'5_1':0.0},(189,350):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(189,349):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(189,348):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(189,347):{'3_1':0.06,'5_1':0.0},(189,346):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(189,345):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(189,344):{'3_1':0.06,'5_1':0.0},(189,343):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(189,342):{'3_1':0.06,'5_2':0.0},(189,341):{'3_1':0.12,'5_1':0.0},(189,340):{'3_1':0.12},(189,339):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(189,338):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(189,337):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(189,336):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(189,335):{'3_1':0.15,'5_1':0.0},(189,334):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(189,333):{'3_1':0.09,'5_2':0.0},(189,332):{'3_1':0.12,'6_3':0.0,'7_1':0.0},(189,331):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(189,330):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(189,329):{'3_1':0.09},(189,328):{'3_1':0.09,'5_1':0.0},(189,327):{'3_1':0.03},(189,326):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(189,325):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(189,324):{'3_1':0.12,'4_1':0.0},(189,323):{'3_1':0.09,'5_1':0.0},(189,322):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(189,321):{'3_1':0.06,'4_1':0.0},(189,320):{'3_1':0.09},(189,319):{'3_1':0.06},(189,318):{'3_1':0.06,'5_1':0.0},(189,317):{'3_1':0.06,'4_1':0.0},(189,316):{'3_1':0.06},(189,315):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0},(189,314):{'3_1':0.03,'4_1':0.0},(189,313):{'3_1':0.03,'4_1':0.0},(189,312):{'3_1':0.06},(189,311):{'3_1':0.09,'5_1':0.0},(189,310):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_4':0.0},(189,309):{'3_1':0.03},(189,308):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(189,307):{'3_1':0.06},(189,306):{'3_1':0.0,'4_1':0.0},(189,305):{'3_1':0.03},(189,304):{'3_1':0.0},(189,303):{'3_1':0.0},(189,302):{'3_1':0.03,'4_1':0.0},(189,301):{'3_1':0.03,'4_1':0.0},(189,300):{'3_1':0.06,'5_1':0.0},(189,299):{'3_1':0.03,'4_1':0.0},(189,298):{'3_1':0.06,'8_19':0.0},(189,297):{'3_1':0.0},(189,296):{'3_1':0.03,'5_1':0.0},(189,295):{'3_1':0.0},(189,294):{'3_1':0.0},(189,293):{'3_1':0.03},(189,292):{'3_1':0.0,'5_2':0.0},(189,291):{'3_1':0.0,'5_1':0.0},(189,290):{'3_1':0.03,'4_1':0.0},(189,289):{'3_1':0.0},(189,288):{'3_1':0.0},(189,287):{'3_1':0.0},(189,286):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(189,285):{'3_1':0.0},(189,284):{'3_1':0.0},(189,283):{'3_1':0.0},(189,282):{'3_1':0.0,'4_1':0.0},(189,281):{'3_1':0.0},(189,280):{'4_1':0.0,'3_1':0.0},(189,279):{'3_1':0.0,'4_1':0.0},(189,278):{'4_1':0.0},(189,277):{'3_1':0.0},(189,275):{'3_1':0.0,'4_1':0.0},(189,273):{'3_1':0.0},(189,272):{'3_1':0.0},(189,271):{'3_1':0.0},(189,270):{'3_1':0.0},(189,269):{'3_1':0.0},(189,268):{'3_1':0.0},(189,267):{'3_1':0.0,'4_1':0.0},(189,266):{'3_1':0.0},(189,265):{'3_1':0.0},(189,264):{'3_1':0.03},(189,263):{'3_1':0.0},(189,262):{'3_1':0.03,'4_1':0.0},(189,261):{'3_1':0.0},(189,260):{'3_1':0.0,'4_1':0.0},(189,259):{'3_1':0.0},(189,257):{'3_1':0.03},(189,256):{'3_1':0.0,'4_1':0.0},(189,254):{'3_1':0.0},(189,253):{'3_1':0.0},(189,252):{'3_1':0.0},(189,250):{'3_1':0.0},(189,249):{'3_1':0.03},(189,248):{'3_1':0.0},(189,246):{'3_1':0.0},(189,242):{'3_1':0.0},(189,238):{'3_1':0.0},(189,235):{'4_1':0.0},(189,234):{'3_1':0.0},(189,224):{'3_1':0.0},(189,218):{'4_1':0.0},(190,459):{'3_1':0.0},(190,458):{'3_1':0.03},(190,457):{'3_1':0.0},(190,456):{'3_1':0.0},(190,455):{'3_1':0.0,'4_1':0.0},(190,454):{'3_1':0.0},(190,453):{'3_1':0.03},(190,452):{'3_1':0.0},(190,451):{'3_1':0.0},(190,450):{'4_1':0.0},(190,448):{'3_1':0.0},(190,447):{'3_1':0.03,'5_2':0.0},(190,446):{'3_1':0.0},(190,445):{'3_1':0.0,'4_1':0.0},(190,444):{'3_1':0.0},(190,443):{'3_1':0.0},(190,442):{'3_1':0.0,'4_1':0.0},(190,441):{'4_1':0.03,'3_1':0.0},(190,440):{'3_1':0.0,'4_1':0.0},(190,439):{'3_1':0.0},(190,438):{'3_1':0.0,'4_1':0.0},(190,437):{'3_1':0.03,'7_7':0.0},(190,436):{'3_1':0.0},(190,435):{'3_1':0.0,'4_1':0.0},(190,434):{'3_1':0.0,'4_1':0.0},(190,433):{'3_1':0.0},(190,432):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(190,431):{'3_1':0.0},(190,430):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(190,429):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(190,428):{'3_1':0.0,'4_1':0.0},(190,427):{'3_1':0.0,'4_1':0.0},(190,426):{'4_1':0.0,'3_1':0.0,'8_21|3_1#4_1':0.0},(190,425):{'4_1':0.0,'3_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(190,424):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(190,423):{'3_1':0.0,'4_1':0.0},(190,422):{'4_1':0.03,'3_1':0.0},(190,421):{'4_1':0.03,'3_1':0.0},(190,420):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(190,419):{'3_1':0.03,'4_1':0.0},(190,418):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(190,417):{'3_1':0.0,'4_1':0.0},(190,416):{'4_1':0.06,'3_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(190,415):{'3_1':0.03,'4_1':0.03},(190,414):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'7_6':0.0},(190,413):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(190,412):{'4_1':0.03,'3_1':0.03,'6_3':0.0},(190,411):{'4_1':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0},(190,410):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(190,409):{'4_1':0.03,'3_1':0.0},(190,408):{'3_1':0.0,'4_1':0.0},(190,407):{'3_1':0.0,'4_1':0.0},(190,406):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(190,405):{'3_1':0.0,'4_1':0.0},(190,404):{'3_1':0.03},(190,403):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(190,402):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(190,401):{'3_1':0.03,'4_1':0.0},(190,400):{'3_1':0.03,'4_1':0.0},(190,399):{'3_1':0.03,'8_20|3_1#3_1':0.0},(190,398):{'3_1':0.06,'4_1':0.0},(190,397):{'3_1':0.03},(190,396):{'3_1':0.06,'4_1':0.0},(190,395):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(190,394):{'3_1':0.03,'4_1':0.0},(190,393):{'3_1':0.06,'4_1':0.0},(190,392):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_3':0.0},(190,391):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'6_2':0.0},(190,390):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(190,389):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_6':0.0},(190,388):{'3_1':0.06,'4_1':0.0},(190,387):{'4_1':0.03,'3_1':0.03,'6_2':0.0,'6_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(190,386):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(190,385):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(190,384):{'3_1':0.03,'4_1':0.03},(190,383):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(190,382):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(190,381):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(190,380):{'3_1':0.03,'4_1':0.03,'8_11':0.0},(190,379):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(190,378):{'3_1':0.03,'4_1':0.03},(190,377):{'3_1':0.12,'4_1':0.0},(190,376):{'4_1':0.06,'3_1':0.06,'8_14':0.0},(190,375):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(190,374):{'3_1':0.03,'4_1':0.0},(190,373):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(190,372):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(190,371):{'3_1':0.06,'5_2':0.0},(190,370):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(190,369):{'3_1':0.06,'4_1':0.0},(190,368):{'3_1':0.06,'4_1':0.0},(190,367):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(190,366):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(190,365):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(190,364):{'3_1':0.03,'5_1':0.0},(190,363):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(190,362):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(190,361):{'3_1':0.03,'4_1':0.0},(190,360):{'3_1':0.06},(190,359):{'3_1':0.0},(190,358):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(190,357):{'3_1':0.0,'4_1':0.0},(190,356):{'3_1':0.03},(190,355):{'3_1':0.06,'4_1':0.0},(190,354):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(190,353):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(190,352):{'3_1':0.06},(190,351):{'3_1':0.06,'4_1':0.0},(190,350):{'3_1':0.03},(190,349):{'3_1':0.03},(190,348):{'3_1':0.03},(190,347):{'3_1':0.0},(190,346):{'3_1':0.03},(190,345):{'3_1':0.06},(190,344):{'3_1':0.03},(190,343):{'3_1':0.0,'4_1':0.0},(190,342):{'3_1':0.06},(190,341):{'3_1':0.0},(190,340):{'3_1':0.06,'4_1':0.0},(190,339):{'3_1':0.09,'4_1':0.0},(190,338):{'3_1':0.0,'5_2':0.0},(190,337):{'3_1':0.09,'6_2':0.0},(190,336):{'3_1':0.0,'4_1':0.0},(190,335):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(190,334):{'3_1':0.06,'5_2':0.0},(190,333):{'3_1':0.06,'4_1':0.0},(190,332):{'3_1':0.03,'5_2':0.0},(190,331):{'3_1':0.06},(190,330):{'3_1':0.03,'4_1':0.0},(190,329):{'3_1':0.03},(190,328):{'3_1':0.03},(190,327):{'3_1':0.03},(190,326):{'3_1':0.0},(190,325):{'3_1':0.0},(190,324):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(190,323):{'3_1':0.0},(190,322):{'3_1':0.0},(190,321):{'3_1':0.0,'4_1':0.0},(190,320):{'3_1':0.0},(190,319):{'3_1':0.03,'4_1':0.0},(190,318):{'3_1':0.03},(190,317):{'3_1':0.0,'6_1':0.0},(190,316):{'3_1':0.0},(190,315):{'3_1':0.03},(190,314):{'3_1':0.03},(190,313):{'3_1':0.0},(190,312):{'3_1':0.03},(190,311):{'3_1':0.0},(190,310):{'3_1':0.03,'5_2':0.0},(190,309):{'3_1':0.0},(190,308):{'3_1':0.03},(190,307):{'3_1':0.03},(190,306):{'3_1':0.03},(190,305):{'3_1':0.03},(190,304):{'3_1':0.0},(190,303):{'3_1':0.0,'4_1':0.0},(190,302):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(190,301):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(190,300):{'3_1':0.0},(190,299):{'3_1':0.03},(190,298):{'3_1':0.0},(190,297):{'3_1':0.0},(190,296):{'5_1':0.0,'3_1':0.0},(190,295):{'3_1':0.03},(190,294):{'4_1':0.0},(190,293):{'3_1':0.0},(190,292):{'3_1':0.0},(190,291):{'3_1':0.03},(190,290):{'3_1':0.0},(190,288):{'3_1':0.0,'4_1':0.0},(190,287):{'3_1':0.0,'4_1':0.0},(190,284):{'3_1':0.0},(190,283):{'3_1':0.0},(190,282):{'3_1':0.0},(190,280):{'4_1':0.0},(190,279):{'4_1':0.0},(190,278):{'4_1':0.0},(190,276):{'3_1':0.0},(190,275):{'3_1':0.0},(190,269):{'3_1':0.0},(190,267):{'3_1':0.0},(190,262):{'3_1':0.0},(190,261):{'3_1':0.0},(190,260):{'3_1':0.0},(190,259):{'3_1':0.0},(190,257):{'3_1':0.0},(190,256):{'3_1':0.0},(190,255):{'3_1':0.0},(190,254):{'3_1':0.0},(190,253):{'3_1':0.0},(190,252):{'4_1':0.0},(190,249):{'3_1':0.0},(190,246):{'3_1':0.0},(190,242):{'3_1':0.0},(190,241):{'3_1':0.0},(190,240):{'3_1':0.0},(190,231):{'3_1':0.0},(190,225):{'3_1':0.0},(190,207):{'3_1':0.0},(191,459):{'3_1':0.0},(191,458):{'3_1':0.0},(191,457):{'3_1':0.03,'4_1':0.0},(191,456):{'3_1':0.0,'4_1':0.0},(191,455):{'3_1':0.09},(191,454):{'3_1':0.03},(191,453):{'3_1':0.0},(191,452):{'3_1':0.0},(191,451):{'3_1':0.0,'5_1':0.0},(191,450):{'3_1':0.0},(191,449):{'3_1':0.0,'4_1':0.0},(191,448):{'3_1':0.0},(191,447):{'3_1':0.06,'6_1':0.0},(191,446):{'3_1':0.0},(191,445):{'3_1':0.03,'4_1':0.0},(191,444):{'3_1':0.0,'4_1':0.0},(191,443):{'3_1':0.0,'4_1':0.0},(191,442):{'3_1':0.03,'4_1':0.0},(191,441):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(191,440):{'3_1':0.0,'4_1':0.0},(191,439):{'3_1':0.06,'4_1':0.0},(191,438):{'3_1':0.03,'4_1':0.0},(191,437):{'3_1':0.06},(191,436):{'3_1':0.0,'4_1':0.0},(191,435):{'3_1':0.03,'4_1':0.0},(191,434):{'3_1':0.06},(191,433):{'3_1':0.03},(191,432):{'3_1':0.03,'4_1':0.0},(191,431):{'3_1':0.03,'4_1':0.0},(191,430):{'3_1':0.0,'4_1':0.0},(191,429):{'3_1':0.03,'4_1':0.0},(191,428):{'3_1':0.03,'6_3':0.0},(191,427):{'3_1':0.0,'4_1':0.0},(191,426):{'3_1':0.03,'4_1':0.0},(191,425):{'3_1':0.03,'4_1':0.0},(191,424):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(191,423):{'3_1':0.06,'4_1':0.0},(191,422):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(191,421):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(191,420):{'3_1':0.0,'4_1':0.0,'-3':0.0},(191,419):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(191,418):{'3_1':0.03,'4_1':0.03},(191,417):{'3_1':0.09,'4_1':0.03,'-3':0.0},(191,416):{'3_1':0.03,'4_1':0.0},(191,415):{'3_1':0.03,'5_2':0.0},(191,414):{'4_1':0.03,'3_1':0.03,'6_1':0.0,'6_3':0.0},(191,413):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(191,412):{'3_1':0.03,'4_1':0.0},(191,411):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(191,410):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(191,409):{'3_1':0.03,'4_1':0.0},(191,408):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(191,407):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(191,406):{'3_1':0.03,'4_1':0.0},(191,405):{'3_1':0.06,'4_1':0.0},(191,404):{'3_1':0.03},(191,403):{'3_1':0.09,'4_1':0.0},(191,402):{'3_1':0.03},(191,401):{'3_1':0.06},(191,400):{'3_1':0.03,'4_1':0.0},(191,399):{'3_1':0.06},(191,398):{'3_1':0.03},(191,397):{'3_1':0.06,'4_1':0.0},(191,396):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(191,395):{'3_1':0.12,'4_1':0.0},(191,394):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(191,393):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(191,392):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(191,391):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(191,390):{'3_1':0.15,'4_1':0.0},(191,389):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(191,388):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(191,387):{'3_1':0.09,'4_1':0.0},(191,386):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(191,385):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(191,384):{'3_1':0.03,'4_1':0.03},(191,383):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(191,382):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(191,381):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(191,380):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(191,379):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(191,378):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(191,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(191,376):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(191,375):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(191,374):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(191,373):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(191,372):{'3_1':0.03},(191,371):{'3_1':0.06},(191,370):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(191,369):{'3_1':0.09,'5_2':0.0},(191,368):{'3_1':0.03},(191,367):{'3_1':0.06,'5_2':0.0},(191,366):{'3_1':0.06,'5_1':0.0},(191,365):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(191,364):{'3_1':0.09,'5_2':0.0},(191,363):{'3_1':0.0},(191,362):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(191,361):{'3_1':0.03},(191,360):{'3_1':0.03,'5_2':0.0},(191,359):{'3_1':0.0},(191,358):{'3_1':0.03},(191,357):{'3_1':0.03,'4_1':0.0},(191,356):{'3_1':0.03},(191,355):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(191,354):{'3_1':0.06},(191,353):{'3_1':0.06,'4_1':0.0},(191,352):{'3_1':0.0},(191,351):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(191,350):{'3_1':0.03},(191,349):{'3_1':0.0,'4_1':0.0},(191,348):{'3_1':0.09},(191,347):{'3_1':0.0,'5_2':0.0},(191,346):{'3_1':0.0},(191,344):{'3_1':0.03},(191,343):{'3_1':0.03,'4_1':0.0},(191,342):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(191,341):{'3_1':0.03},(191,340):{'3_1':0.06,'4_1':0.0},(191,339):{'3_1':0.0,'4_1':0.0},(191,338):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(191,337):{'3_1':0.06},(191,336):{'3_1':0.12,'4_1':0.0},(191,335):{'3_1':0.06,'4_1':0.0},(191,334):{'3_1':0.06,'4_1':0.0},(191,333):{'3_1':0.0,'4_1':0.0},(191,332):{'3_1':0.09,'4_1':0.0},(191,331):{'3_1':0.03,'4_1':0.0},(191,330):{'3_1':0.03},(191,329):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(191,328):{'3_1':0.03,'5_2':0.0},(191,327):{'3_1':0.0},(191,326):{'3_1':0.03,'4_1':0.0},(191,325):{'3_1':0.0,'4_1':0.0},(191,324):{'3_1':0.0},(191,323):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(191,322):{'3_1':0.0,'4_1':0.0},(191,321):{'3_1':0.0},(191,320):{'3_1':0.0,'4_1':0.0},(191,318):{'3_1':0.03,'4_1':0.0},(191,317):{'3_1':0.0},(191,316):{'3_1':0.0},(191,315):{'3_1':0.0,'5_1':0.0},(191,314):{'3_1':0.03,'4_1':0.0},(191,313):{'3_1':0.0},(191,312):{'3_1':0.0,'4_1':0.0},(191,311):{'3_1':0.03},(191,310):{'3_1':0.0},(191,309):{'3_1':0.0},(191,308):{'3_1':0.03},(191,307):{'3_1':0.0},(191,306):{'3_1':0.0},(191,305):{'3_1':0.03},(191,304):{'3_1':0.03},(191,303):{'4_1':0.0},(191,302):{'4_1':0.0,'3_1':0.0},(191,301):{'3_1':0.0},(191,300):{'3_1':0.06,'5_1':0.0},(191,299):{'3_1':0.09,'5_1':0.0},(191,298):{'3_1':0.06},(191,297):{'3_1':0.03},(191,296):{'3_1':0.03},(191,295):{'3_1':0.0},(191,294):{'3_1':0.0,'4_1':0.0},(191,293):{'3_1':0.0},(191,292):{'3_1':0.0,'6_3':0.0},(191,291):{'3_1':0.0,'4_1':0.0},(191,290):{'3_1':0.0},(191,289):{'3_1':0.0,'4_1':0.0},(191,288):{'4_1':0.0},(191,287):{'3_1':0.0},(191,286):{'3_1':0.0},(191,285):{'3_1':0.0,'4_1':0.0},(191,276):{'3_1':0.0},(191,275):{'3_1':0.0},(191,274):{'3_1':0.0},(191,273):{'3_1':0.0},(191,271):{'3_1':0.0},(191,270):{'3_1':0.0},(191,269):{'3_1':0.0},(191,268):{'3_1':0.0},(191,267):{'3_1':0.03},(191,266):{'3_1':0.0},(191,265):{'3_1':0.0},(191,264):{'3_1':0.0},(191,262):{'3_1':0.0,'4_1':0.0},(191,261):{'3_1':0.0,'4_1':0.0},(191,260):{'5_1':0.0},(191,258):{'3_1':0.0},(191,257):{'4_1':0.0},(191,256):{'3_1':0.0,'4_1':0.0},(191,254):{'3_1':0.0},(191,253):{'3_1':0.0},(191,234):{'3_1':0.0},(192,459):{'3_1':0.09},(192,458):{'3_1':0.06},(192,457):{'3_1':0.06},(192,456):{'3_1':0.06,'4_1':0.0},(192,455):{'3_1':0.03},(192,454):{'3_1':0.06,'5_1':0.0},(192,453):{'3_1':0.0},(192,452):{'3_1':0.03},(192,451):{'3_1':0.03},(192,450):{'3_1':0.09,'5_1':0.0},(192,449):{'3_1':0.0,'4_1':0.0},(192,448):{'3_1':0.06,'4_1':0.0},(192,447):{'3_1':0.09},(192,446):{'3_1':0.03},(192,445):{'3_1':0.06},(192,444):{'3_1':0.06},(192,443):{'3_1':0.0,'4_1':0.0},(192,442):{'3_1':0.06,'4_1':0.0},(192,441):{'3_1':0.06,'4_1':0.0},(192,440):{'3_1':0.06,'4_1':0.0},(192,439):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(192,438):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(192,437):{'3_1':0.09,'4_1':0.0},(192,436):{'3_1':0.06,'5_1':0.0},(192,435):{'3_1':0.06,'6_1':0.0},(192,434):{'3_1':0.06,'4_1':0.03},(192,433):{'3_1':0.06,'5_2':0.0},(192,432):{'3_1':0.03},(192,431):{'3_1':0.03},(192,430):{'3_1':0.03,'4_1':0.0},(192,429):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(192,428):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(192,427):{'3_1':0.12},(192,426):{'3_1':0.12,'4_1':0.0,'7_6':0.0},(192,425):{'3_1':0.03,'4_1':0.0},(192,424):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(192,423):{'3_1':0.06},(192,422):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(192,421):{'3_1':0.06,'4_1':0.0},(192,420):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(192,419):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(192,418):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(192,417):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(192,416):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(192,415):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(192,414):{'4_1':0.09,'3_1':0.03},(192,413):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(192,412):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(192,411):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(192,410):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(192,409):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(192,408):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_7':0.0},(192,407):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(192,406):{'3_1':0.09},(192,405):{'3_1':0.06,'4_1':0.0},(192,404):{'3_1':0.06,'4_1':0.0},(192,403):{'3_1':0.06,'4_1':0.0},(192,402):{'3_1':0.06,'6_3':0.0},(192,401):{'3_1':0.03},(192,400):{'3_1':0.06,'5_2':0.0},(192,399):{'3_1':0.09,'4_1':0.0},(192,398):{'3_1':0.06,'4_1':0.0},(192,397):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(192,396):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(192,395):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(192,394):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(192,393):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(192,392):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(192,391):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(192,390):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0,'5_2':0.0},(192,389):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_3':0.0},(192,388):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(192,387):{'3_1':0.09,'4_1':0.03},(192,386):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(192,385):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(192,384):{'3_1':0.12,'4_1':0.03},(192,383):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(192,382):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(192,381):{'3_1':0.15,'5_2':0.03,'6_2':0.0},(192,380):{'3_1':0.15,'4_1':0.0,'-3':0.0},(192,379):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(192,378):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'6_3':0.0},(192,377):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(192,376):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_6':0.0},(192,375):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(192,374):{'3_1':0.18,'5_2':0.0},(192,373):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(192,372):{'3_1':0.06},(192,371):{'3_1':0.15,'5_2':0.0},(192,370):{'3_1':0.06},(192,369):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_2':0.0},(192,368):{'3_1':0.12,'5_1':0.0},(192,367):{'3_1':0.15,'5_1':0.0},(192,366):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(192,365):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(192,364):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(192,363):{'3_1':0.12,'5_2':0.0},(192,362):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(192,361):{'3_1':0.06},(192,360):{'3_1':0.06,'4_1':0.0},(192,359):{'3_1':0.15},(192,358):{'3_1':0.09,'4_1':0.0},(192,357):{'3_1':0.09},(192,356):{'3_1':0.03,'5_1':0.0},(192,355):{'3_1':0.06,'5_1':0.0},(192,354):{'3_1':0.09,'4_1':0.0},(192,353):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(192,352):{'3_1':0.03,'5_2':0.0},(192,351):{'3_1':0.12,'5_1':0.0},(192,350):{'3_1':0.03},(192,349):{'3_1':0.03,'4_1':0.0},(192,348):{'3_1':0.03,'5_1':0.0},(192,347):{'3_1':0.03},(192,346):{'4_1':0.0},(192,345):{'3_1':0.03},(192,344):{'3_1':0.0,'4_1':0.0},(192,343):{'3_1':0.0},(192,342):{'3_1':0.09,'4_1':0.0},(192,341):{'3_1':0.0},(192,340):{'3_1':0.03,'4_1':0.0},(192,339):{'3_1':0.03,'4_1':0.0},(192,338):{'3_1':0.03,'4_1':0.0},(192,337):{'3_1':0.03,'4_1':0.0},(192,336):{'3_1':0.06,'4_1':0.0},(192,335):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(192,334):{'3_1':0.06},(192,333):{'3_1':0.06,'4_1':0.0},(192,332):{'3_1':0.0},(192,331):{'3_1':0.03,'4_1':0.0},(192,330):{'3_1':0.06,'5_2':0.0},(192,329):{'3_1':0.0,'4_1':0.0},(192,328):{'3_1':0.03},(192,327):{'3_1':0.03},(192,326):{'3_1':0.03},(192,325):{'3_1':0.0},(192,324):{'3_1':0.0},(192,323):{'3_1':0.03},(192,322):{'3_1':0.0},(192,321):{'3_1':0.0},(192,320):{'3_1':0.0},(192,319):{'3_1':0.0},(192,318):{'3_1':0.0},(192,317):{'3_1':0.09},(192,316):{'3_1':0.06},(192,315):{'3_1':0.06},(192,314):{'3_1':0.03},(192,313):{'3_1':0.03,'4_1':0.0},(192,312):{'3_1':0.03,'4_1':0.0},(192,311):{'3_1':0.03},(192,310):{'3_1':0.03,'4_1':0.0},(192,309):{'3_1':0.06},(192,308):{'3_1':0.03},(192,307):{'3_1':0.0},(192,306):{'3_1':0.0},(192,305):{'3_1':0.03},(192,304):{'3_1':0.03},(192,303):{'3_1':0.03},(192,302):{'3_1':0.0,'5_1':0.0},(192,301):{'3_1':0.03,'5_1':0.0},(192,300):{'3_1':0.03,'4_1':0.0},(192,299):{'3_1':0.0,'4_1':0.0},(192,298):{'3_1':0.0,'5_1':0.0},(192,297):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(192,296):{'3_1':0.03},(192,295):{'3_1':0.06},(192,294):{'3_1':0.0},(192,293):{'3_1':0.0},(192,292):{'3_1':0.03},(192,291):{'3_1':0.0,'4_1':0.0},(192,290):{'3_1':0.03,'4_1':0.0},(192,289):{'3_1':0.0},(192,288):{'3_1':0.0},(192,286):{'3_1':0.0},(192,283):{'3_1':0.0,'4_1':0.0},(192,282):{'3_1':0.0},(192,281):{'3_1':0.0},(192,279):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(192,278):{'3_1':0.0},(192,277):{'3_1':0.0},(192,276):{'3_1':0.0,'4_1':0.0},(192,275):{'3_1':0.0},(192,274):{'3_1':0.0},(192,270):{'3_1':0.0},(192,269):{'3_1':0.0,'4_1':0.0},(192,267):{'3_1':0.0,'4_1':0.0},(192,265):{'3_1':0.0},(192,263):{'3_1':0.0,'4_1':0.0},(192,262):{'3_1':0.0},(192,261):{'3_1':0.0,'4_1':0.0},(192,260):{'3_1':0.0},(192,259):{'3_1':0.0},(192,257):{'3_1':0.0},(192,256):{'4_1':0.0},(192,251):{'3_1':0.0},(192,236):{'3_1':0.0},(192,223):{'3_1':0.0},(193,459):{'3_1':0.12},(193,458):{'3_1':0.09},(193,457):{'3_1':0.15},(193,456):{'3_1':0.09},(193,455):{'3_1':0.12},(193,454):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(193,453):{'3_1':0.12},(193,452):{'3_1':0.09},(193,451):{'3_1':0.09},(193,450):{'3_1':0.15},(193,449):{'3_1':0.09,'4_1':0.0},(193,448):{'3_1':0.09},(193,447):{'3_1':0.12},(193,446):{'3_1':0.09},(193,445):{'3_1':0.12},(193,444):{'3_1':0.09},(193,443):{'3_1':0.15,'5_1':0.0},(193,442):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(193,441):{'3_1':0.09},(193,440):{'3_1':0.06,'4_1':0.0},(193,439):{'3_1':0.12},(193,438):{'3_1':0.15,'4_1':0.0},(193,437):{'3_1':0.09,'4_1':0.0},(193,436):{'3_1':0.09,'4_1':0.0},(193,435):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(193,434):{'3_1':0.09,'5_2':0.0,'7_4':0.0},(193,433):{'3_1':0.06,'5_2':0.0},(193,432):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(193,431):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(193,430):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(193,429):{'3_1':0.18,'4_1':0.0},(193,428):{'3_1':0.15,'4_1':0.0,'7_2':0.0},(193,427):{'3_1':0.15},(193,426):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(193,425):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(193,424):{'3_1':0.06},(193,423):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(193,422):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(193,421):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(193,420):{'3_1':0.09,'4_1':0.03},(193,419):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(193,418):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(193,417):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0},(193,416):{'3_1':0.18,'4_1':0.03},(193,415):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(193,414):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(193,413):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(193,412):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'5_1':0.0,'5_2':0.0},(193,411):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(193,410):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(193,409):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(193,408):{'3_1':0.12,'4_1':0.0,'-3':0.0},(193,407):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(193,406):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(193,405):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(193,404):{'3_1':0.15,'5_2':0.0},(193,403):{'3_1':0.12,'5_2':0.0},(193,402):{'3_1':0.15,'4_1':0.0},(193,401):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(193,400):{'3_1':0.18,'4_1':0.0},(193,399):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(193,398):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0},(193,397):{'3_1':0.12,'4_1':0.0},(193,396):{'3_1':0.21,'5_2':0.0,'6_1':0.0,'6_2':0.0},(193,395):{'3_1':0.18,'5_2':0.0},(193,394):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'7_1':0.0},(193,393):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(193,392):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(193,391):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(193,390):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_3':0.0},(193,389):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(193,388):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(193,387):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0},(193,386):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(193,385):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_1':0.0},(193,384):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(193,383):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(193,382):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(193,381):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0},(193,380):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(193,379):{'3_1':0.21,'4_1':0.0},(193,378):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_3':0.0},(193,377):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(193,376):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_5':0.0},(193,375):{'3_1':0.18,'4_1':0.0},(193,374):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(193,373):{'3_1':0.15,'4_1':0.0},(193,372):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(193,371):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(193,370):{'3_1':0.21,'5_2':0.0},(193,369):{'3_1':0.24},(193,368):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(193,367):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(193,366):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(193,365):{'3_1':0.15,'5_2':0.0,'6_2':0.0},(193,364):{'3_1':0.24,'6_1':0.0},(193,363):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(193,362):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(193,361):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(193,360):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(193,359):{'3_1':0.09},(193,358):{'3_1':0.12,'4_1':0.0},(193,357):{'3_1':0.15,'4_1':0.0},(193,356):{'3_1':0.12},(193,355):{'3_1':0.12,'5_1':0.0},(193,354):{'3_1':0.06,'4_1':0.0},(193,353):{'3_1':0.09,'4_1':0.0},(193,352):{'3_1':0.12},(193,351):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(193,350):{'3_1':0.09,'5_2':0.0},(193,349):{'3_1':0.06},(193,348):{'3_1':0.06},(193,347):{'3_1':0.06},(193,346):{'3_1':0.03,'4_1':0.0},(193,345):{'3_1':0.06,'4_1':0.0},(193,344):{'3_1':0.03,'4_1':0.0},(193,343):{'3_1':0.12},(193,342):{'3_1':0.03,'4_1':0.0},(193,341):{'3_1':0.06},(193,340):{'3_1':0.0,'4_1':0.0},(193,339):{'3_1':0.09},(193,338):{'3_1':0.03,'4_1':0.03},(193,337):{'3_1':0.09,'4_1':0.03},(193,336):{'3_1':0.03},(193,335):{'3_1':0.06,'4_1':0.0},(193,334):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(193,333):{'3_1':0.0,'4_1':0.0},(193,332):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(193,331):{'3_1':0.03,'4_1':0.0},(193,330):{'3_1':0.06,'4_1':0.0},(193,329):{'3_1':0.03,'4_1':0.0},(193,328):{'3_1':0.03,'4_1':0.0},(193,327):{'3_1':0.03},(193,326):{'3_1':0.03,'4_1':0.0},(193,325):{'3_1':0.0,'4_1':0.0},(193,324):{'3_1':0.03},(193,323):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(193,322):{'3_1':0.0,'5_2':0.0},(193,321):{'3_1':0.03,'4_1':0.0},(193,320):{'3_1':0.06},(193,319):{'3_1':0.03},(193,318):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(193,317):{'3_1':0.09,'5_2':0.0},(193,316):{'3_1':0.03,'5_2':0.0},(193,315):{'3_1':0.06,'4_1':0.0},(193,314):{'3_1':0.03,'4_1':0.0},(193,313):{'3_1':0.0,'5_2':0.0},(193,312):{'3_1':0.0,'4_1':0.0},(193,311):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(193,310):{'3_1':0.03},(193,309):{'3_1':0.0,'4_1':0.0},(193,308):{'3_1':0.0},(193,307):{'3_1':0.0},(193,306):{'3_1':0.0},(193,305):{'3_1':0.03,'5_2':0.0},(193,304):{'3_1':0.0},(193,303):{'3_1':0.0,'4_1':0.0},(193,302):{'5_1':0.0},(193,301):{'3_1':0.0},(193,300):{'3_1':0.03},(193,299):{'3_1':0.06},(193,298):{'3_1':0.0,'5_1':0.0},(193,297):{'5_1':0.0},(193,296):{'3_1':0.03,'4_1':0.0},(193,295):{'3_1':0.0},(193,294):{'3_1':0.0,'4_1':0.0},(193,293):{'3_1':0.0},(193,292):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(193,291):{'3_1':0.0},(193,290):{'3_1':0.03,'5_1':0.0},(193,289):{'3_1':0.0,'4_1':0.0},(193,288):{'3_1':0.0},(193,287):{'3_1':0.0},(193,286):{'3_1':0.0},(193,285):{'3_1':0.0},(193,283):{'3_1':0.0},(193,282):{'4_1':0.0},(193,281):{'3_1':0.0,'4_1':0.0},(193,280):{'4_1':0.0},(193,278):{'3_1':0.0},(193,277):{'3_1':0.0},(193,272):{'3_1':0.0},(193,269):{'3_1':0.0},(193,268):{'3_1':0.0},(193,267):{'3_1':0.0},(193,266):{'3_1':0.0},(193,262):{'3_1':0.0},(193,261):{'3_1':0.0},(193,260):{'3_1':0.0},(193,258):{'3_1':0.0},(193,257):{'3_1':0.0,'4_1':0.0},(193,255):{'3_1':0.0,'4_1':0.0},(193,254):{'3_1':0.0,'4_1':0.0},(193,233):{'3_1':0.0},(193,232):{'3_1':0.0},(193,216):{'4_1':0.0},(194,459):{'3_1':0.12},(194,458):{'3_1':0.12},(194,457):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(194,456):{'3_1':0.15},(194,455):{'3_1':0.09},(194,454):{'3_1':0.06,'4_1':0.0},(194,453):{'3_1':0.15},(194,452):{'3_1':0.18},(194,451):{'3_1':0.12},(194,450):{'3_1':0.12,'5_1':0.0},(194,449):{'3_1':0.12},(194,448):{'3_1':0.21,'5_2':0.0},(194,447):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(194,446):{'3_1':0.12,'4_1':0.0},(194,445):{'3_1':0.12,'5_1':0.0},(194,444):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(194,443):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(194,442):{'3_1':0.12,'5_1':0.0},(194,441):{'3_1':0.06},(194,440):{'3_1':0.12,'5_2':0.0},(194,439):{'3_1':0.12,'6_1':0.0},(194,438):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'5_2':0.0},(194,437):{'3_1':0.12,'5_2':0.0},(194,436):{'3_1':0.18,'4_1':0.0},(194,435):{'3_1':0.18,'4_1':0.0},(194,434):{'3_1':0.21,'4_1':0.0},(194,433):{'3_1':0.15,'6_1':0.0},(194,432):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(194,431):{'3_1':0.12,'6_3':0.0},(194,430):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(194,429):{'3_1':0.12,'4_1':0.0},(194,428):{'3_1':0.18,'5_1':0.0,'-3':0.0},(194,427):{'3_1':0.12},(194,426):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(194,425):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(194,424):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(194,423):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(194,422):{'3_1':0.15},(194,421):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(194,420):{'3_1':0.09,'4_1':0.0},(194,419):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(194,418):{'3_1':0.15,'4_1':0.03},(194,417):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(194,416):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(194,415):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(194,414):{'3_1':0.24,'4_1':0.03,'5_2':0.0},(194,413):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(194,412):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(194,411):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(194,410):{'3_1':0.12,'4_1':0.03},(194,409):{'3_1':0.15,'4_1':0.0},(194,408):{'3_1':0.21,'8_21|3_1#4_1':0.03,'5_2':0.0},(194,407):{'3_1':0.12,'5_2':0.0},(194,406):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(194,405):{'3_1':0.15,'4_1':0.0},(194,404):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(194,403):{'3_1':0.12,'5_2':0.0},(194,402):{'3_1':0.18,'4_1':0.0},(194,401):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(194,400):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(194,399):{'3_1':0.12,'4_1':0.0},(194,398):{'3_1':0.15,'4_1':0.0},(194,397):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(194,396):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(194,395):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(194,394):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(194,393):{'3_1':0.21,'8_20|3_1#3_1':0.0},(194,392):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(194,391):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(194,390):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(194,389):{'3_1':0.15,'5_2':0.0,'6_3':0.0,'5_1':0.0,'4_1':0.0},(194,388):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0},(194,387):{'3_1':0.21,'4_1':0.03},(194,386):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(194,385):{'3_1':0.12,'4_1':0.0},(194,384):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0,'5_1':0.0},(194,383):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0},(194,382):{'3_1':0.27,'4_1':0.0,'6_3':0.0},(194,381):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(194,380):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'-3':0.0},(194,379):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(194,378):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(194,377):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(194,376):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(194,375):{'3_1':0.09,'4_1':0.0},(194,374):{'3_1':0.21,'5_2':0.03,'4_1':0.0},(194,373):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(194,372):{'3_1':0.12},(194,371):{'3_1':0.12},(194,370):{'3_1':0.12,'4_1':0.0},(194,369):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(194,368):{'3_1':0.15},(194,367):{'3_1':0.12,'5_2':0.0},(194,366):{'3_1':0.18,'5_2':0.0,'6_3':0.0},(194,365):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(194,364):{'3_1':0.09},(194,363):{'3_1':0.12,'5_1':0.0},(194,362):{'3_1':0.18},(194,361):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(194,360):{'3_1':0.09,'6_2':0.0},(194,359):{'3_1':0.09},(194,358):{'3_1':0.15},(194,357):{'3_1':0.09,'5_2':0.0},(194,356):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(194,355):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(194,354):{'3_1':0.12,'5_1':0.0},(194,353):{'3_1':0.15},(194,352):{'3_1':0.15,'4_1':0.0},(194,351):{'3_1':0.12},(194,350):{'3_1':0.09},(194,349):{'3_1':0.03},(194,348):{'3_1':0.03,'4_1':0.0},(194,347):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(194,346):{'3_1':0.0},(194,345):{'3_1':0.09,'4_1':0.0},(194,344):{'3_1':0.0,'5_1':0.0},(194,343):{'3_1':0.0,'4_1':0.0},(194,342):{'3_1':0.06,'4_1':0.0},(194,341):{'3_1':0.0,'5_1':0.0},(194,340):{'3_1':0.06,'4_1':0.0},(194,339):{'3_1':0.0,'4_1':0.0},(194,338):{'3_1':0.03,'4_1':0.0},(194,337):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_2':0.0},(194,336):{'3_1':0.06,'4_1':0.0},(194,335):{'3_1':0.03,'4_1':0.0},(194,334):{'3_1':0.03,'4_1':0.0},(194,333):{'4_1':0.06,'3_1':0.03},(194,332):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(194,331):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(194,330):{'3_1':0.03,'4_1':0.0},(194,329):{'3_1':0.03,'4_1':0.0},(194,328):{'3_1':0.03,'4_1':0.0},(194,327):{'3_1':0.03},(194,326):{'3_1':0.03},(194,325):{'3_1':0.0},(194,324):{'3_1':0.03,'4_1':0.0},(194,323):{'3_1':0.06},(194,322):{'3_1':0.03},(194,321):{'3_1':0.0,'4_1':0.0},(194,320):{'3_1':0.0},(194,319):{'3_1':0.03},(194,318):{'3_1':0.0,'4_1':0.0},(194,317):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(194,316):{'3_1':0.03,'4_1':0.0},(194,315):{'3_1':0.06,'5_2':0.0},(194,314):{'3_1':0.06,'4_1':0.0},(194,313):{'3_1':0.06,'5_2':0.0},(194,312):{'3_1':0.06},(194,311):{'3_1':0.03,'6_3':0.0},(194,310):{'3_1':0.0},(194,309):{'3_1':0.03},(194,308):{'3_1':0.03},(194,307):{'3_1':0.0},(194,306):{'3_1':0.0},(194,305):{'3_1':0.0},(194,304):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(194,303):{'3_1':0.0},(194,302):{'3_1':0.0,'5_2':0.0},(194,301):{'3_1':0.03,'5_1':0.0},(194,300):{'3_1':0.0},(194,299):{'5_2':0.0},(194,298):{'3_1':0.0},(194,297):{'3_1':0.0,'5_1':0.0},(194,296):{'3_1':0.03},(194,295):{'3_1':0.0},(194,293):{'3_1':0.0,'4_1':0.0},(194,292):{'3_1':0.0},(194,291):{'3_1':0.0},(194,290):{'3_1':0.0},(194,288):{'3_1':0.0,'4_1':0.0},(194,287):{'3_1':0.0,'4_1':0.0},(194,286):{'3_1':0.0},(194,283):{'3_1':0.0},(194,282):{'3_1':0.0},(194,281):{'4_1':0.0,'3_1':0.0},(194,280):{'3_1':0.0,'4_1':0.0},(194,279):{'3_1':0.0,'4_1':0.0},(194,277):{'4_1':0.0},(194,274):{'3_1':0.0},(194,271):{'3_1':0.0},(194,267):{'3_1':0.0},(194,266):{'3_1':0.0},(194,262):{'3_1':0.0},(194,261):{'3_1':0.0},(194,260):{'3_1':0.0,'4_1':0.0},(194,259):{'3_1':0.0},(194,258):{'3_1':0.0},(194,256):{'3_1':0.0},(194,255):{'3_1':0.0},(194,252):{'3_1':0.0},(194,236):{'3_1':0.0},(194,233):{'3_1':0.0},(195,459):{'3_1':0.27},(195,458):{'3_1':0.18,'5_1':0.0},(195,457):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(195,456):{'3_1':0.21},(195,455):{'3_1':0.27,'5_2':0.0},(195,454):{'3_1':0.27,'5_1':0.0},(195,453):{'3_1':0.24},(195,452):{'3_1':0.27,'5_1':0.0},(195,451):{'3_1':0.21,'5_1':0.0},(195,450):{'3_1':0.18,'5_1':0.0},(195,449):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(195,448):{'3_1':0.21},(195,447):{'3_1':0.15,'4_1':0.0},(195,446):{'3_1':0.21},(195,445):{'3_1':0.27,'5_1':0.0},(195,444):{'3_1':0.21},(195,443):{'3_1':0.15,'6_2':0.0,'5_1':0.0},(195,442):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_2':0.0},(195,441):{'3_1':0.24},(195,440):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(195,439):{'3_1':0.15},(195,438):{'3_1':0.21},(195,437):{'3_1':0.15},(195,436):{'3_1':0.24},(195,435):{'3_1':0.24},(195,434):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'7_2':0.0},(195,433):{'3_1':0.18},(195,432):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(195,431):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0},(195,430):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(195,429):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(195,428):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(195,427):{'3_1':0.15,'5_2':0.0},(195,426):{'3_1':0.18,'4_1':0.0},(195,425):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(195,424):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(195,423):{'3_1':0.18},(195,422):{'3_1':0.27,'4_1':0.0,'5_2':0.0},(195,421):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_3':0.0},(195,420):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_2':0.0},(195,419):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(195,418):{'3_1':0.15,'4_1':0.0},(195,417):{'3_1':0.3,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(195,416):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(195,415):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(195,414):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(195,413):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(195,412):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0},(195,411):{'3_1':0.15,'4_1':0.0},(195,410):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(195,409):{'3_1':0.24,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(195,408):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(195,407):{'3_1':0.27,'4_1':0.0,'5_2':0.0,'6_2':0.0},(195,406):{'3_1':0.24,'4_1':0.03,'5_2':0.0},(195,405):{'3_1':0.27,'4_1':0.0},(195,404):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(195,403):{'3_1':0.21},(195,402):{'3_1':0.27,'5_1':0.0},(195,401):{'3_1':0.24,'5_2':0.0},(195,400):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(195,399):{'3_1':0.15,'4_1':0.0},(195,398):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(195,397):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(195,396):{'3_1':0.15,'5_2':0.0},(195,395):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(195,394):{'3_1':0.33,'5_1':0.0,'6_3':0.0},(195,393):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'6_2':0.0},(195,392):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(195,391):{'3_1':0.27,'4_1':0.0,'5_2':0.0,'5_1':0.0},(195,390):{'3_1':0.24,'5_1':0.0,'6_3':0.0},(195,389):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(195,388):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(195,387):{'3_1':0.18,'5_2':0.0},(195,386):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(195,385):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(195,384):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'6_3':0.0},(195,383):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0},(195,382):{'3_1':0.24,'4_1':0.0,'6_3':0.0},(195,381):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(195,380):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_3':0.0},(195,379):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(195,378):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(195,377):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(195,376):{'3_1':0.18,'4_1':0.03,'7_6':0.0},(195,375):{'3_1':0.24,'4_1':0.0},(195,374):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(195,373):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(195,372):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(195,371):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(195,370):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(195,369):{'3_1':0.24,'5_2':0.0},(195,368):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(195,367):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(195,366):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(195,365):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(195,364):{'3_1':0.15,'5_1':0.0,'7_4':0.0},(195,363):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(195,362):{'3_1':0.09,'5_2':0.0},(195,361):{'3_1':0.15},(195,360):{'3_1':0.15,'5_2':0.0},(195,359):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(195,358):{'3_1':0.09,'4_1':0.0},(195,357):{'3_1':0.09,'5_1':0.0},(195,356):{'3_1':0.21,'4_1':0.0},(195,355):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(195,354):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(195,353):{'3_1':0.12,'5_1':0.0},(195,352):{'3_1':0.06},(195,351):{'3_1':0.09,'5_2':0.03},(195,350):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(195,349):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(195,348):{'3_1':0.06,'4_1':0.0},(195,347):{'3_1':0.03,'4_1':0.0},(195,346):{'3_1':0.06,'4_1':0.0},(195,345):{'3_1':0.06,'4_1':0.0},(195,344):{'3_1':0.03,'4_1':0.0},(195,343):{'3_1':0.0},(195,342):{'3_1':0.03,'4_1':0.0},(195,341):{'3_1':0.03,'4_1':0.0},(195,340):{'3_1':0.03,'4_1':0.0},(195,339):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(195,338):{'3_1':0.0},(195,337):{'3_1':0.03,'4_1':0.0},(195,336):{'3_1':0.03,'4_1':0.0},(195,335):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(195,334):{'3_1':0.03,'4_1':0.0},(195,333):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(195,332):{'3_1':0.0,'4_1':0.0},(195,331):{'3_1':0.09,'6_3':0.0,'4_1':0.0},(195,330):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(195,329):{'3_1':0.0},(195,328):{'3_1':0.0},(195,327):{'3_1':0.03,'4_1':0.0},(195,326):{'3_1':0.03,'4_1':0.0},(195,325):{'3_1':0.0},(195,324):{'3_1':0.0},(195,323):{'3_1':0.0,'4_1':0.0},(195,322):{'3_1':0.06},(195,321):{'3_1':0.09},(195,320):{'3_1':0.06},(195,319):{'3_1':0.06},(195,318):{'3_1':0.06},(195,317):{'3_1':0.09},(195,316):{'3_1':0.09,'4_1':0.0},(195,315):{'3_1':0.06,'4_1':0.0},(195,314):{'3_1':0.03},(195,313):{'3_1':0.06},(195,312):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(195,311):{'3_1':0.09,'5_2':0.0},(195,310):{'3_1':0.03,'5_2':0.0},(195,309):{'3_1':0.03},(195,308):{'3_1':0.03,'4_1':0.0},(195,307):{'3_1':0.0},(195,306):{'3_1':0.03},(195,305):{'3_1':0.03},(195,304):{'3_1':0.0},(195,303):{'3_1':0.0},(195,302):{'3_1':0.03},(195,301):{'3_1':0.03},(195,300):{'3_1':0.03},(195,299):{'3_1':0.06},(195,298):{'3_1':0.0},(195,297):{'3_1':0.0,'5_2':0.0},(195,296):{'3_1':0.03,'4_1':0.0},(195,295):{'3_1':0.0},(195,294):{'3_1':0.03,'4_1':0.0},(195,293):{'3_1':0.0},(195,292):{'3_1':0.0},(195,291):{'3_1':0.06},(195,290):{'3_1':0.0},(195,288):{'3_1':0.0},(195,287):{'3_1':0.0},(195,286):{'3_1':0.0},(195,285):{'4_1':0.0},(195,283):{'3_1':0.0},(195,282):{'3_1':0.0},(195,281):{'3_1':0.0},(195,280):{'3_1':0.0},(195,279):{'3_1':0.0,'4_1':0.0},(195,277):{'3_1':0.0},(195,275):{'3_1':0.0},(195,273):{'4_1':0.0},(195,272):{'3_1':0.0,'4_1':0.0},(195,271):{'3_1':0.0},(195,269):{'4_1':0.0},(195,266):{'3_1':0.0},(195,265):{'3_1':0.0},(195,264):{'3_1':0.0},(195,263):{'3_1':0.0},(195,261):{'3_1':0.0},(195,260):{'3_1':0.0},(195,259):{'3_1':0.0},(195,256):{'3_1':0.0},(195,254):{'3_1':0.0},(195,251):{'3_1':0.0},(195,250):{'3_1':0.0},(195,235):{'3_1':0.0},(195,234):{'3_1':0.0},(195,232):{'4_1':0.0},(195,223):{'3_1':0.0},(196,459):{'3_1':0.15},(196,458):{'3_1':0.15,'5_1':0.0},(196,457):{'3_1':0.21,'4_1':0.0},(196,456):{'3_1':0.21},(196,455):{'3_1':0.18,'5_1':0.0},(196,454):{'3_1':0.18},(196,453):{'3_1':0.24},(196,452):{'3_1':0.12,'5_2':0.0},(196,451):{'3_1':0.15,'5_2':0.0},(196,450):{'3_1':0.15},(196,449):{'3_1':0.18},(196,448):{'3_1':0.15},(196,447):{'3_1':0.21},(196,446):{'3_1':0.21},(196,445):{'3_1':0.15},(196,444):{'3_1':0.24},(196,443):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(196,442):{'3_1':0.15,'5_1':0.0},(196,441):{'3_1':0.21},(196,440):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(196,439):{'3_1':0.15,'5_1':0.0},(196,438):{'3_1':0.15},(196,437):{'3_1':0.21,'5_2':0.0},(196,436):{'3_1':0.24},(196,435):{'3_1':0.15,'4_1':0.0},(196,434):{'3_1':0.18,'5_2':0.0},(196,433):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(196,432):{'3_1':0.21,'5_2':0.0},(196,431):{'3_1':0.21,'4_1':0.0},(196,430):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(196,429):{'3_1':0.18,'5_2':0.0,'6_1':0.0},(196,428):{'3_1':0.18,'4_1':0.0,'7_7':0.0},(196,427):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'5_2':0.0},(196,426):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_1':0.0},(196,425):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(196,424):{'3_1':0.09},(196,423):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'6_3':0.0},(196,422):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(196,421):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(196,420):{'3_1':0.15,'4_1':0.0},(196,419):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(196,418):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0},(196,417):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(196,416):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(196,415):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(196,414):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0},(196,413):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(196,412):{'3_1':0.18},(196,411):{'3_1':0.15,'4_1':0.0},(196,410):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(196,409):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_8':0.0,'8_14':0.0},(196,408):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(196,407):{'3_1':0.15,'4_1':0.0,'8_21|3_1#4_1':0.0},(196,406):{'3_1':0.21,'4_1':0.0},(196,405):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(196,404):{'3_1':0.15,'5_2':0.0},(196,403):{'3_1':0.21,'5_2':0.0},(196,402):{'3_1':0.15,'5_1':0.0,'6_3':0.0},(196,401):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(196,400):{'3_1':0.24,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(196,399):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(196,398):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(196,397):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(196,396):{'3_1':0.21,'6_2':0.0},(196,395):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(196,394):{'3_1':0.27,'5_1':0.03,'4_1':0.0},(196,393):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(196,392):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(196,391):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(196,390):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_3':0.0},(196,389):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0},(196,388):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(196,387):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(196,386):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0},(196,385):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(196,384):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'5_1':0.0,'5_2':0.0},(196,383):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_10':0.0},(196,382):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'6_3':0.0},(196,381):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(196,380):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(196,379):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'-3':0.0},(196,378):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(196,377):{'3_1':0.18,'5_2':0.06,'6_3':0.0},(196,376):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(196,375):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(196,374):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(196,373):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(196,372):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(196,371):{'3_1':0.18},(196,370):{'3_1':0.12,'4_1':0.0},(196,369):{'3_1':0.21,'5_1':0.0},(196,368):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(196,367):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(196,366):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(196,365):{'3_1':0.15,'5_1':0.0},(196,364):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(196,363):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(196,362):{'3_1':0.15,'4_1':0.0},(196,361):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(196,360):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(196,359):{'3_1':0.15},(196,358):{'3_1':0.18},(196,357):{'3_1':0.12},(196,356):{'3_1':0.09,'4_1':0.0},(196,355):{'3_1':0.12,'4_1':0.0},(196,354):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(196,353):{'3_1':0.18},(196,352):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(196,351):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(196,350):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(196,349):{'3_1':0.15,'4_1':0.0},(196,348):{'3_1':0.03,'4_1':0.0},(196,347):{'3_1':0.09},(196,346):{'3_1':0.06},(196,345):{'3_1':0.03,'4_1':0.0},(196,344):{'3_1':0.03,'4_1':0.0},(196,343):{'3_1':0.06,'4_1':0.0},(196,342):{'3_1':0.0,'4_1':0.0},(196,341):{'3_1':0.09},(196,340):{'3_1':0.03,'4_1':0.0},(196,339):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(196,338):{'3_1':0.0,'4_1':0.0},(196,337):{'3_1':0.06,'4_1':0.0},(196,336):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(196,335):{'3_1':0.0},(196,334):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(196,333):{'3_1':0.03,'4_1':0.0},(196,332):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(196,331):{'3_1':0.03,'4_1':0.0},(196,330):{'3_1':0.03,'4_1':0.0},(196,329):{'3_1':0.03},(196,328):{'3_1':0.06,'4_1':0.0},(196,327):{'3_1':0.03},(196,326):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(196,325):{'3_1':0.0,'4_1':0.0},(196,324):{'3_1':0.03,'4_1':0.0},(196,323):{'3_1':0.03,'4_1':0.0},(196,322):{'3_1':0.03,'5_1':0.0},(196,321):{'3_1':0.03,'6_1':0.0},(196,320):{'3_1':0.0,'4_1':0.0},(196,319):{'3_1':0.06},(196,318):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(196,317):{'3_1':0.03,'5_2':0.0},(196,316):{'3_1':0.03,'5_2':0.0},(196,315):{'3_1':0.03},(196,314):{'3_1':0.06},(196,313):{'3_1':0.03},(196,312):{'3_1':0.09},(196,311):{'3_1':0.03},(196,310):{'3_1':0.0,'5_1':0.0},(196,309):{'3_1':0.0},(196,308):{'3_1':0.03},(196,307):{'3_1':0.03,'4_1':0.0},(196,306):{'3_1':0.0},(196,305):{'3_1':0.03},(196,304):{'3_1':0.0},(196,303):{'3_1':0.0},(196,302):{'3_1':0.03,'4_1':0.0},(196,301):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(196,300):{'3_1':0.0,'5_1':0.0},(196,299):{'3_1':0.0},(196,298):{'3_1':0.03},(196,297):{'3_1':0.0,'4_1':0.0},(196,296):{'3_1':0.0},(196,295):{'3_1':0.0},(196,294):{'3_1':0.0},(196,293):{'3_1':0.0},(196,292):{'3_1':0.0},(196,291):{'3_1':0.03},(196,290):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(196,289):{'3_1':0.0},(196,288):{'3_1':0.03},(196,287):{'3_1':0.0},(196,286):{'3_1':0.0},(196,285):{'3_1':0.0},(196,283):{'3_1':0.0},(196,280):{'3_1':0.0},(196,279):{'4_1':0.0},(196,278):{'3_1':0.0},(196,276):{'3_1':0.0},(196,274):{'4_1':0.0},(196,273):{'3_1':0.0},(196,272):{'3_1':0.0},(196,269):{'3_1':0.0},(196,267):{'4_1':0.0},(196,266):{'3_1':0.0},(196,265):{'3_1':0.0},(196,264):{'3_1':0.0},(196,262):{'3_1':0.0},(196,261):{'3_1':0.0},(196,259):{'3_1':0.0},(196,257):{'3_1':0.0},(196,256):{'4_1':0.0},(196,222):{'3_1':0.0},(196,221):{'3_1':0.0},(196,220):{'3_1':0.0},(196,219):{'3_1':0.0},(197,459):{'3_1':0.21},(197,458):{'3_1':0.24},(197,457):{'3_1':0.21,'4_1':0.0},(197,456):{'3_1':0.15},(197,455):{'3_1':0.21},(197,454):{'3_1':0.18},(197,453):{'3_1':0.18},(197,452):{'3_1':0.18},(197,451):{'3_1':0.18,'4_1':0.0},(197,450):{'3_1':0.18},(197,449):{'3_1':0.18},(197,448):{'3_1':0.18},(197,447):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(197,446):{'3_1':0.18},(197,445):{'3_1':0.21},(197,444):{'3_1':0.18,'4_1':0.0},(197,443):{'3_1':0.15,'4_1':0.0},(197,442):{'3_1':0.15,'5_1':0.0},(197,441):{'3_1':0.18,'6_1':0.0},(197,440):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(197,439):{'3_1':0.21,'4_1':0.0},(197,438):{'3_1':0.18},(197,437):{'3_1':0.12,'4_1':0.0},(197,436):{'3_1':0.12},(197,435):{'3_1':0.12,'5_1':0.0},(197,434):{'3_1':0.15},(197,433):{'3_1':0.21,'4_1':0.0},(197,432):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(197,431):{'3_1':0.15,'5_1':0.0,'7_4':0.0},(197,430):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(197,429):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(197,428):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(197,427):{'3_1':0.18},(197,426):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'6_1':0.0},(197,425):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0},(197,424):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(197,423):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(197,422):{'3_1':0.15,'4_1':0.03,'6_3':0.0},(197,421):{'3_1':0.15},(197,420):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(197,419):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(197,418):{'3_1':0.15,'4_1':0.0,'7_2':0.0},(197,417):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(197,416):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_7':0.0},(197,415):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(197,414):{'3_1':0.21,'4_1':0.0},(197,413):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(197,412):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(197,411):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(197,410):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(197,409):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(197,408):{'3_1':0.21,'4_1':0.0,'8_20|3_1#3_1':0.0},(197,407):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(197,406):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(197,405):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(197,404):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_3':0.0},(197,403):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0},(197,402):{'3_1':0.15,'5_2':0.03},(197,401):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(197,400):{'3_1':0.18,'5_2':0.0},(197,399):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(197,398):{'3_1':0.18},(197,397):{'3_1':0.18,'5_2':0.0},(197,396):{'3_1':0.24,'5_2':0.0,'4_1':0.0},(197,395):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(197,394):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(197,393):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0},(197,392):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'6_3':0.0},(197,391):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_3':0.0},(197,390):{'3_1':0.21,'5_1':0.03},(197,389):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(197,388):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(197,387):{'3_1':0.21,'4_1':0.0,'6_1':0.0},(197,386):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0},(197,385):{'3_1':0.12,'4_1':0.0},(197,384):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_3':0.0},(197,383):{'3_1':0.18,'6_3':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(197,382):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0,'5_2':0.0},(197,381):{'3_1':0.21,'5_2':0.0},(197,380):{'3_1':0.18,'6_2':0.0,'6_3':0.0},(197,379):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(197,378):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(197,377):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0},(197,376):{'3_1':0.27,'5_2':0.0,'6_3':0.0},(197,375):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(197,374):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(197,373):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_1':0.0},(197,372):{'3_1':0.15,'5_2':0.0},(197,371):{'3_1':0.21,'5_2':0.0},(197,370):{'3_1':0.15,'4_1':0.0},(197,369):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(197,368):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(197,367):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(197,366):{'3_1':0.21,'4_1':0.0},(197,365):{'3_1':0.12,'4_1':0.0},(197,364):{'3_1':0.12,'4_1':0.0},(197,363):{'3_1':0.12},(197,362):{'3_1':0.18,'4_1':0.0},(197,361):{'3_1':0.18,'4_1':0.0},(197,360):{'3_1':0.15,'5_1':0.0},(197,359):{'3_1':0.09},(197,358):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(197,357):{'3_1':0.09,'4_1':0.0},(197,356):{'3_1':0.12,'5_2':0.0},(197,355):{'3_1':0.15},(197,354):{'3_1':0.12},(197,353):{'3_1':0.06,'5_2':0.0},(197,352):{'3_1':0.15},(197,351):{'3_1':0.09,'5_1':0.0},(197,350):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(197,349):{'3_1':0.0,'4_1':0.0},(197,348):{'3_1':0.09},(197,347):{'3_1':0.03},(197,346):{'3_1':0.06,'4_1':0.0},(197,345):{'3_1':0.0},(197,344):{'3_1':0.03},(197,343):{'3_1':0.06,'4_1':0.0},(197,342):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(197,341):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(197,340):{'3_1':0.0,'4_1':0.0},(197,339):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(197,338):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(197,337):{'3_1':0.06,'4_1':0.0},(197,336):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(197,335):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(197,334):{'3_1':0.0,'4_1':0.0},(197,333):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(197,332):{'3_1':0.0},(197,331):{'3_1':0.06,'4_1':0.0},(197,330):{'3_1':0.03,'4_1':0.0},(197,329):{'3_1':0.03,'4_1':0.0},(197,328):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(197,327):{'3_1':0.06,'4_1':0.0},(197,326):{'3_1':0.03},(197,325):{'3_1':0.03,'4_1':0.0},(197,324):{'3_1':0.03,'4_1':0.0},(197,323):{'3_1':0.06},(197,322):{'3_1':0.06},(197,321):{'3_1':0.0,'4_1':0.0},(197,320):{'3_1':0.06},(197,319):{'3_1':0.03},(197,318):{'3_1':0.06,'4_1':0.0},(197,317):{'3_1':0.06},(197,316):{'3_1':0.03,'5_2':0.0},(197,315):{'3_1':0.03},(197,314):{'3_1':0.06},(197,313):{'3_1':0.03},(197,312):{'3_1':0.03,'4_1':0.0},(197,311):{'3_1':0.03},(197,310):{'3_1':0.03},(197,309):{'3_1':0.03},(197,308):{'3_1':0.03},(197,307):{'3_1':0.03},(197,306):{'3_1':0.03},(197,305):{'3_1':0.0},(197,304):{'3_1':0.0},(197,303):{'3_1':0.09,'4_1':0.0},(197,302):{'3_1':0.03,'6_2':0.0},(197,300):{'3_1':0.03},(197,299):{'3_1':0.0},(197,298):{'3_1':0.0},(197,297):{'3_1':0.03},(197,295):{'3_1':0.0},(197,294):{'3_1':0.0,'4_1':0.0},(197,293):{'3_1':0.03},(197,292):{'3_1':0.0},(197,291):{'3_1':0.0},(197,290):{'3_1':0.03},(197,289):{'3_1':0.0},(197,288):{'3_1':0.0},(197,287):{'3_1':0.0},(197,286):{'3_1':0.0},(197,285):{'3_1':0.03},(197,284):{'3_1':0.03,'4_1':0.0},(197,283):{'3_1':0.0,'4_1':0.0},(197,282):{'3_1':0.0},(197,281):{'3_1':0.0,'4_1':0.0},(197,278):{'3_1':0.0,'4_1':0.0},(197,277):{'3_1':0.0},(197,274):{'3_1':0.03},(197,273):{'3_1':0.0},(197,272):{'3_1':0.0,'4_1':0.0},(197,270):{'3_1':0.0},(197,269):{'3_1':0.0},(197,268):{'3_1':0.0},(197,266):{'3_1':0.0,'4_1':0.0},(197,264):{'3_1':0.0},(197,263):{'3_1':0.0},(197,262):{'3_1':0.03},(197,260):{'5_1':0.0},(197,259):{'3_1':0.03,'4_1':0.0},(197,257):{'3_1':0.0},(197,252):{'3_1':0.0},(197,247):{'3_1':0.0},(197,245):{'3_1':0.0},(197,237):{'3_1':0.0},(197,235):{'3_1':0.0},(197,233):{'4_1':0.0},(197,231):{'3_1':0.0},(197,230):{'3_1':0.0},(197,229):{'4_1':0.0},(197,228):{'3_1':0.0},(197,227):{'3_1':0.0},(197,224):{'3_1':0.0},(197,219):{'3_1':0.0},(198,459):{'3_1':0.12},(198,458):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(198,457):{'3_1':0.06},(198,456):{'3_1':0.09,'4_1':0.0},(198,455):{'3_1':0.09},(198,454):{'3_1':0.12},(198,453):{'3_1':0.21},(198,452):{'3_1':0.18},(198,451):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(198,450):{'3_1':0.12},(198,449):{'3_1':0.12},(198,448):{'3_1':0.12},(198,447):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(198,446):{'3_1':0.21,'5_2':0.0},(198,445):{'3_1':0.18,'4_1':0.0},(198,444):{'3_1':0.12},(198,443):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(198,442):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(198,441):{'3_1':0.06,'4_1':0.0},(198,440):{'3_1':0.12,'4_1':0.0},(198,439):{'3_1':0.12,'4_1':0.0},(198,438):{'3_1':0.15,'4_1':0.0},(198,437):{'3_1':0.12,'7_2':0.0},(198,436):{'3_1':0.12,'4_1':0.0},(198,435):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(198,434):{'3_1':0.18,'4_1':0.0},(198,433):{'3_1':0.09},(198,432):{'3_1':0.12,'6_1':0.0,'5_2':0.0},(198,431):{'3_1':0.12},(198,430):{'3_1':0.06,'4_1':0.0},(198,429):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(198,428):{'3_1':0.12,'4_1':0.0},(198,427):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(198,426):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(198,425):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(198,424):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'7_6':0.0},(198,423):{'3_1':0.12,'4_1':0.0},(198,422):{'3_1':0.09,'4_1':0.0},(198,421):{'3_1':0.12,'4_1':0.0},(198,420):{'3_1':0.18,'4_1':0.0},(198,419):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0},(198,418):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(198,417):{'3_1':0.15,'4_1':0.0},(198,416):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(198,415):{'3_1':0.18,'5_2':0.0,'8_21|3_1#4_1':0.0},(198,414):{'3_1':0.06,'4_1':0.03},(198,413):{'3_1':0.21,'4_1':0.03,'8_21|3_1#4_1':0.0},(198,412):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(198,411):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(198,410):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(198,409):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(198,408):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(198,407):{'3_1':0.09,'5_2':0.0},(198,406):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(198,405):{'3_1':0.12},(198,404):{'3_1':0.12,'4_1':0.0},(198,403):{'3_1':0.15},(198,402):{'3_1':0.12,'5_2':0.0},(198,401):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(198,400):{'3_1':0.09,'5_2':0.0},(198,399):{'3_1':0.15,'5_1':0.0,'6_2':0.0},(198,398):{'3_1':0.12},(198,397):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(198,396):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(198,395):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(198,394):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(198,393):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(198,392):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(198,391):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_3':0.0},(198,390):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(198,389):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(198,388):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(198,387):{'3_1':0.18,'4_1':0.03},(198,386):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(198,385):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(198,384):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'6_3':0.0},(198,383):{'3_1':0.09,'4_1':0.0},(198,382):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(198,381):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(198,380):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(198,379):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(198,378):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0},(198,377):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(198,376):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(198,375):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(198,374):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(198,373):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0},(198,372):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(198,371):{'3_1':0.12,'4_1':0.0},(198,370):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(198,369):{'3_1':0.15},(198,368):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(198,367):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(198,366):{'3_1':0.09},(198,365):{'3_1':0.15,'5_2':0.0},(198,364):{'3_1':0.03,'4_1':0.0},(198,363):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(198,362):{'3_1':0.09,'4_1':0.0},(198,361):{'3_1':0.09,'4_1':0.0},(198,360):{'3_1':0.12,'4_1':0.0},(198,359):{'3_1':0.09,'4_1':0.0},(198,358):{'3_1':0.06,'6_2':0.0},(198,357):{'3_1':0.15},(198,356):{'3_1':0.09,'4_1':0.0},(198,355):{'3_1':0.09,'5_2':0.0},(198,354):{'3_1':0.15,'5_2':0.0},(198,353):{'3_1':0.12},(198,352):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(198,351):{'3_1':0.12},(198,350):{'3_1':0.03},(198,349):{'3_1':0.06},(198,348):{'3_1':0.09},(198,347):{'3_1':0.03},(198,346):{'3_1':0.03},(198,345):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(198,344):{'3_1':0.06},(198,343):{'3_1':0.03},(198,342):{'3_1':0.0},(198,341):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(198,340):{'3_1':0.09,'4_1':0.0},(198,339):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(198,338):{'3_1':0.03,'4_1':0.0},(198,337):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(198,336):{'3_1':0.06,'5_1':0.0},(198,335):{'3_1':0.03},(198,334):{'3_1':0.06,'4_1':0.0},(198,333):{'3_1':0.06,'4_1':0.0},(198,332):{'3_1':0.03,'4_1':0.0},(198,331):{'3_1':0.06,'4_1':0.0},(198,330):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(198,329):{'3_1':0.03,'6_3':0.0},(198,328):{'3_1':0.0,'4_1':0.0},(198,327):{'3_1':0.03},(198,326):{'3_1':0.0},(198,325):{'3_1':0.03,'4_1':0.0},(198,324):{'3_1':0.0},(198,323):{'3_1':0.03},(198,322):{'3_1':0.06},(198,321):{'3_1':0.03},(198,320):{'3_1':0.06},(198,319):{'3_1':0.0,'4_1':0.0},(198,318):{'3_1':0.03,'5_1':0.0},(198,317):{'3_1':0.06,'5_1':0.0},(198,316):{'3_1':0.06,'4_1':0.0},(198,315):{'3_1':0.06,'4_1':0.0},(198,314):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(198,313):{'3_1':0.03},(198,312):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(198,311):{'3_1':0.0},(198,310):{'3_1':0.0,'5_2':0.0},(198,309):{'3_1':0.06,'4_1':0.0},(198,308):{'3_1':0.0,'4_1':0.0},(198,307):{'3_1':0.0},(198,306):{'3_1':0.0},(198,305):{'3_1':0.0,'5_2':0.0},(198,304):{'3_1':0.03},(198,303):{'3_1':0.06,'4_1':0.0},(198,302):{'3_1':0.0,'4_1':0.0},(198,301):{'3_1':0.03},(198,300):{'3_1':0.03},(198,299):{'3_1':0.0,'4_1':0.0},(198,298):{'3_1':0.03,'4_1':0.0},(198,297):{'3_1':0.0},(198,296):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(198,295):{'3_1':0.0},(198,294):{'3_1':0.03,'4_1':0.0},(198,293):{'3_1':0.0},(198,292):{'3_1':0.03},(198,291):{'3_1':0.03},(198,290):{'3_1':0.03},(198,289):{'3_1':0.03},(198,288):{'3_1':0.0,'4_1':0.0},(198,287):{'3_1':0.0},(198,286):{'3_1':0.03},(198,285):{'3_1':0.0},(198,283):{'3_1':0.0,'4_1':0.0},(198,279):{'3_1':0.0},(198,278):{'3_1':0.0,'4_1':0.0},(198,277):{'3_1':0.0},(198,276):{'3_1':0.0},(198,275):{'3_1':0.0},(198,274):{'3_1':0.0},(198,273):{'3_1':0.0},(198,272):{'3_1':0.03},(198,271):{'3_1':0.0},(198,270):{'3_1':0.0},(198,269):{'3_1':0.0},(198,268):{'3_1':0.0},(198,266):{'3_1':0.0},(198,265):{'3_1':0.0},(198,264):{'3_1':0.0,'4_1':0.0},(198,263):{'3_1':0.0},(198,262):{'3_1':0.0},(198,261):{'3_1':0.0},(198,260):{'3_1':0.0},(198,258):{'3_1':0.0},(198,257):{'3_1':0.0},(198,256):{'5_1':0.0},(198,255):{'3_1':0.0},(198,253):{'3_1':0.0,'4_1':0.0},(198,252):{'3_1':0.0},(198,251):{'3_1':0.0},(198,249):{'3_1':0.0},(198,248):{'3_1':0.0},(198,246):{'3_1':0.0},(198,245):{'3_1':0.0},(198,238):{'3_1':0.0,'4_1':0.0},(198,237):{'3_1':0.0},(198,236):{'3_1':0.0},(198,235):{'3_1':0.0},(198,234):{'4_1':0.0},(198,232):{'3_1':0.0},(198,230):{'3_1':0.0},(198,229):{'3_1':0.0},(198,228):{'3_1':0.0},(198,226):{'3_1':0.0},(198,225):{'3_1':0.0},(198,222):{'3_1':0.0},(199,459):{'3_1':0.06,'5_1':0.0},(199,458):{'3_1':0.12,'4_1':0.0},(199,457):{'3_1':0.03},(199,456):{'3_1':0.09},(199,455):{'3_1':0.03},(199,454):{'3_1':0.09},(199,453):{'3_1':0.12,'5_1':0.0},(199,452):{'3_1':0.15,'5_2':0.0},(199,451):{'3_1':0.09},(199,450):{'3_1':0.15},(199,449):{'3_1':0.15},(199,448):{'3_1':0.12,'4_1':0.0},(199,447):{'3_1':0.15},(199,446):{'3_1':0.06},(199,445):{'3_1':0.15},(199,444):{'3_1':0.12},(199,443):{'3_1':0.09},(199,442):{'3_1':0.12,'5_2':0.0},(199,441):{'3_1':0.12},(199,440):{'3_1':0.18,'5_2':0.0},(199,439):{'3_1':0.12,'4_1':0.0},(199,438):{'3_1':0.12},(199,437):{'3_1':0.06,'5_2':0.0},(199,436):{'3_1':0.09,'6_3':0.0},(199,435):{'3_1':0.06,'4_1':0.0},(199,434):{'3_1':0.06,'5_2':0.0},(199,433):{'3_1':0.15,'4_1':0.0},(199,432):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(199,431):{'3_1':0.12,'4_1':0.0},(199,430):{'3_1':0.09},(199,429):{'3_1':0.09,'5_1':0.0},(199,428):{'3_1':0.15},(199,427):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(199,426):{'3_1':0.09,'6_1':0.0},(199,425):{'3_1':0.09,'5_2':0.0},(199,424):{'3_1':0.12,'6_2':0.0},(199,423):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(199,422):{'3_1':0.12,'4_1':0.0},(199,421):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(199,420):{'3_1':0.15,'4_1':0.03,'7_2':0.0},(199,419):{'3_1':0.06,'4_1':0.03,'8_21|3_1#4_1':0.0},(199,418):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(199,417):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(199,416):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(199,415):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(199,414):{'3_1':0.12,'4_1':0.06,'5_2':0.03},(199,413):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(199,412):{'3_1':0.15,'4_1':0.06,'6_3':0.0},(199,411):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(199,410):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(199,409):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(199,408):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0},(199,407):{'3_1':0.06},(199,406):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(199,405):{'3_1':0.12},(199,404):{'3_1':0.09,'4_1':0.0},(199,403):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(199,402):{'3_1':0.15,'5_2':0.0},(199,401):{'3_1':0.09,'5_2':0.0},(199,400):{'3_1':0.15},(199,399):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(199,398):{'3_1':0.15,'5_2':0.0},(199,397):{'3_1':0.06},(199,396):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(199,395):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(199,394):{'3_1':0.12,'6_3':0.0,'4_1':0.0},(199,393):{'3_1':0.21,'5_2':0.0},(199,392):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(199,391):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(199,390):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(199,389):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0},(199,388):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(199,387):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(199,386):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(199,385):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(199,384):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_3':0.0},(199,383):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(199,382):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(199,381):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(199,380):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(199,379):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(199,378):{'3_1':0.21,'4_1':0.03},(199,377):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'7_3':0.0,'7_6':0.0},(199,376):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(199,375):{'3_1':0.12,'4_1':0.06},(199,374):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(199,373):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(199,372):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(199,371):{'3_1':0.12},(199,370):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(199,369):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(199,368):{'3_1':0.12,'4_1':0.0},(199,367):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(199,366):{'3_1':0.21,'5_1':0.0},(199,365):{'3_1':0.15},(199,364):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(199,363):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(199,362):{'3_1':0.12,'4_1':0.0},(199,361):{'3_1':0.06},(199,360):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(199,359):{'3_1':0.12},(199,358):{'3_1':0.06,'5_1':0.0},(199,357):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(199,356):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(199,355):{'3_1':0.09,'4_1':0.0},(199,354):{'3_1':0.15},(199,353):{'3_1':0.12,'4_1':0.0},(199,352):{'3_1':0.06,'6_2':0.0,'4_1':0.0},(199,351):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(199,350):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(199,349):{'3_1':0.03,'4_1':0.0},(199,348):{'3_1':0.0,'4_1':0.0},(199,347):{'3_1':0.06},(199,346):{'3_1':0.03},(199,345):{'3_1':0.03},(199,344):{'3_1':0.06,'4_1':0.0},(199,343):{'3_1':0.06},(199,342):{'3_1':0.06,'4_1':0.0},(199,341):{'3_1':0.03,'4_1':0.0},(199,340):{'3_1':0.06,'4_1':0.0},(199,339):{'3_1':0.0,'4_1':0.0},(199,338):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(199,337):{'4_1':0.0,'3_1':0.0},(199,336):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(199,335):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(199,334):{'3_1':0.03,'4_1':0.0},(199,333):{'3_1':0.06},(199,332):{'3_1':0.06,'4_1':0.0},(199,331):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(199,330):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(199,329):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(199,328):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(199,327):{'3_1':0.0,'5_2':0.0},(199,326):{'3_1':0.0},(199,325):{'3_1':0.03},(199,324):{'3_1':0.0},(199,323):{'3_1':0.03},(199,322):{'3_1':0.0},(199,321):{'3_1':0.0},(199,320):{'3_1':0.03},(199,319):{'3_1':0.0},(199,318):{'3_1':0.06,'4_1':0.0},(199,317):{'3_1':0.06,'5_2':0.0},(199,316):{'3_1':0.06,'4_1':0.0},(199,315):{'3_1':0.03,'6_3':0.0},(199,314):{'3_1':0.06,'5_2':0.0},(199,313):{'3_1':0.03},(199,312):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(199,311):{'3_1':0.03},(199,310):{'3_1':0.0},(199,309):{'3_1':0.0,'4_1':0.0},(199,308):{'3_1':0.03},(199,307):{'3_1':0.0},(199,306):{'3_1':0.03},(199,305):{'3_1':0.0,'4_1':0.0},(199,304):{'3_1':0.0},(199,303):{'3_1':0.03},(199,302):{'3_1':0.03},(199,301):{'3_1':0.0},(199,300):{'3_1':0.0},(199,299):{'3_1':0.03},(199,298):{'3_1':0.03},(199,297):{'3_1':0.03,'4_1':0.0},(199,296):{'3_1':0.0},(199,295):{'3_1':0.03},(199,294):{'3_1':0.03},(199,293):{'3_1':0.0},(199,292):{'3_1':0.03,'4_1':0.0},(199,291):{'3_1':0.03},(199,290):{'3_1':0.0},(199,289):{'3_1':0.03,'6_2':0.0},(199,288):{'3_1':0.0},(199,287):{'3_1':0.0},(199,286):{'3_1':0.0},(199,284):{'6_2':0.0},(199,283):{'3_1':0.0},(199,282):{'3_1':0.0,'4_1':0.0},(199,281):{'3_1':0.0},(199,279):{'3_1':0.0},(199,278):{'3_1':0.0},(199,277):{'3_1':0.0},(199,276):{'3_1':0.0,'4_1':0.0},(199,274):{'3_1':0.0,'4_1':0.0},(199,273):{'3_1':0.0},(199,271):{'3_1':0.0},(199,268):{'3_1':0.0},(199,267):{'3_1':0.03},(199,266):{'4_1':0.0},(199,264):{'3_1':0.0},(199,263):{'3_1':0.0},(199,262):{'3_1':0.0,'5_2':0.0},(199,261):{'3_1':0.0},(199,260):{'3_1':0.0},(199,259):{'3_1':0.0},(199,258):{'3_1':0.0,'4_1':0.0},(199,256):{'4_1':0.0},(199,253):{'4_1':0.0},(199,252):{'3_1':0.0},(199,250):{'3_1':0.0,'4_1':0.0},(199,249):{'3_1':0.0},(199,238):{'3_1':0.0},(199,237):{'3_1':0.0},(199,236):{'3_1':0.0},(199,235):{'3_1':0.0},(199,234):{'3_1':0.0},(199,233):{'3_1':0.0},(199,232):{'3_1':0.0},(199,229):{'3_1':0.0,'4_1':0.0},(199,226):{'3_1':0.0},(200,459):{'3_1':0.12},(200,458):{'3_1':0.12},(200,457):{'3_1':0.06},(200,456):{'3_1':0.06},(200,455):{'3_1':0.06},(200,454):{'3_1':0.03},(200,453):{'3_1':0.09,'4_1':0.0},(200,452):{'3_1':0.12,'5_2':0.0},(200,451):{'3_1':0.03},(200,450):{'3_1':0.06,'4_1':0.0},(200,449):{'3_1':0.09},(200,448):{'3_1':0.09,'4_1':0.0},(200,447):{'3_1':0.12,'5_1':0.0},(200,446):{'3_1':0.12,'6_1':0.0,'4_1':0.0},(200,445):{'3_1':0.09,'5_2':0.0},(200,444):{'3_1':0.06},(200,443):{'3_1':0.12},(200,442):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0},(200,441):{'3_1':0.06},(200,440):{'3_1':0.09,'5_2':0.0},(200,439):{'3_1':0.06,'6_1':0.0},(200,438):{'3_1':0.15,'4_1':0.0},(200,437):{'3_1':0.06,'4_1':0.0},(200,436):{'3_1':0.12},(200,435):{'3_1':0.12,'4_1':0.0},(200,434):{'3_1':0.12,'4_1':0.0},(200,433):{'3_1':0.09},(200,432):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(200,431):{'3_1':0.09},(200,430):{'3_1':0.06,'5_2':0.0},(200,429):{'3_1':0.06,'6_1':0.0},(200,428):{'3_1':0.09,'4_1':0.0},(200,427):{'3_1':0.12,'6_2':0.0},(200,426):{'3_1':0.12,'4_1':0.0},(200,425):{'3_1':0.06},(200,424):{'3_1':0.09,'4_1':0.0,'-3':0.0},(200,423):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(200,422):{'3_1':0.12,'4_1':0.0},(200,421):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'6_3':0.0},(200,420):{'3_1':0.06,'4_1':0.03},(200,419):{'3_1':0.12,'4_1':0.0},(200,418):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0},(200,417):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(200,416):{'3_1':0.12,'4_1':0.09},(200,415):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(200,414):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_2':0.0},(200,413):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(200,412):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(200,411):{'3_1':0.12,'4_1':0.0},(200,410):{'3_1':0.09,'6_3':0.0,'6_1':0.0,'7_6':0.0},(200,409):{'3_1':0.09,'4_1':0.0},(200,408):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(200,407):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(200,406):{'3_1':0.15,'4_1':0.0},(200,405):{'3_1':0.09,'4_1':0.0},(200,404):{'3_1':0.09,'4_1':0.0},(200,403):{'3_1':0.12},(200,402):{'3_1':0.09},(200,401):{'3_1':0.12,'5_2':0.0},(200,400):{'3_1':0.06},(200,399):{'3_1':0.12,'4_1':0.0},(200,398):{'3_1':0.06,'4_1':0.0},(200,397):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_3':0.0},(200,396):{'3_1':0.15,'5_2':0.0,'6_2':0.0},(200,395):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(200,394):{'3_1':0.18,'4_1':0.0},(200,393):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(200,392):{'3_1':0.18},(200,391):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(200,390):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(200,389):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0},(200,388):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(200,387):{'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0},(200,386):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(200,385):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(200,384):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(200,383):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(200,382):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(200,381):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_3':0.0},(200,380):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'7_2':0.0},(200,379):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(200,378):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(200,377):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'-3':0.0},(200,376):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0},(200,375):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(200,374):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(200,373):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(200,372):{'3_1':0.15,'4_1':0.0},(200,371):{'3_1':0.09,'5_2':0.0},(200,370):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(200,369):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(200,368):{'3_1':0.21},(200,367):{'3_1':0.12},(200,366):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(200,365):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(200,364):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(200,363):{'3_1':0.06,'4_1':0.0},(200,362):{'3_1':0.12,'5_2':0.0},(200,361):{'3_1':0.09,'4_1':0.0},(200,360):{'3_1':0.09,'4_1':0.0},(200,359):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(200,358):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(200,357):{'3_1':0.09,'4_1':0.0},(200,356):{'3_1':0.12,'5_1':0.0},(200,355):{'3_1':0.03},(200,354):{'3_1':0.09,'5_2':0.0},(200,353):{'3_1':0.06,'4_1':0.0,'7_4':0.0},(200,352):{'3_1':0.09,'5_2':0.0},(200,351):{'3_1':0.09,'4_1':0.0},(200,350):{'3_1':0.06,'4_1':0.0},(200,349):{'3_1':0.03,'4_1':0.0},(200,348):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(200,347):{'3_1':0.06},(200,346):{'3_1':0.03},(200,345):{'3_1':0.0,'4_1':0.0},(200,344):{'3_1':0.06},(200,343):{'3_1':0.0},(200,342):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(200,341):{'3_1':0.03,'4_1':0.0},(200,340):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(200,339):{'3_1':0.03,'4_1':0.0},(200,338):{'3_1':0.0},(200,337):{'3_1':0.09,'4_1':0.0},(200,336):{'3_1':0.03,'4_1':0.0},(200,335):{'3_1':0.03,'4_1':0.0},(200,334):{'3_1':0.06},(200,333):{'3_1':0.03,'4_1':0.0},(200,332):{'3_1':0.06},(200,331):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(200,330):{'3_1':0.03,'6_3':0.0},(200,329):{'4_1':0.0},(200,328):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(200,327):{'3_1':0.03,'4_1':0.0},(200,326):{'3_1':0.03,'4_1':0.0},(200,325):{'3_1':0.03,'4_1':0.0},(200,324):{'3_1':0.0},(200,323):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(200,322):{'3_1':0.0},(200,321):{'3_1':0.0},(200,320):{'3_1':0.03},(200,319):{'3_1':0.03,'4_1':0.0},(200,318):{'3_1':0.03,'4_1':0.0},(200,317):{'3_1':0.06,'4_1':0.0},(200,316):{'3_1':0.03},(200,315):{'3_1':0.03,'5_1':0.0},(200,314):{'3_1':0.06},(200,313):{'3_1':0.03},(200,312):{'3_1':0.06,'5_2':0.0},(200,311):{'3_1':0.06},(200,310):{'3_1':0.03},(200,309):{'3_1':0.0},(200,308):{'3_1':0.0,'4_1':0.0},(200,307):{'3_1':0.03},(200,306):{'3_1':0.03,'4_1':0.0},(200,305):{'3_1':0.03},(200,304):{'3_1':0.0,'4_1':0.0},(200,303):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(200,302):{'3_1':0.03,'5_2':0.0},(200,301):{'3_1':0.0},(200,300):{'3_1':0.03},(200,299):{'3_1':0.03,'4_1':0.0},(200,298):{'3_1':0.03,'4_1':0.0},(200,297):{'3_1':0.03},(200,296):{'3_1':0.0},(200,295):{'3_1':0.0},(200,294):{'3_1':0.0,'4_1':0.0},(200,293):{'4_1':0.0,'3_1':0.0},(200,292):{'3_1':0.03},(200,290):{'3_1':0.0,'6_2':0.0},(200,289):{'3_1':0.0,'4_1':0.0},(200,288):{'3_1':0.0},(200,287):{'3_1':0.03},(200,286):{'3_1':0.0,'4_1':0.0},(200,285):{'3_1':0.0},(200,284):{'3_1':0.0},(200,282):{'4_1':0.0},(200,281):{'3_1':0.03,'4_1':0.0},(200,280):{'3_1':0.0,'4_1':0.0},(200,279):{'3_1':0.0},(200,278):{'3_1':0.0},(200,277):{'3_1':0.0},(200,276):{'3_1':0.03},(200,274):{'3_1':0.0},(200,273):{'4_1':0.0},(200,272):{'3_1':0.0},(200,271):{'3_1':0.0},(200,270):{'3_1':0.0},(200,269):{'3_1':0.0,'5_2':0.0},(200,268):{'3_1':0.0},(200,267):{'3_1':0.03},(200,266):{'3_1':0.0},(200,265):{'3_1':0.0},(200,264):{'3_1':0.0},(200,262):{'3_1':0.0,'5_1':0.0},(200,261):{'3_1':0.0},(200,260):{'3_1':0.0},(200,259):{'3_1':0.0},(200,258):{'3_1':0.0},(200,256):{'3_1':0.0},(200,255):{'3_1':0.0,'4_1':0.0},(200,253):{'3_1':0.0,'4_1':0.0},(200,252):{'3_1':0.0},(200,251):{'3_1':0.0},(200,250):{'3_1':0.0},(200,249):{'3_1':0.0},(200,247):{'3_1':0.0},(200,246):{'3_1':0.0},(200,245):{'3_1':0.0,'4_1':0.0},(200,238):{'3_1':0.0},(200,236):{'3_1':0.0},(200,235):{'4_1':0.0},(200,234):{'3_1':0.03},(200,233):{'3_1':0.0},(200,232):{'3_1':0.0},(200,231):{'3_1':0.0},(200,230):{'3_1':0.03},(200,229):{'3_1':0.0},(200,228):{'3_1':0.0},(200,227):{'3_1':0.0},(200,226):{'3_1':0.0},(200,225):{'3_1':0.0},(200,224):{'3_1':0.0},(200,223):{'3_1':0.0},(200,222):{'3_1':0.0},(200,220):{'3_1':0.0},(201,459):{'3_1':0.06},(201,458):{'3_1':0.12},(201,457):{'3_1':0.12},(201,456):{'3_1':0.09},(201,455):{'3_1':0.09,'5_1':0.0},(201,454):{'3_1':0.15},(201,453):{'3_1':0.09},(201,452):{'3_1':0.09},(201,451):{'3_1':0.12},(201,450):{'3_1':0.09},(201,449):{'3_1':0.12},(201,448):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(201,447):{'3_1':0.12,'5_1':0.0},(201,446):{'3_1':0.15,'6_1':0.0},(201,445):{'3_1':0.15},(201,444):{'3_1':0.12,'5_1':0.0},(201,443):{'3_1':0.12},(201,442):{'3_1':0.18},(201,441):{'3_1':0.09,'6_1':0.0},(201,440):{'3_1':0.12,'4_1':0.0},(201,439):{'3_1':0.09,'4_1':0.0},(201,438):{'3_1':0.12},(201,437):{'3_1':0.09},(201,436):{'3_1':0.09},(201,435):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(201,434):{'3_1':0.15},(201,433):{'3_1':0.12,'4_1':0.0},(201,432):{'3_1':0.09,'5_2':0.0},(201,431):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(201,430):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(201,429):{'3_1':0.15,'4_1':0.0},(201,428):{'3_1':0.12,'4_1':0.0},(201,427):{'3_1':0.15},(201,426):{'3_1':0.09,'4_1':0.0},(201,425):{'3_1':0.12},(201,424):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(201,423):{'3_1':0.09,'4_1':0.0},(201,422):{'3_1':0.09,'4_1':0.0},(201,421):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(201,420):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(201,419):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(201,418):{'3_1':0.15,'4_1':0.0},(201,417):{'4_1':0.06,'3_1':0.03},(201,416):{'3_1':0.15,'6_1':0.0,'6_2':0.0,'7_2':0.0},(201,415):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(201,414):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(201,413):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(201,412):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(201,411):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(201,410):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(201,409):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(201,408):{'3_1':0.15,'6_3':0.0},(201,407):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0},(201,406):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(201,405):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(201,404):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(201,403):{'3_1':0.15,'5_2':0.0},(201,402):{'3_1':0.15,'6_2':0.0},(201,401):{'3_1':0.15,'4_1':0.0},(201,400):{'3_1':0.12},(201,399):{'3_1':0.18,'4_1':0.0},(201,398):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(201,397):{'3_1':0.09,'4_1':0.0},(201,396):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(201,395):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_3':0.0},(201,394):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(201,393):{'3_1':0.21,'5_1':0.0},(201,392):{'3_1':0.12,'4_1':0.0},(201,391):{'3_1':0.12,'5_2':0.0},(201,390):{'3_1':0.21,'4_1':0.0,'8_20|3_1#3_1':0.0},(201,389):{'3_1':0.18,'6_3':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(201,388):{'3_1':0.18,'4_1':0.03},(201,387):{'3_1':0.21,'4_1':0.0},(201,386):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(201,385):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(201,384):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'5_2':0.0},(201,383):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(201,382):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(201,381):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(201,380):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_2':0.0},(201,379):{'3_1':0.18,'5_2':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0},(201,378):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(201,377):{'3_1':0.15,'4_1':0.0,'-3':0.0},(201,376):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_3':0.0},(201,375):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(201,374):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(201,373):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(201,372):{'3_1':0.09},(201,371):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(201,370):{'3_1':0.21,'5_2':0.0},(201,369):{'3_1':0.15},(201,368):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0},(201,367):{'3_1':0.15,'4_1':0.0},(201,366):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(201,365):{'3_1':0.18,'4_1':0.0},(201,364):{'3_1':0.15,'4_1':0.0},(201,363):{'3_1':0.12,'4_1':0.0},(201,362):{'3_1':0.06},(201,361):{'3_1':0.09},(201,360):{'3_1':0.15,'4_1':0.0},(201,359):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(201,358):{'3_1':0.15,'4_1':0.0},(201,357):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(201,356):{'3_1':0.15,'4_1':0.0},(201,355):{'3_1':0.12,'5_2':0.0},(201,354):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(201,353):{'3_1':0.06,'5_1':0.0},(201,352):{'3_1':0.12,'4_1':0.0},(201,351):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(201,350):{'3_1':0.06},(201,349):{'3_1':0.06,'5_2':0.0},(201,348):{'3_1':0.03},(201,347):{'3_1':0.06},(201,346):{'3_1':0.03},(201,345):{'3_1':0.03},(201,344):{'3_1':0.0,'4_1':0.0},(201,343):{'3_1':0.06},(201,342):{'3_1':0.03,'8_20|3_1#3_1':0.0},(201,341):{'3_1':0.03,'4_1':0.0},(201,340):{'3_1':0.06,'4_1':0.0},(201,339):{'3_1':0.03,'4_1':0.0},(201,338):{'3_1':0.09,'4_1':0.0},(201,337):{'3_1':0.03,'8_20|3_1#3_1':0.0},(201,336):{'3_1':0.03,'4_1':0.0},(201,335):{'3_1':0.09,'4_1':0.03},(201,334):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(201,333):{'3_1':0.12,'4_1':0.0},(201,332):{'3_1':0.03,'4_1':0.03},(201,331):{'3_1':0.03,'4_1':0.0},(201,330):{'3_1':0.03,'4_1':0.0},(201,329):{'3_1':0.0,'4_1':0.0},(201,328):{'3_1':0.03},(201,327):{'3_1':0.0,'6_3':0.0},(201,326):{'3_1':0.0,'4_1':0.0},(201,325):{'3_1':0.09,'4_1':0.0},(201,324):{'3_1':0.03},(201,323):{'3_1':0.06},(201,322):{'3_1':0.03},(201,321):{'3_1':0.03,'4_1':0.0},(201,320):{'3_1':0.09},(201,319):{'3_1':0.09},(201,318):{'3_1':0.03},(201,317):{'3_1':0.06,'5_2':0.0},(201,316):{'3_1':0.0},(201,315):{'3_1':0.09,'4_1':0.0},(201,314):{'3_1':0.06},(201,313):{'3_1':0.06,'5_2':0.0},(201,312):{'3_1':0.03},(201,311):{'3_1':0.03,'4_1':0.0},(201,310):{'3_1':0.06,'5_2':0.0},(201,309):{'3_1':0.03,'4_1':0.0},(201,308):{'3_1':0.06,'4_1':0.0},(201,307):{'3_1':0.0},(201,306):{'3_1':0.0},(201,305):{'3_1':0.0},(201,304):{'3_1':0.03,'4_1':0.0},(201,303):{'3_1':0.06},(201,302):{'3_1':0.03,'4_1':0.0},(201,301):{'3_1':0.0},(201,300):{'3_1':0.0},(201,299):{'3_1':0.03},(201,298):{'3_1':0.03},(201,297):{'3_1':0.0},(201,296):{'3_1':0.0,'4_1':0.0},(201,295):{'3_1':0.03},(201,294):{'3_1':0.0},(201,293):{'3_1':0.03},(201,292):{'3_1':0.0,'4_1':0.0},(201,291):{'3_1':0.03},(201,290):{'3_1':0.0,'4_1':0.0},(201,289):{'3_1':0.03},(201,288):{'3_1':0.0,'4_1':0.0},(201,287):{'3_1':0.03},(201,286):{'3_1':0.0},(201,285):{'3_1':0.0,'4_1':0.0},(201,284):{'3_1':0.03,'4_1':0.0},(201,283):{'3_1':0.0},(201,282):{'3_1':0.03},(201,281):{'4_1':0.0},(201,280):{'3_1':0.0},(201,279):{'4_1':0.0},(201,278):{'3_1':0.0},(201,277):{'3_1':0.0},(201,276):{'3_1':0.0,'4_1':0.0},(201,275):{'3_1':0.0},(201,274):{'3_1':0.0},(201,273):{'3_1':0.0},(201,272):{'3_1':0.0},(201,271):{'3_1':0.0},(201,270):{'3_1':0.0},(201,269):{'3_1':0.0},(201,268):{'3_1':0.0},(201,267):{'3_1':0.0},(201,265):{'3_1':0.0},(201,264):{'3_1':0.0},(201,263):{'3_1':0.0},(201,262):{'3_1':0.0,'4_1':0.0},(201,261):{'3_1':0.03},(201,260):{'3_1':0.0},(201,259):{'3_1':0.0},(201,258):{'3_1':0.0},(201,257):{'3_1':0.0},(201,256):{'3_1':0.0},(201,255):{'3_1':0.03},(201,253):{'3_1':0.0},(201,251):{'3_1':0.0},(201,250):{'3_1':0.0,'4_1':0.0},(201,249):{'3_1':0.0},(201,248):{'3_1':0.0},(201,247):{'3_1':0.03},(201,245):{'3_1':0.0,'4_1':0.0},(201,238):{'4_1':0.0,'3_1':0.0},(201,237):{'3_1':0.0},(201,236):{'3_1':0.0},(201,235):{'3_1':0.0},(201,234):{'3_1':0.0},(201,233):{'3_1':0.0},(201,232):{'3_1':0.0},(201,231):{'3_1':0.0},(201,230):{'3_1':0.03},(201,229):{'3_1':0.03},(201,228):{'3_1':0.0},(201,226):{'3_1':0.0},(201,225):{'3_1':0.0},(201,224):{'3_1':0.0},(201,223):{'3_1':0.0},(201,221):{'3_1':0.0},(201,220):{'3_1':0.0},(202,459):{'3_1':0.21},(202,458):{'3_1':0.15},(202,457):{'3_1':0.21},(202,456):{'3_1':0.18},(202,455):{'3_1':0.15},(202,454):{'3_1':0.12},(202,453):{'3_1':0.15},(202,452):{'3_1':0.21},(202,451):{'3_1':0.12},(202,450):{'3_1':0.12},(202,449):{'3_1':0.21,'7_6':0.0},(202,448):{'3_1':0.18,'4_1':0.0,'7_7':0.0},(202,447):{'3_1':0.15,'4_1':0.0},(202,446):{'3_1':0.15,'6_1':0.0},(202,445):{'3_1':0.15},(202,444):{'3_1':0.12,'5_2':0.0},(202,443):{'3_1':0.12,'5_2':0.0},(202,442):{'3_1':0.12,'4_1':0.0},(202,441):{'3_1':0.18},(202,440):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(202,439):{'3_1':0.15,'4_1':0.0},(202,438):{'3_1':0.15,'4_1':0.0,'7_7':0.0},(202,437):{'3_1':0.09,'5_2':0.0},(202,436):{'3_1':0.18,'5_2':0.0},(202,435):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(202,434):{'3_1':0.12},(202,433):{'3_1':0.24},(202,432):{'3_1':0.12,'5_2':0.0},(202,431):{'3_1':0.12},(202,430):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(202,429):{'3_1':0.18},(202,428):{'3_1':0.12,'4_1':0.03},(202,427):{'3_1':0.21,'6_1':0.0},(202,426):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(202,425):{'3_1':0.09,'4_1':0.03},(202,424):{'3_1':0.15,'-3':0.0},(202,423):{'3_1':0.12,'4_1':0.0},(202,422):{'3_1':0.12,'4_1':0.0},(202,421):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(202,420):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'7_2':0.0},(202,419):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(202,418):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(202,417):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(202,416):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(202,415):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'8_21|3_1#4_1':0.0},(202,414):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(202,413):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_6':0.0},(202,412):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'-3':0.0},(202,411):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_3':0.0},(202,410):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'5_2':0.0},(202,409):{'3_1':0.18,'5_2':0.0},(202,408):{'3_1':0.21,'4_1':0.0,'6_3':0.0},(202,407):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(202,406):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(202,405):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(202,404):{'3_1':0.15,'5_2':0.0},(202,403):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(202,402):{'3_1':0.24,'4_1':0.0},(202,401):{'3_1':0.12,'4_1':0.0},(202,400):{'3_1':0.21,'5_2':0.0},(202,399):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(202,398):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(202,397):{'3_1':0.15,'4_1':0.0},(202,396):{'3_1':0.18,'5_2':0.0},(202,395):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(202,394):{'3_1':0.24,'4_1':0.0},(202,393):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(202,392):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0},(202,391):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(202,390):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(202,389):{'3_1':0.12,'4_1':0.03},(202,388):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(202,387):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(202,386):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'6_1':0.0},(202,385):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(202,384):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(202,383):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'-3':0.0},(202,382):{'3_1':0.18,'4_1':0.0},(202,381):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(202,380):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(202,379):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(202,378):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(202,377):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(202,376):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(202,375):{'3_1':0.21,'4_1':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0},(202,374):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(202,373):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(202,372):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(202,371):{'3_1':0.12,'5_2':0.0},(202,370):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(202,369):{'3_1':0.15,'4_1':0.0,'7_2':0.0},(202,368):{'3_1':0.12,'4_1':0.0},(202,367):{'3_1':0.12,'5_2':0.0},(202,366):{'3_1':0.09,'5_2':0.0},(202,365):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(202,364):{'3_1':0.15},(202,363):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(202,362):{'3_1':0.18,'4_1':0.0},(202,361):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(202,360):{'3_1':0.18,'4_1':0.0},(202,359):{'3_1':0.12},(202,358):{'3_1':0.09,'4_1':0.0},(202,357):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(202,356):{'3_1':0.12,'4_1':0.0},(202,355):{'3_1':0.12,'4_1':0.0},(202,354):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(202,353):{'3_1':0.12},(202,352):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(202,351):{'3_1':0.06,'4_1':0.0},(202,350):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(202,349):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(202,348):{'3_1':0.03,'4_1':0.0},(202,347):{'3_1':0.09},(202,346):{'3_1':0.06,'4_1':0.0},(202,345):{'3_1':0.06},(202,344):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(202,343):{'3_1':0.06},(202,342):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(202,341):{'3_1':0.06},(202,340):{'3_1':0.03,'4_1':0.0},(202,339):{'3_1':0.03,'4_1':0.0},(202,338):{'3_1':0.09,'8_20|3_1#3_1':0.0},(202,337):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(202,336):{'3_1':0.06},(202,335):{'3_1':0.06,'4_1':0.0},(202,334):{'3_1':0.06,'4_1':0.0},(202,333):{'3_1':0.09,'4_1':0.03},(202,332):{'3_1':0.06,'4_1':0.0},(202,331):{'3_1':0.06},(202,330):{'3_1':0.06,'4_1':0.0},(202,329):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(202,328):{'3_1':0.03,'6_3':0.0},(202,327):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(202,326):{'3_1':0.03},(202,325):{'3_1':0.03},(202,324):{'3_1':0.06},(202,323):{'3_1':0.0,'4_1':0.0},(202,322):{'3_1':0.03},(202,321):{'3_1':0.03},(202,320):{'3_1':0.03},(202,319):{'3_1':0.03},(202,318):{'3_1':0.06},(202,317):{'3_1':0.03,'4_1':0.0},(202,316):{'3_1':0.06,'5_2':0.0},(202,315):{'3_1':0.06,'5_2':0.0},(202,314):{'3_1':0.06},(202,313):{'3_1':0.06},(202,312):{'3_1':0.06},(202,311):{'3_1':0.03},(202,310):{'3_1':0.03,'4_1':0.0},(202,309):{'3_1':0.0,'4_1':0.0},(202,308):{'3_1':0.03},(202,307):{'3_1':0.0},(202,306):{'3_1':0.06},(202,305):{'3_1':0.0},(202,304):{'3_1':0.03},(202,303):{'3_1':0.03},(202,302):{'3_1':0.03},(202,301):{'3_1':0.03},(202,300):{'3_1':0.0},(202,299):{'3_1':0.06},(202,298):{'3_1':0.0},(202,297):{'3_1':0.0},(202,296):{'3_1':0.0},(202,295):{'3_1':0.0},(202,294):{'3_1':0.0,'4_1':0.0},(202,293):{'3_1':0.0},(202,292):{'3_1':0.0},(202,291):{'3_1':0.0,'4_1':0.0},(202,289):{'3_1':0.03},(202,288):{'3_1':0.0},(202,287):{'3_1':0.0},(202,286):{'3_1':0.03},(202,285):{'3_1':0.0},(202,284):{'3_1':0.03},(202,283):{'3_1':0.0,'4_1':0.0},(202,282):{'3_1':0.0},(202,281):{'4_1':0.0,'3_1':0.0},(202,280):{'3_1':0.0},(202,279):{'4_1':0.0},(202,278):{'3_1':0.0},(202,277):{'3_1':0.0},(202,276):{'3_1':0.0},(202,275):{'3_1':0.0},(202,274):{'3_1':0.0,'4_1':0.0},(202,273):{'3_1':0.0},(202,272):{'3_1':0.0},(202,271):{'3_1':0.0},(202,270):{'4_1':0.0},(202,269):{'3_1':0.0},(202,268):{'3_1':0.0},(202,266):{'3_1':0.0},(202,265):{'3_1':0.0},(202,264):{'3_1':0.0},(202,263):{'3_1':0.0},(202,259):{'3_1':0.0},(202,257):{'4_1':0.0},(202,255):{'3_1':0.0},(202,254):{'5_1':0.0},(202,252):{'3_1':0.0},(202,251):{'3_1':0.0},(202,250):{'3_1':0.0,'4_1':0.0},(202,249):{'3_1':0.0},(202,248):{'3_1':0.0},(202,237):{'3_1':0.0},(202,236):{'3_1':0.0},(202,235):{'3_1':0.0},(202,234):{'3_1':0.0},(202,233):{'3_1':0.0},(202,231):{'3_1':0.0},(202,230):{'3_1':0.0},(202,229):{'3_1':0.0},(202,228):{'3_1':0.0},(202,227):{'3_1':0.0},(202,226):{'3_1':0.0},(202,225):{'3_1':0.0},(202,222):{'3_1':0.0},(202,221):{'3_1':0.0},(202,220):{'3_1':0.0},(202,218):{'3_1':0.0},(203,459):{'3_1':0.15},(203,458):{'3_1':0.18,'4_1':0.0},(203,457):{'3_1':0.09},(203,456):{'3_1':0.15,'4_1':0.0},(203,455):{'3_1':0.12,'4_1':0.0},(203,454):{'3_1':0.15,'4_1':0.0},(203,453):{'3_1':0.15},(203,452):{'3_1':0.12,'4_1':0.0},(203,451):{'3_1':0.15},(203,450):{'3_1':0.18,'8_20|3_1#3_1':0.0},(203,449):{'3_1':0.15},(203,448):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(203,447):{'3_1':0.18},(203,446):{'3_1':0.18,'5_1':0.0},(203,445):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(203,444):{'3_1':0.18},(203,443):{'3_1':0.12,'5_2':0.0},(203,442):{'3_1':0.15},(203,441):{'3_1':0.21},(203,440):{'3_1':0.18},(203,439):{'3_1':0.15},(203,438):{'3_1':0.15,'5_2':0.0},(203,437):{'3_1':0.09,'5_2':0.0},(203,436):{'3_1':0.15,'4_1':0.0},(203,435):{'3_1':0.15,'4_1':0.0},(203,434):{'3_1':0.09,'4_1':0.0},(203,433):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(203,432):{'3_1':0.12},(203,431):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(203,430):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'6_2':0.0},(203,429):{'3_1':0.18,'4_1':0.0},(203,428):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(203,427):{'3_1':0.12,'6_1':0.0},(203,426):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(203,425):{'3_1':0.15,'5_2':0.0,'6_1':0.0},(203,424):{'3_1':0.21,'6_1':0.0},(203,423):{'3_1':0.18,'5_2':0.0,'8_7':0.0},(203,422):{'3_1':0.12,'4_1':0.0},(203,421):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(203,420):{'3_1':0.03,'5_2':0.03,'4_1':0.0},(203,419):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(203,418):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(203,417):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_14':0.0},(203,416):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(203,415):{'3_1':0.12,'4_1':0.03},(203,414):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(203,413):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(203,412):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(203,411):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(203,410):{'3_1':0.06,'4_1':0.03},(203,409):{'3_1':0.12,'4_1':0.0},(203,408):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(203,407):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(203,406):{'3_1':0.18,'4_1':0.0},(203,405):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(203,404):{'3_1':0.09},(203,403):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(203,402):{'3_1':0.15},(203,401):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(203,400):{'3_1':0.21},(203,399):{'3_1':0.15,'4_1':0.0},(203,398):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(203,397):{'3_1':0.15,'4_1':0.0},(203,396):{'3_1':0.12},(203,395):{'3_1':0.18,'5_1':0.0,'6_2':0.0,'6_3':0.0},(203,394):{'3_1':0.18},(203,393):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(203,392):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(203,391):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(203,390):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(203,389):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'6_3':0.0},(203,388):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(203,387):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(203,386):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(203,385):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(203,384):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0,'5_1':0.0},(203,383):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_3':0.0},(203,382):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(203,381):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_3':0.0},(203,380):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(203,379):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(203,378):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(203,377):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(203,376):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(203,375):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(203,374):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(203,373):{'3_1':0.18,'6_1':0.0},(203,372):{'3_1':0.18,'5_2':0.0},(203,371):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(203,370):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(203,369):{'3_1':0.15,'5_1':0.0},(203,368):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(203,367):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(203,366):{'3_1':0.18,'5_2':0.0},(203,365):{'3_1':0.15,'4_1':0.0},(203,364):{'3_1':0.12},(203,363):{'3_1':0.15,'4_1':0.0},(203,362):{'3_1':0.09,'4_1':0.0},(203,361):{'3_1':0.09},(203,360):{'3_1':0.12,'4_1':0.03},(203,359):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(203,358):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(203,357):{'3_1':0.06,'4_1':0.0},(203,356):{'3_1':0.09},(203,355):{'3_1':0.09},(203,354):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(203,353):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(203,352):{'3_1':0.15},(203,351):{'3_1':0.15},(203,350):{'3_1':0.03},(203,349):{'3_1':0.06,'4_1':0.0},(203,348):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(203,347):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(203,346):{'3_1':0.03,'4_1':0.0},(203,345):{'3_1':0.0,'4_1':0.0},(203,344):{'3_1':0.0,'4_1':0.0},(203,343):{'3_1':0.03,'4_1':0.0},(203,342):{'3_1':0.06,'4_1':0.0},(203,341):{'3_1':0.0},(203,340):{'3_1':0.09},(203,339):{'3_1':0.06,'4_1':0.0},(203,338):{'3_1':0.0,'4_1':0.0},(203,337):{'3_1':0.06,'4_1':0.0},(203,336):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(203,335):{'3_1':0.06,'4_1':0.0},(203,334):{'3_1':0.03,'4_1':0.0},(203,333):{'3_1':0.06,'4_1':0.0},(203,332):{'3_1':0.09,'4_1':0.0},(203,331):{'3_1':0.06,'4_1':0.0},(203,330):{'3_1':0.03,'4_1':0.0},(203,329):{'3_1':0.03,'4_1':0.0},(203,328):{'3_1':0.03},(203,327):{'3_1':0.06,'4_1':0.0},(203,326):{'3_1':0.0,'4_1':0.0},(203,325):{'3_1':0.0,'4_1':0.0},(203,324):{'3_1':0.06},(203,323):{'3_1':0.06},(203,322):{'3_1':0.0},(203,321):{'3_1':0.06},(203,320):{'3_1':0.03},(203,319):{'3_1':0.03,'5_2':0.0},(203,318):{'3_1':0.03,'4_1':0.0},(203,317):{'3_1':0.03},(203,316):{'3_1':0.09,'4_1':0.0},(203,315):{'3_1':0.0,'5_2':0.0},(203,314):{'3_1':0.03,'5_2':0.0},(203,313):{'3_1':0.03,'5_2':0.0},(203,312):{'3_1':0.03},(203,311):{'3_1':0.03},(203,310):{'3_1':0.03},(203,309):{'3_1':0.0},(203,308):{'3_1':0.0,'4_1':0.0},(203,307):{'3_1':0.0},(203,306):{'3_1':0.03},(203,305):{'3_1':0.0},(203,304):{'3_1':0.0},(203,303):{'3_1':0.03,'4_1':0.0},(203,302):{'3_1':0.0,'4_1':0.0},(203,301):{'3_1':0.0},(203,300):{'3_1':0.0},(203,299):{'3_1':0.0},(203,298):{'3_1':0.0},(203,297):{'3_1':0.03},(203,295):{'4_1':0.0,'3_1':0.0},(203,294):{'3_1':0.0},(203,293):{'3_1':0.0},(203,292):{'3_1':0.0},(203,291):{'3_1':0.0},(203,290):{'3_1':0.0},(203,289):{'3_1':0.03},(203,288):{'3_1':0.03},(203,287):{'3_1':0.0},(203,286):{'3_1':0.03},(203,285):{'3_1':0.0},(203,284):{'3_1':0.0},(203,283):{'3_1':0.0},(203,282):{'3_1':0.0,'5_2':0.0},(203,281):{'3_1':0.0},(203,279):{'3_1':0.0},(203,277):{'3_1':0.0},(203,276):{'3_1':0.03},(203,275):{'3_1':0.0},(203,273):{'3_1':0.0,'5_2':0.0},(203,271):{'3_1':0.0},(203,270):{'3_1':0.0},(203,269):{'3_1':0.0},(203,268):{'3_1':0.0},(203,266):{'3_1':0.0},(203,265):{'3_1':0.0},(203,264):{'3_1':0.0},(203,262):{'3_1':0.0},(203,261):{'3_1':0.0},(203,258):{'3_1':0.0},(203,256):{'3_1':0.0},(203,255):{'3_1':0.0},(203,253):{'3_1':0.0},(203,251):{'3_1':0.0},(203,250):{'3_1':0.0},(203,238):{'3_1':0.0,'4_1':0.0},(203,237):{'4_1':0.0},(203,233):{'3_1':0.0},(203,232):{'3_1':0.0},(203,231):{'3_1':0.0},(203,229):{'3_1':0.0},(203,228):{'3_1':0.0},(203,227):{'3_1':0.0},(203,226):{'3_1':0.0},(203,223):{'3_1':0.0},(203,221):{'3_1':0.0},(203,219):{'3_1':0.0},(204,459):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'-3':0.0},(204,458):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(204,457):{'3_1':0.18,'4_1':0.0},(204,456):{'3_1':0.18},(204,455):{'3_1':0.18},(204,454):{'3_1':0.12},(204,453):{'3_1':0.18},(204,452):{'3_1':0.15,'4_1':0.0},(204,451):{'3_1':0.15,'4_1':0.0},(204,450):{'3_1':0.18},(204,449):{'3_1':0.21,'5_1':0.0},(204,448):{'3_1':0.15},(204,447):{'3_1':0.21,'5_1':0.0},(204,446):{'3_1':0.15},(204,445):{'3_1':0.18},(204,444):{'3_1':0.09},(204,443):{'3_1':0.15,'6_3':0.0},(204,442):{'3_1':0.18,'5_2':0.0},(204,441):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(204,440):{'3_1':0.15,'5_2':0.0},(204,439):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(204,438):{'3_1':0.12,'4_1':0.0},(204,437):{'3_1':0.18},(204,436):{'3_1':0.18},(204,435):{'3_1':0.15},(204,434):{'3_1':0.18,'4_1':0.0},(204,433):{'3_1':0.18,'4_1':0.0},(204,432):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(204,431):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(204,430):{'3_1':0.15,'4_1':0.0},(204,429):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(204,428):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(204,427):{'3_1':0.12,'6_3':0.0},(204,426):{'3_1':0.15,'5_1':0.0},(204,425):{'3_1':0.15,'4_1':0.0},(204,424):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'7_3':0.0},(204,423):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0},(204,422):{'3_1':0.12},(204,421):{'3_1':0.15,'4_1':0.0},(204,420):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(204,419):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(204,418):{'3_1':0.06,'5_2':0.0},(204,417):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(204,416):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(204,415):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(204,414):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(204,413):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(204,412):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(204,411):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(204,410):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_3':0.0},(204,409):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(204,408):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(204,407):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(204,406):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(204,405):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(204,404):{'3_1':0.15},(204,403):{'3_1':0.21,'4_1':0.0,'6_1':0.0},(204,402):{'3_1':0.21,'4_1':0.0},(204,401):{'3_1':0.12},(204,400):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(204,399):{'3_1':0.12,'4_1':0.0},(204,398):{'3_1':0.18,'5_1':0.0},(204,397):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(204,396):{'3_1':0.24,'5_2':0.03,'5_1':0.0},(204,395):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(204,394):{'3_1':0.21,'5_2':0.0,'7_1':0.0},(204,393):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(204,392):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(204,391):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(204,390):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'8_19':0.0},(204,389):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_3':0.0,'5_1':0.0,'7_6':0.0},(204,388):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(204,387):{'3_1':0.09,'4_1':0.0},(204,386):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(204,385):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(204,384):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0},(204,383):{'3_1':0.12,'4_1':0.03,'-3':0.0},(204,382):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0},(204,381):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(204,380):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(204,379):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'6_1':0.0},(204,378):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(204,377):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(204,376):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(204,375):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(204,374):{'3_1':0.15},(204,373):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(204,372):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(204,371):{'3_1':0.18,'5_1':0.0},(204,370):{'3_1':0.12},(204,369):{'3_1':0.15},(204,368):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(204,367):{'3_1':0.12,'4_1':0.0},(204,366):{'3_1':0.12},(204,365):{'3_1':0.21,'5_2':0.0},(204,364):{'3_1':0.09,'5_2':0.0},(204,363):{'3_1':0.15,'4_1':0.0},(204,362):{'3_1':0.09},(204,361):{'3_1':0.18},(204,360):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(204,359):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(204,358):{'3_1':0.18},(204,357):{'3_1':0.12},(204,356):{'3_1':0.15,'4_1':0.0},(204,355):{'3_1':0.15,'4_1':0.0},(204,354):{'3_1':0.12,'4_1':0.0},(204,353):{'3_1':0.09},(204,352):{'3_1':0.15},(204,351):{'3_1':0.09,'6_2':0.0},(204,350):{'3_1':0.06,'4_1':0.0},(204,349):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(204,348):{'3_1':0.03},(204,347):{'3_1':0.06,'4_1':0.0},(204,346):{'3_1':0.09,'4_1':0.0},(204,345):{'3_1':0.06,'4_1':0.0},(204,344):{'3_1':0.06},(204,343):{'3_1':0.0,'4_1':0.0},(204,342):{'3_1':0.03},(204,341):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(204,340):{'4_1':0.03,'3_1':0.03},(204,339):{'3_1':0.06,'4_1':0.0},(204,338):{'3_1':0.0,'4_1':0.0},(204,337):{'3_1':0.06,'4_1':0.0},(204,336):{'3_1':0.06,'4_1':0.0},(204,335):{'3_1':0.0},(204,334):{'3_1':0.09,'4_1':0.0},(204,333):{'3_1':0.03,'4_1':0.03},(204,332):{'4_1':0.03,'3_1':0.03},(204,331):{'3_1':0.06,'6_3':0.0},(204,330):{'3_1':0.06,'4_1':0.0},(204,329):{'3_1':0.03},(204,328):{'3_1':0.03,'4_1':0.0},(204,327):{'3_1':0.0},(204,326):{'3_1':0.0},(204,325):{'3_1':0.03,'4_1':0.0},(204,324):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(204,323):{'3_1':0.0},(204,322):{'3_1':0.03,'4_1':0.0},(204,321):{'3_1':0.03},(204,320):{'3_1':0.03},(204,319):{'3_1':0.03},(204,318):{'3_1':0.09},(204,317):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(204,316):{'3_1':0.06},(204,315):{'3_1':0.0},(204,314):{'3_1':0.0},(204,313):{'3_1':0.03},(204,312):{'3_1':0.06},(204,311):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(204,310):{'3_1':0.03},(204,309):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(204,308):{'3_1':0.03},(204,307):{'3_1':0.0},(204,306):{'3_1':0.03},(204,305):{'3_1':0.0},(204,304):{'3_1':0.03},(204,303):{'3_1':0.0},(204,302):{'3_1':0.0},(204,299):{'3_1':0.0},(204,298):{'3_1':0.0},(204,297):{'3_1':0.0},(204,296):{'3_1':0.0},(204,295):{'3_1':0.0},(204,294):{'3_1':0.03},(204,293):{'3_1':0.0,'4_1':0.0},(204,292):{'3_1':0.0},(204,290):{'3_1':0.0,'4_1':0.0},(204,289):{'3_1':0.03},(204,288):{'3_1':0.0},(204,287):{'3_1':0.0},(204,286):{'3_1':0.0},(204,285):{'3_1':0.03,'4_1':0.0},(204,284):{'3_1':0.03},(204,283):{'3_1':0.0},(204,282):{'3_1':0.0},(204,279):{'3_1':0.03},(204,278):{'3_1':0.0},(204,277):{'3_1':0.0},(204,274):{'3_1':0.0},(204,273):{'3_1':0.0},(204,269):{'3_1':0.0},(204,265):{'3_1':0.0},(204,264):{'3_1':0.0},(204,262):{'3_1':0.0},(204,260):{'3_1':0.0},(204,256):{'3_1':0.0,'4_1':0.0},(204,253):{'3_1':0.0},(204,252):{'3_1':0.0},(204,251):{'3_1':0.0},(204,248):{'3_1':0.0},(204,247):{'3_1':0.0},(204,236):{'3_1':0.0},(204,233):{'3_1':0.0},(204,230):{'3_1':0.0},(204,225):{'3_1':0.0},(204,224):{'3_1':0.0},(204,221):{'3_1':0.0},(205,459):{'3_1':0.06,'4_1':0.0},(205,458):{'3_1':0.12},(205,457):{'3_1':0.09,'4_1':0.0},(205,456):{'3_1':0.12,'4_1':0.0},(205,455):{'3_1':0.09},(205,454):{'3_1':0.09},(205,453):{'3_1':0.12},(205,452):{'3_1':0.12},(205,451):{'3_1':0.18},(205,450):{'3_1':0.12},(205,449):{'3_1':0.09,'5_1':0.0},(205,448):{'3_1':0.12},(205,447):{'3_1':0.09},(205,446):{'3_1':0.12},(205,445):{'3_1':0.15},(205,444):{'3_1':0.06,'4_1':0.0},(205,443):{'3_1':0.15,'5_2':0.0},(205,442):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(205,441):{'3_1':0.09},(205,440):{'3_1':0.12,'4_1':0.0},(205,439):{'3_1':0.12,'4_1':0.0},(205,438):{'3_1':0.09,'4_1':0.0},(205,437):{'3_1':0.06},(205,436):{'3_1':0.09},(205,435):{'3_1':0.12},(205,434):{'3_1':0.12},(205,433):{'3_1':0.09},(205,432):{'3_1':0.15,'4_1':0.0},(205,431):{'3_1':0.12},(205,430):{'3_1':0.15},(205,429):{'3_1':0.12},(205,428):{'3_1':0.06},(205,427):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(205,426):{'3_1':0.09,'5_2':0.0},(205,425):{'3_1':0.12,'4_1':0.03},(205,424):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(205,423):{'3_1':0.12,'4_1':0.0},(205,422):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(205,421):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_6':0.0},(205,420):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'7_7':0.0},(205,419):{'3_1':0.09,'4_1':0.0},(205,418):{'3_1':0.12,'4_1':0.0},(205,417):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(205,416):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(205,415):{'3_1':0.15,'4_1':0.0,'7_6':0.0},(205,414):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(205,413):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_3':0.0},(205,412):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(205,411):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'-3':0.0},(205,410):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(205,409):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'5_2':0.0},(205,408):{'3_1':0.12,'4_1':0.03},(205,407):{'3_1':0.18,'5_2':0.0},(205,406):{'3_1':0.12,'8_21|3_1#4_1':0.0},(205,405):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(205,404):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(205,403):{'3_1':0.12,'5_1':0.0},(205,402):{'3_1':0.21},(205,401):{'3_1':0.06,'4_1':0.0},(205,400):{'3_1':0.09,'5_2':0.0},(205,399):{'3_1':0.09,'5_2':0.0},(205,398):{'3_1':0.12},(205,397):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(205,396):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(205,395):{'3_1':0.24,'5_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(205,394):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(205,393):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(205,392):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(205,391):{'3_1':0.18},(205,390):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(205,389):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(205,388):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(205,387):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(205,386):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(205,385):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(205,384):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(205,383):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(205,382):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'6_3':0.0},(205,381):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_3':0.0,'-3':0.0},(205,380):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(205,379):{'3_1':0.15,'5_2':0.0,'6_2':0.0,'4_1':0.0},(205,378):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'6_3':0.0},(205,377):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(205,376):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(205,375):{'3_1':0.12,'5_1':0.0,'6_1':0.0,'6_2':0.0},(205,374):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(205,373):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(205,372):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(205,371):{'3_1':0.18,'5_2':0.0},(205,370):{'3_1':0.09,'5_2':0.0},(205,369):{'3_1':0.12,'5_1':0.0},(205,368):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(205,367):{'3_1':0.18},(205,366):{'3_1':0.15,'4_1':0.0},(205,365):{'3_1':0.15,'4_1':0.0},(205,364):{'3_1':0.12,'5_2':0.03,'6_1':0.0},(205,363):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(205,362):{'3_1':0.09},(205,361):{'3_1':0.09,'4_1':0.0},(205,360):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(205,359):{'3_1':0.12,'4_1':0.0},(205,358):{'3_1':0.12},(205,357):{'3_1':0.09,'4_1':0.0},(205,356):{'3_1':0.09},(205,355):{'3_1':0.09,'4_1':0.0},(205,354):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(205,353):{'3_1':0.09,'4_1':0.0},(205,352):{'3_1':0.06},(205,351):{'3_1':0.06},(205,350):{'3_1':0.09,'4_1':0.0},(205,349):{'3_1':0.03},(205,348):{'3_1':0.0},(205,347):{'3_1':0.03},(205,346):{'3_1':0.03,'4_1':0.0},(205,345):{'3_1':0.06},(205,343):{'3_1':0.03},(205,342):{'3_1':0.06},(205,341):{'3_1':0.0,'4_1':0.0},(205,340):{'3_1':0.12},(205,339):{'3_1':0.06,'4_1':0.0},(205,338):{'3_1':0.0,'4_1':0.0},(205,337):{'3_1':0.03},(205,336):{'3_1':0.03,'4_1':0.0},(205,335):{'3_1':0.03,'6_3':0.0},(205,334):{'3_1':0.03},(205,333):{'3_1':0.06},(205,332):{'3_1':0.03},(205,331):{'3_1':0.12},(205,330):{'3_1':0.06},(205,329):{'3_1':0.06,'4_1':0.0},(205,328):{'3_1':0.03},(205,327):{'3_1':0.06},(205,326):{'3_1':0.03},(205,325):{'3_1':0.03},(205,324):{'3_1':0.0},(205,323):{'3_1':0.0,'4_1':0.0},(205,322):{'3_1':0.0},(205,321):{'3_1':0.03},(205,320):{'3_1':0.03},(205,319):{'3_1':0.03},(205,318):{'3_1':0.06},(205,317):{'3_1':0.03},(205,316):{'3_1':0.03},(205,315):{'3_1':0.03},(205,314):{'3_1':0.03},(205,313):{'3_1':0.06,'4_1':0.0},(205,312):{'3_1':0.03,'4_1':0.0},(205,311):{'3_1':0.0},(205,310):{'3_1':0.0},(205,309):{'3_1':0.03,'5_2':0.0},(205,308):{'3_1':0.0,'4_1':0.0},(205,307):{'3_1':0.0},(205,306):{'3_1':0.0},(205,305):{'3_1':0.0},(205,304):{'3_1':0.06},(205,303):{'3_1':0.0},(205,302):{'3_1':0.0},(205,301):{'3_1':0.0},(205,300):{'3_1':0.0},(205,299):{'3_1':0.0},(205,297):{'3_1':0.0},(205,296):{'3_1':0.0},(205,294):{'3_1':0.0},(205,293):{'3_1':0.0},(205,292):{'3_1':0.0},(205,291):{'3_1':0.03},(205,290):{'4_1':0.0},(205,289):{'3_1':0.0,'4_1':0.0},(205,288):{'3_1':0.0},(205,287):{'3_1':0.0},(205,286):{'3_1':0.0},(205,284):{'3_1':0.0},(205,283):{'3_1':0.0,'4_1':0.0},(205,282):{'3_1':0.0},(205,281):{'4_1':0.0,'3_1':0.0},(205,280):{'4_1':0.0},(205,278):{'3_1':0.0},(205,277):{'3_1':0.0},(205,275):{'4_1':0.0},(205,274):{'3_1':0.0},(205,273):{'3_1':0.0},(205,272):{'3_1':0.0},(205,271):{'4_1':0.0},(205,270):{'3_1':0.0,'4_1':0.0},(205,268):{'3_1':0.0},(205,266):{'3_1':0.0,'4_1':0.0},(205,264):{'3_1':0.0},(205,263):{'3_1':0.0},(205,262):{'3_1':0.0},(205,259):{'3_1':0.0},(205,256):{'3_1':0.0},(205,254):{'3_1':0.0},(205,253):{'3_1':0.0},(205,251):{'3_1':0.0},(205,250):{'3_1':0.0},(205,249):{'3_1':0.0},(205,238):{'4_1':0.0},(205,235):{'3_1':0.0},(205,233):{'3_1':0.0},(205,232):{'3_1':0.0},(205,228):{'3_1':0.0},(205,225):{'3_1':0.0},(205,224):{'3_1':0.0},(205,221):{'3_1':0.0},(206,459):{'3_1':0.09},(206,458):{'3_1':0.09},(206,457):{'3_1':0.09},(206,456):{'3_1':0.06},(206,455):{'3_1':0.09},(206,454):{'3_1':0.09},(206,453):{'3_1':0.06},(206,452):{'3_1':0.12},(206,451):{'3_1':0.06,'4_1':0.0},(206,450):{'3_1':0.09},(206,449):{'3_1':0.12},(206,448):{'3_1':0.06,'4_1':0.0},(206,447):{'3_1':0.12},(206,446):{'3_1':0.09},(206,445):{'3_1':0.06},(206,444):{'3_1':0.12,'4_1':0.0},(206,443):{'3_1':0.12,'8_21|3_1#4_1':0.0},(206,442):{'3_1':0.06,'4_1':0.0},(206,441):{'3_1':0.18},(206,440):{'3_1':0.09},(206,439):{'3_1':0.09},(206,438):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(206,437):{'3_1':0.12},(206,436):{'3_1':0.12,'6_3':0.0},(206,435):{'3_1':0.15,'5_2':0.0},(206,434):{'3_1':0.15,'4_1':0.0},(206,433):{'3_1':0.06,'4_1':0.0},(206,432):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(206,431):{'3_1':0.09,'7_7':0.0},(206,430):{'3_1':0.09,'4_1':0.0,'-3':0.0},(206,429):{'3_1':0.12,'4_1':0.0},(206,428):{'3_1':0.12,'4_1':0.0},(206,427):{'3_1':0.15},(206,426):{'3_1':0.09,'4_1':0.0},(206,425):{'3_1':0.12,'4_1':0.0},(206,424):{'3_1':0.09,'4_1':0.0},(206,423):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(206,422):{'3_1':0.09,'5_2':0.0},(206,421):{'3_1':0.09,'4_1':0.0},(206,420):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(206,419):{'3_1':0.18,'4_1':0.0},(206,418):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(206,417):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(206,416):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(206,415):{'3_1':0.12,'4_1':0.0},(206,414):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(206,413):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(206,412):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'7_7':0.0},(206,411):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(206,410):{'3_1':0.09,'5_2':0.0},(206,409):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(206,408):{'3_1':0.12,'4_1':0.0},(206,407):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(206,406):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(206,405):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(206,404):{'3_1':0.12,'4_1':0.0},(206,403):{'3_1':0.12,'4_1':0.0},(206,402):{'3_1':0.12,'5_1':0.0},(206,401):{'3_1':0.09,'5_1':0.0},(206,400):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(206,399):{'3_1':0.09},(206,398):{'3_1':0.12,'5_2':0.0},(206,397):{'3_1':0.09},(206,396):{'3_1':0.27,'4_1':0.0},(206,395):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(206,394):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(206,393):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(206,392):{'3_1':0.24,'5_2':0.0,'8_17':0.0},(206,391):{'3_1':0.15,'4_1':0.0},(206,390):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(206,389):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(206,388):{'3_1':0.15,'4_1':0.0,'8_21|3_1#4_1':0.0},(206,387):{'3_1':0.15,'4_1':0.0},(206,386):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(206,385):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_3':0.0},(206,384):{'3_1':0.15,'5_2':0.03,'4_1':0.03},(206,383):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(206,382):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(206,381):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(206,380):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(206,379):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(206,378):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(206,377):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'7_6':0.0},(206,376):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(206,375):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(206,374):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(206,373):{'3_1':0.12,'4_1':0.0},(206,372):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(206,371):{'3_1':0.15,'5_2':0.0},(206,370):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(206,369):{'3_1':0.12,'5_1':0.0},(206,368):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(206,367):{'3_1':0.12},(206,366):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(206,365):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(206,364):{'3_1':0.15,'4_1':0.0},(206,363):{'3_1':0.09},(206,362):{'3_1':0.03,'4_1':0.0},(206,361):{'3_1':0.15,'4_1':0.0},(206,360):{'3_1':0.09,'5_2':0.0},(206,359):{'3_1':0.12,'5_2':0.0},(206,358):{'3_1':0.06},(206,357):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(206,356):{'3_1':0.12,'5_2':0.0},(206,355):{'3_1':0.09},(206,354):{'3_1':0.09,'5_2':0.0},(206,353):{'3_1':0.09},(206,352):{'3_1':0.06},(206,351):{'3_1':0.06},(206,350):{'3_1':0.0},(206,349):{'3_1':0.09},(206,348):{'3_1':0.03},(206,347):{'3_1':0.03},(206,346):{'3_1':0.0},(206,345):{'3_1':0.03},(206,344):{'3_1':0.03},(206,343):{'3_1':0.03},(206,342):{'3_1':0.09},(206,341):{'3_1':0.03,'4_1':0.0},(206,340):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(206,339):{'3_1':0.0,'4_1':0.0},(206,338):{'3_1':0.06,'4_1':0.0},(206,337):{'3_1':0.0},(206,336):{'3_1':0.0,'4_1':0.0},(206,335):{'4_1':0.0,'3_1':0.0},(206,334):{'3_1':0.03},(206,333):{'3_1':0.06,'4_1':0.0},(206,332):{'3_1':0.0,'4_1':0.0},(206,331):{'3_1':0.03,'5_1':0.0},(206,330):{'3_1':0.03,'4_1':0.0},(206,329):{'3_1':0.03},(206,328):{'3_1':0.0},(206,327):{'3_1':0.03},(206,326):{'3_1':0.0},(206,325):{'3_1':0.0},(206,324):{'3_1':0.03},(206,323):{'3_1':0.03},(206,322):{'3_1':0.03,'5_2':0.0},(206,321):{'3_1':0.03},(206,320):{'3_1':0.03,'4_1':0.0},(206,319):{'3_1':0.03},(206,318):{'3_1':0.03},(206,317):{'3_1':0.06},(206,316):{'3_1':0.03},(206,315):{'3_1':0.06,'5_2':0.0},(206,314):{'3_1':0.03,'5_2':0.0},(206,313):{'3_1':0.03,'4_1':0.0},(206,312):{'3_1':0.0},(206,311):{'3_1':0.06},(206,310):{'3_1':0.0},(206,309):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(206,308):{'3_1':0.03},(206,307):{'3_1':0.0},(206,306):{'3_1':0.0},(206,305):{'3_1':0.0},(206,304):{'3_1':0.0},(206,303):{'3_1':0.03},(206,302):{'3_1':0.0},(206,301):{'3_1':0.0},(206,300):{'3_1':0.0,'4_1':0.0},(206,299):{'3_1':0.0},(206,298):{'3_1':0.0},(206,297):{'3_1':0.0},(206,296):{'3_1':0.03},(206,295):{'3_1':0.0},(206,294):{'3_1':0.0},(206,293):{'3_1':0.0,'4_1':0.0},(206,292):{'3_1':0.03,'6_2':0.0},(206,291):{'3_1':0.0,'4_1':0.0},(206,290):{'3_1':0.0},(206,289):{'3_1':0.03},(206,288):{'3_1':0.0},(206,287):{'3_1':0.0},(206,285):{'3_1':0.0},(206,283):{'3_1':0.0},(206,282):{'3_1':0.0,'4_1':0.0},(206,281):{'3_1':0.0},(206,279):{'3_1':0.0,'5_2':0.0},(206,278):{'4_1':0.0},(206,277):{'3_1':0.0,'4_1':0.0},(206,276):{'3_1':0.0},(206,274):{'3_1':0.0},(206,272):{'3_1':0.03},(206,269):{'3_1':0.0},(206,267):{'3_1':0.03},(206,265):{'3_1':0.0},(206,264):{'3_1':0.0},(206,263):{'4_1':0.0},(206,262):{'3_1':0.0},(206,261):{'4_1':0.0},(206,258):{'3_1':0.0,'4_1':0.0},(206,257):{'4_1':0.0},(206,256):{'3_1':0.0},(206,254):{'3_1':0.03},(206,237):{'3_1':0.0},(206,236):{'3_1':0.0},(206,234):{'3_1':0.0},(206,231):{'3_1':0.0},(206,228):{'3_1':0.0},(206,224):{'3_1':0.0},(206,222):{'3_1':0.0},(206,221):{'3_1':0.0},(206,219):{'3_1':0.0},(207,459):{'3_1':0.06},(207,458):{'3_1':0.03,'4_1':0.0},(207,457):{'3_1':0.09,'4_1':0.0},(207,456):{'3_1':0.03},(207,455):{'3_1':0.09},(207,454):{'3_1':0.06},(207,453):{'3_1':0.09},(207,452):{'3_1':0.03,'4_1':0.0},(207,451):{'3_1':0.09},(207,450):{'3_1':0.03,'4_1':0.0},(207,449):{'3_1':0.12,'4_1':0.0},(207,448):{'3_1':0.06},(207,447):{'3_1':0.06,'4_1':0.0},(207,446):{'3_1':0.06,'4_1':0.0},(207,445):{'3_1':0.09,'4_1':0.0},(207,444):{'3_1':0.03},(207,443):{'3_1':0.06,'4_1':0.0},(207,442):{'3_1':0.06},(207,441):{'3_1':0.06},(207,440):{'3_1':0.06,'4_1':0.0},(207,439):{'3_1':0.09},(207,438):{'3_1':0.12,'4_1':0.0},(207,437):{'3_1':0.06,'4_1':0.0},(207,436):{'3_1':0.09,'4_1':0.0},(207,435):{'3_1':0.12,'4_1':0.0},(207,434):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(207,433):{'3_1':0.06},(207,432):{'3_1':0.06,'4_1':0.0},(207,431):{'3_1':0.03,'4_1':0.0},(207,430):{'3_1':0.12},(207,429):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(207,428):{'4_1':0.06,'3_1':0.03},(207,427):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(207,426):{'3_1':0.12,'4_1':0.0},(207,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(207,424):{'3_1':0.12,'6_1':0.0},(207,423):{'3_1':0.12,'5_2':0.0},(207,422):{'3_1':0.09,'4_1':0.0},(207,421):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(207,420):{'3_1':0.06,'4_1':0.0},(207,419):{'3_1':0.03,'4_1':0.03},(207,418):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(207,417):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(207,416):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(207,415):{'3_1':0.09,'4_1':0.0},(207,414):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(207,413):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(207,412):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(207,411):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(207,410):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(207,409):{'3_1':0.06,'4_1':0.0},(207,408):{'3_1':0.12,'5_2':0.0,'8_21|3_1#4_1':0.0},(207,407):{'3_1':0.09},(207,406):{'3_1':0.06,'4_1':0.0},(207,405):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(207,404):{'3_1':0.12},(207,403):{'3_1':0.12,'5_2':0.0},(207,402):{'3_1':0.09},(207,401):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(207,400):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(207,399):{'3_1':0.09},(207,398):{'3_1':0.12,'5_2':0.0},(207,397):{'3_1':0.21,'4_1':0.0,'6_3':0.0,'7_6':0.0},(207,396):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(207,395):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(207,394):{'3_1':0.09,'4_1':0.0},(207,393):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(207,392):{'3_1':0.24,'5_2':0.0,'5_1':0.0},(207,391):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(207,390):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(207,389):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_19':0.0},(207,388):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(207,387):{'3_1':0.15,'4_1':0.03},(207,386):{'3_1':0.21,'5_2':0.0,'7_6':0.0},(207,385):{'3_1':0.09,'4_1':0.0},(207,384):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(207,383):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(207,382):{'3_1':0.06,'4_1':0.06},(207,381):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(207,380):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(207,379):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(207,378):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(207,377):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(207,376):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(207,375):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(207,374):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(207,373):{'3_1':0.12,'4_1':0.0},(207,372):{'3_1':0.09},(207,371):{'3_1':0.09},(207,370):{'3_1':0.06,'4_1':0.0},(207,369):{'3_1':0.12},(207,368):{'3_1':0.12},(207,367):{'3_1':0.12},(207,366):{'3_1':0.06,'5_2':0.0},(207,365):{'3_1':0.06},(207,364):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(207,363):{'3_1':0.03,'4_1':0.0},(207,362):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(207,361):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(207,360):{'3_1':0.12,'4_1':0.0},(207,359):{'3_1':0.12},(207,358):{'3_1':0.0},(207,357):{'3_1':0.06,'5_1':0.0},(207,356):{'3_1':0.09},(207,355):{'3_1':0.09,'4_1':0.0},(207,354):{'3_1':0.12,'5_1':0.0},(207,353):{'3_1':0.12,'4_1':0.0},(207,352):{'3_1':0.06,'4_1':0.0},(207,351):{'3_1':0.09},(207,350):{'3_1':0.06,'4_1':0.0},(207,349):{'3_1':0.06},(207,348):{'3_1':0.03},(207,347):{'3_1':0.03,'4_1':0.0},(207,346):{'3_1':0.0},(207,345):{'3_1':0.0,'4_1':0.0},(207,344):{'3_1':0.0,'4_1':0.0},(207,343):{'3_1':0.06},(207,342):{'3_1':0.03},(207,341):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(207,340):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(207,339):{'3_1':0.03,'4_1':0.0},(207,338):{'3_1':0.03,'4_1':0.0},(207,337):{'3_1':0.0},(207,336):{'3_1':0.03,'4_1':0.0},(207,335):{'3_1':0.03,'4_1':0.0},(207,334):{'3_1':0.06,'4_1':0.0},(207,333):{'3_1':0.03,'4_1':0.0},(207,332):{'3_1':0.06,'4_1':0.0},(207,331):{'3_1':0.03,'4_1':0.0},(207,330):{'3_1':0.0,'4_1':0.0},(207,329):{'3_1':0.03},(207,328):{'3_1':0.06},(207,327):{'3_1':0.0},(207,326):{'3_1':0.0,'4_1':0.0},(207,325):{'3_1':0.0},(207,324):{'3_1':0.0},(207,323):{'3_1':0.0},(207,322):{'3_1':0.03,'4_1':0.0},(207,321):{'3_1':0.0},(207,320):{'3_1':0.0},(207,319):{'3_1':0.0},(207,318):{'3_1':0.06},(207,317):{'3_1':0.06},(207,316):{'3_1':0.06,'4_1':0.0},(207,315):{'3_1':0.06,'4_1':0.0},(207,314):{'3_1':0.03,'5_2':0.0},(207,313):{'3_1':0.03},(207,312):{'3_1':0.0},(207,311):{'3_1':0.03},(207,310):{'3_1':0.03},(207,309):{'3_1':0.0},(207,308):{'4_1':0.0},(207,307):{'3_1':0.0},(207,306):{'3_1':0.0},(207,305):{'3_1':0.0},(207,304):{'3_1':0.0},(207,303):{'3_1':0.03},(207,302):{'3_1':0.0,'4_1':0.0},(207,301):{'3_1':0.0},(207,300):{'3_1':0.0,'4_1':0.0},(207,299):{'3_1':0.0,'4_1':0.0},(207,298):{'3_1':0.0},(207,297):{'3_1':0.0},(207,296):{'3_1':0.0},(207,295):{'4_1':0.0},(207,294):{'3_1':0.0},(207,293):{'3_1':0.0,'4_1':0.0},(207,292):{'3_1':0.0},(207,291):{'3_1':0.0},(207,290):{'4_1':0.0},(207,289):{'3_1':0.0},(207,288):{'3_1':0.0},(207,287):{'3_1':0.03},(207,286):{'3_1':0.0},(207,285):{'3_1':0.0,'4_1':0.0},(207,283):{'3_1':0.0},(207,282):{'3_1':0.0},(207,281):{'3_1':0.0,'4_1':0.0},(207,280):{'3_1':0.0},(207,278):{'3_1':0.0},(207,277):{'3_1':0.0,'4_1':0.0},(207,276):{'3_1':0.0},(207,270):{'3_1':0.0},(207,269):{'3_1':0.0},(207,262):{'3_1':0.0},(207,259):{'3_1':0.0},(207,258):{'5_2':0.0},(207,256):{'3_1':0.0},(207,253):{'3_1':0.0},(207,251):{'3_1':0.0},(207,248):{'3_1':0.0},(207,238):{'3_1':0.0},(207,231):{'3_1':0.0},(207,223):{'4_1':0.0},(207,222):{'3_1':0.0},(207,221):{'3_1':0.0},(208,459):{'3_1':0.06},(208,458):{'3_1':0.03},(208,457):{'3_1':0.03,'4_1':0.0},(208,456):{'3_1':0.06},(208,455):{'3_1':0.03},(208,454):{'3_1':0.06},(208,453):{'3_1':0.03},(208,452):{'3_1':0.0},(208,451):{'3_1':0.09},(208,450):{'3_1':0.03},(208,449):{'3_1':0.06},(208,448):{'3_1':0.03,'4_1':0.0},(208,447):{'3_1':0.03},(208,446):{'3_1':0.03,'5_2':0.0},(208,445):{'3_1':0.03},(208,444):{'3_1':0.06},(208,443):{'3_1':0.06},(208,442):{'3_1':0.06,'4_1':0.0},(208,441):{'3_1':0.06},(208,440):{'3_1':0.06,'4_1':0.0},(208,439):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(208,438):{'3_1':0.0,'4_1':0.0},(208,437):{'3_1':0.03},(208,436):{'3_1':0.06,'4_1':0.0},(208,435):{'3_1':0.03,'4_1':0.0},(208,434):{'3_1':0.09,'4_1':0.0},(208,433):{'3_1':0.03,'4_1':0.0},(208,432):{'3_1':0.03,'4_1':0.0},(208,431):{'3_1':0.09},(208,430):{'3_1':0.06},(208,429):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(208,428):{'3_1':0.06,'4_1':0.0},(208,427):{'3_1':0.09,'5_1':0.0},(208,426):{'3_1':0.06,'4_1':0.0},(208,425):{'3_1':0.09,'4_1':0.0},(208,424):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(208,423):{'3_1':0.09,'4_1':0.0},(208,422):{'3_1':0.06,'4_1':0.0},(208,421):{'3_1':0.06,'4_1':0.0},(208,420):{'3_1':0.09,'4_1':0.03},(208,419):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(208,418):{'3_1':0.06,'4_1':0.0},(208,417):{'3_1':0.06,'4_1':0.03},(208,416):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(208,415):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(208,414):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(208,413):{'3_1':0.09,'4_1':0.06,'6_1':0.0},(208,412):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(208,411):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(208,410):{'3_1':0.06,'4_1':0.06},(208,409):{'3_1':0.09,'4_1':0.03},(208,408):{'3_1':0.06,'5_2':0.0},(208,407):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(208,406):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(208,405):{'3_1':0.09},(208,404):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(208,403):{'3_1':0.09,'4_1':0.0},(208,402):{'3_1':0.09},(208,401):{'3_1':0.12,'4_1':0.0},(208,400):{'3_1':0.09},(208,399):{'3_1':0.06,'4_1':0.0},(208,398):{'3_1':0.09,'4_1':0.0},(208,397):{'3_1':0.15,'5_2':0.0},(208,396):{'3_1':0.06},(208,395):{'3_1':0.18,'5_2':0.0},(208,394):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(208,393):{'3_1':0.12,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(208,392):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(208,391):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(208,390):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(208,389):{'3_1':0.09,'4_1':0.06,'5_2':0.03},(208,388):{'3_1':0.12,'4_1':0.0},(208,387):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(208,386):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(208,385):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(208,384):{'3_1':0.12,'4_1':0.0},(208,383):{'3_1':0.12,'6_3':0.0},(208,382):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(208,381):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(208,380):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(208,379):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(208,378):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(208,377):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(208,376):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(208,375):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(208,374):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(208,373):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(208,372):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(208,371):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(208,370):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(208,369):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(208,368):{'3_1':0.09,'5_1':0.0},(208,367):{'3_1':0.12},(208,366):{'3_1':0.06},(208,365):{'3_1':0.06},(208,364):{'3_1':0.15,'4_1':0.0},(208,363):{'3_1':0.06},(208,362):{'3_1':0.09},(208,361):{'3_1':0.06},(208,360):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(208,359):{'3_1':0.09,'4_1':0.0},(208,358):{'3_1':0.09,'4_1':0.0},(208,357):{'3_1':0.06,'4_1':0.0},(208,356):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(208,355):{'3_1':0.03},(208,354):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(208,353):{'3_1':0.06},(208,352):{'3_1':0.06},(208,351):{'3_1':0.06},(208,350):{'3_1':0.06,'4_1':0.0},(208,349):{'3_1':0.03,'4_1':0.0},(208,348):{'3_1':0.03},(208,347):{'3_1':0.0},(208,346):{'3_1':0.0},(208,345):{'3_1':0.0},(208,344):{'3_1':0.06,'4_1':0.0},(208,343):{'3_1':0.0,'6_3':0.0},(208,342):{'3_1':0.06,'8_20|3_1#3_1':0.0},(208,341):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(208,340):{'3_1':0.0,'4_1':0.0},(208,339):{'3_1':0.06,'4_1':0.0},(208,338):{'3_1':0.0,'4_1':0.0},(208,337):{'3_1':0.03,'4_1':0.0},(208,336):{'3_1':0.06},(208,335):{'3_1':0.03,'7_7':0.0},(208,334):{'3_1':0.06,'4_1':0.0},(208,333):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(208,332):{'3_1':0.09},(208,331):{'3_1':0.09},(208,330):{'3_1':0.03,'4_1':0.0},(208,329):{'3_1':0.03,'4_1':0.0},(208,328):{'3_1':0.0},(208,327):{'3_1':0.03},(208,326):{'3_1':0.03},(208,325):{'3_1':0.0,'4_1':0.0},(208,324):{'3_1':0.06},(208,323):{'3_1':0.0},(208,322):{'3_1':0.0},(208,321):{'3_1':0.0},(208,320):{'3_1':0.0},(208,319):{'3_1':0.0},(208,318):{'3_1':0.0},(208,317):{'3_1':0.0},(208,316):{'3_1':0.0,'4_1':0.0},(208,315):{'3_1':0.03},(208,314):{'3_1':0.0,'4_1':0.0},(208,313):{'4_1':0.0,'3_1':0.0},(208,312):{'3_1':0.06,'6_3':0.0},(208,311):{'3_1':0.06},(208,310):{'3_1':0.0},(208,309):{'3_1':0.0},(208,308):{'8_20|3_1#3_1':0.0},(208,307):{'3_1':0.0},(208,305):{'3_1':0.0},(208,303):{'3_1':0.0},(208,302):{'3_1':0.0,'4_1':0.0},(208,301):{'3_1':0.0},(208,300):{'3_1':0.0},(208,298):{'3_1':0.0},(208,297):{'3_1':0.0},(208,296):{'3_1':0.0},(208,295):{'3_1':0.0},(208,294):{'3_1':0.03},(208,293):{'3_1':0.0,'6_2':0.0},(208,292):{'3_1':0.0,'4_1':0.0,'8_19':0.0},(208,291):{'3_1':0.0,'4_1':0.0},(208,290):{'3_1':0.0},(208,289):{'3_1':0.03},(208,288):{'3_1':0.03},(208,287):{'3_1':0.0},(208,285):{'3_1':0.0},(208,284):{'3_1':0.0},(208,281):{'4_1':0.0},(208,280):{'3_1':0.0,'4_1':0.0},(208,279):{'3_1':0.03,'4_1':0.0},(208,276):{'3_1':0.0,'5_2':0.0},(208,272):{'3_1':0.0},(208,271):{'3_1':0.0},(208,270):{'3_1':0.0},(208,267):{'3_1':0.0,'4_1':0.0},(208,266):{'3_1':0.0},(208,262):{'3_1':0.0},(208,260):{'3_1':0.0},(208,259):{'3_1':0.0},(208,258):{'3_1':0.0},(208,256):{'3_1':0.0},(208,255):{'3_1':0.0,'4_1':0.0},(208,253):{'3_1':0.0},(208,251):{'3_1':0.0},(208,250):{'3_1':0.0},(208,248):{'3_1':0.0},(208,247):{'3_1':0.0},(208,234):{'3_1':0.0},(208,224):{'3_1':0.0},(208,223):{'4_1':0.0},(208,222):{'3_1':0.0},(208,219):{'3_1':0.0},(208,218):{'3_1':0.0},(209,459):{'3_1':0.0},(209,458):{'3_1':0.03,'4_1':0.0},(209,457):{'3_1':0.0,'6_2':0.0},(209,456):{'3_1':0.06},(209,455):{'3_1':0.03,'4_1':0.0},(209,454):{'3_1':0.0},(209,453):{'3_1':0.03},(209,452):{'3_1':0.0},(209,451):{'3_1':0.06},(209,450):{'3_1':0.0},(209,449):{'3_1':0.0},(209,448):{'3_1':0.0},(209,447):{'3_1':0.06},(209,446):{'3_1':0.06},(209,445):{'3_1':0.03,'4_1':0.0},(209,444):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(209,443):{'3_1':0.06},(209,442):{'3_1':0.06},(209,441):{'3_1':0.03},(209,440):{'3_1':0.06,'4_1':0.0},(209,439):{'3_1':0.06},(209,438):{'3_1':0.09},(209,437):{'3_1':0.0,'4_1':0.0},(209,436):{'3_1':0.06,'4_1':0.0},(209,435):{'3_1':0.06,'4_1':0.03},(209,434):{'3_1':0.06},(209,433):{'3_1':0.03},(209,432):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(209,431):{'3_1':0.06},(209,430):{'3_1':0.03,'4_1':0.0},(209,429):{'3_1':0.03,'4_1':0.0},(209,428):{'3_1':0.06,'4_1':0.0},(209,427):{'3_1':0.06,'4_1':0.0},(209,426):{'3_1':0.06,'4_1':0.0},(209,425):{'3_1':0.03,'4_1':0.0},(209,424):{'3_1':0.0,'4_1':0.0},(209,423):{'3_1':0.03,'4_1':0.0},(209,422):{'3_1':0.06,'4_1':0.0},(209,421):{'3_1':0.06,'4_1':0.0},(209,420):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(209,419):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(209,418):{'3_1':0.06,'4_1':0.0},(209,417):{'3_1':0.03,'4_1':0.03},(209,416):{'3_1':0.03,'4_1':0.03},(209,415):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0},(209,414):{'3_1':0.06,'4_1':0.03},(209,413):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(209,412):{'3_1':0.12,'4_1':0.03},(209,411):{'3_1':0.06,'4_1':0.03},(209,410):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(209,409):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(209,408):{'3_1':0.06,'4_1':0.0},(209,407):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(209,406):{'3_1':0.06,'4_1':0.0},(209,405):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(209,404):{'3_1':0.06},(209,403):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(209,402):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(209,401):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(209,400):{'3_1':0.0,'4_1':0.0},(209,399):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(209,398):{'3_1':0.09,'4_1':0.0},(209,397):{'3_1':0.03,'4_1':0.03},(209,396):{'3_1':0.09},(209,395):{'3_1':0.06,'4_1':0.0},(209,394):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(209,393):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(209,392):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(209,391):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(209,390):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(209,389):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(209,388):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0},(209,387):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(209,386):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(209,385):{'3_1':0.06,'4_1':0.0},(209,384):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(209,383):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(209,382):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(209,381):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(209,380):{'3_1':0.15,'4_1':0.03},(209,379):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(209,378):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(209,377):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(209,376):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(209,375):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(209,374):{'3_1':0.09,'4_1':0.0},(209,373):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(209,372):{'3_1':0.09},(209,371):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(209,370):{'3_1':0.15,'5_2':0.0},(209,369):{'3_1':0.06},(209,368):{'3_1':0.09,'6_1':0.0},(209,367):{'3_1':0.12},(209,366):{'3_1':0.09},(209,365):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(209,364):{'3_1':0.09},(209,363):{'3_1':0.06},(209,362):{'3_1':0.03},(209,361):{'3_1':0.06},(209,360):{'3_1':0.06,'4_1':0.0},(209,359):{'3_1':0.09,'4_1':0.0},(209,358):{'3_1':0.03,'4_1':0.0},(209,357):{'3_1':0.06,'5_2':0.0},(209,356):{'3_1':0.06},(209,355):{'3_1':0.06},(209,354):{'3_1':0.06},(209,353):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(209,352):{'3_1':0.09},(209,351):{'3_1':0.03,'4_1':0.0},(209,350):{'3_1':0.03},(209,349):{'3_1':0.0,'4_1':0.0},(209,348):{'3_1':0.06,'4_1':0.0},(209,347):{'3_1':0.06},(209,346):{'3_1':0.03},(209,345):{'3_1':0.03},(209,344):{'3_1':0.03},(209,343):{'3_1':0.03},(209,342):{'3_1':0.06,'4_1':0.0},(209,341):{'3_1':0.09,'4_1':0.0},(209,340):{'3_1':0.0},(209,339):{'3_1':0.06,'4_1':0.0},(209,338):{'3_1':0.03,'4_1':0.0},(209,337):{'3_1':0.03},(209,336):{'3_1':0.06,'4_1':0.0},(209,335):{'3_1':0.03,'4_1':0.0},(209,334):{'3_1':0.06},(209,333):{'3_1':0.03,'4_1':0.0},(209,332):{'3_1':0.03},(209,331):{'3_1':0.06,'6_3':0.0},(209,330):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(209,329):{'3_1':0.03},(209,328):{'3_1':0.03,'4_1':0.0},(209,327):{'3_1':0.03,'4_1':0.0},(209,326):{'3_1':0.0,'4_1':0.0},(209,325):{'3_1':0.03},(209,324):{'3_1':0.03},(209,322):{'3_1':0.0},(209,321):{'3_1':0.0},(209,320):{'3_1':0.0},(209,319):{'3_1':0.03,'5_1':0.0},(209,318):{'3_1':0.03},(209,317):{'3_1':0.03},(209,316):{'3_1':0.0},(209,315):{'3_1':0.03},(209,314):{'3_1':0.03},(209,313):{'3_1':0.03},(209,312):{'3_1':0.0},(209,311):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(209,310):{'3_1':0.0},(209,309):{'3_1':0.0},(209,308):{'3_1':0.0},(209,307):{'3_1':0.0},(209,306):{'3_1':0.0},(209,305):{'3_1':0.06},(209,304):{'3_1':0.0,'5_2':0.0},(209,303):{'3_1':0.0},(209,302):{'3_1':0.03},(209,301):{'3_1':0.0},(209,300):{'3_1':0.0},(209,299):{'3_1':0.0},(209,298):{'3_1':0.0},(209,297):{'3_1':0.0,'4_1':0.0},(209,294):{'3_1':0.0,'4_1':0.0},(209,293):{'3_1':0.0},(209,292):{'3_1':0.0,'5_2':0.0},(209,291):{'3_1':0.0},(209,290):{'3_1':0.0,'5_1':0.0},(209,289):{'3_1':0.03,'4_1':0.0},(209,288):{'3_1':0.03},(209,287):{'3_1':0.0},(209,286):{'3_1':0.03},(209,285):{'3_1':0.0},(209,284):{'3_1':0.03},(209,282):{'3_1':0.0},(209,281):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(209,280):{'3_1':0.0},(209,279):{'3_1':0.0,'4_1':0.0},(209,278):{'3_1':0.0},(209,277):{'4_1':0.0},(209,276):{'3_1':0.0},(209,275):{'3_1':0.0},(209,274):{'3_1':0.0},(209,273):{'3_1':0.0},(209,271):{'3_1':0.0},(209,269):{'3_1':0.0},(209,268):{'3_1':0.0},(209,267):{'3_1':0.0},(209,266):{'3_1':0.0},(209,265):{'3_1':0.0},(209,264):{'3_1':0.0},(209,263):{'3_1':0.03},(209,262):{'3_1':0.0},(209,261):{'3_1':0.0},(209,260):{'3_1':0.0},(209,259):{'3_1':0.0},(209,258):{'3_1':0.0,'4_1':0.0},(209,257):{'3_1':0.0},(209,256):{'3_1':0.0,'4_1':0.0},(209,255):{'3_1':0.0,'4_1':0.0},(209,252):{'3_1':0.0},(209,251):{'3_1':0.0},(209,238):{'3_1':0.0},(209,237):{'3_1':0.0},(209,227):{'3_1':0.0},(209,225):{'3_1':0.0},(209,221):{'3_1':0.0},(210,459):{'3_1':0.03},(210,458):{'3_1':0.03},(210,457):{'3_1':0.03},(210,456):{'3_1':0.03},(210,455):{'3_1':0.0},(210,454):{'3_1':0.03},(210,453):{'3_1':0.06},(210,452):{'3_1':0.06},(210,451):{'3_1':0.06},(210,450):{'3_1':0.03},(210,449):{'3_1':0.06},(210,448):{'3_1':0.03,'4_1':0.0},(210,447):{'3_1':0.03,'4_1':0.0},(210,446):{'3_1':0.06},(210,445):{'3_1':0.06,'7_2':0.0},(210,444):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(210,443):{'3_1':0.06,'5_2':0.0},(210,442):{'3_1':0.03,'4_1':0.0},(210,441):{'3_1':0.03,'4_1':0.0},(210,440):{'3_1':0.09,'4_1':0.0},(210,439):{'3_1':0.09,'4_1':0.0},(210,438):{'3_1':0.06,'4_1':0.0},(210,437):{'3_1':0.09,'4_1':0.0},(210,436):{'3_1':0.03},(210,435):{'3_1':0.03},(210,434):{'3_1':0.09,'4_1':0.0},(210,433):{'3_1':0.06,'4_1':0.0,'7_7':0.0},(210,432):{'3_1':0.03,'4_1':0.0},(210,431):{'3_1':0.12,'4_1':0.03},(210,430):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(210,429):{'3_1':0.03},(210,428):{'3_1':0.06,'4_1':0.03},(210,427):{'3_1':0.03},(210,426):{'3_1':0.12},(210,425):{'3_1':0.06,'4_1':0.0},(210,424):{'3_1':0.09,'4_1':0.0},(210,423):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(210,422):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(210,421):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(210,420):{'3_1':0.09,'4_1':0.0},(210,419):{'3_1':0.06,'4_1':0.0},(210,418):{'3_1':0.09,'4_1':0.06,'7_7':0.0},(210,417):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(210,416):{'3_1':0.09,'4_1':0.03},(210,415):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(210,414):{'3_1':0.09,'4_1':0.0},(210,413):{'4_1':0.06,'3_1':0.03},(210,412):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(210,411):{'3_1':0.06,'4_1':0.06,'7_7':0.0},(210,410):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(210,409):{'4_1':0.03,'6_2':0.0,'6_3':0.0},(210,408):{'3_1':0.12,'4_1':0.03,'8_21|3_1#4_1':0.0,'6_1':0.0},(210,407):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(210,406):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(210,405):{'3_1':0.06,'4_1':0.0},(210,404):{'3_1':0.06,'4_1':0.03},(210,403):{'3_1':0.12,'4_1':0.0},(210,402):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(210,401):{'3_1':0.15,'6_3':0.0},(210,400):{'3_1':0.06},(210,399):{'3_1':0.12,'4_1':0.0},(210,398):{'3_1':0.09,'4_1':0.0},(210,397):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(210,396):{'3_1':0.12,'4_1':0.0},(210,395):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(210,394):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(210,393):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(210,392):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(210,391):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(210,390):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(210,389):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(210,388):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(210,387):{'3_1':0.06,'4_1':0.0},(210,386):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(210,385):{'3_1':0.09,'4_1':0.0},(210,384):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(210,383):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(210,382):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(210,381):{'3_1':0.09,'4_1':0.0,'-3':0.0,'6_2':0.0},(210,380):{'3_1':0.15},(210,379):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(210,378):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(210,377):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(210,376):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_1':0.0},(210,375):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(210,374):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(210,373):{'3_1':0.06,'4_1':0.0},(210,372):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(210,371):{'3_1':0.15,'4_1':0.0},(210,370):{'3_1':0.09},(210,369):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(210,368):{'3_1':0.12},(210,367):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(210,366):{'3_1':0.09,'4_1':0.0},(210,365):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(210,364):{'3_1':0.06,'5_2':0.0},(210,363):{'3_1':0.12},(210,362):{'3_1':0.12},(210,361):{'3_1':0.12},(210,360):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(210,359):{'3_1':0.09,'4_1':0.0},(210,358):{'3_1':0.09},(210,357):{'3_1':0.06,'6_3':0.0},(210,356):{'3_1':0.09},(210,355):{'3_1':0.06},(210,354):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(210,353):{'3_1':0.09,'8_20|3_1#3_1':0.0},(210,352):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(210,351):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(210,350):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(210,349):{'3_1':0.03},(210,348):{'3_1':0.03,'4_1':0.0},(210,347):{'3_1':0.03},(210,346):{'3_1':0.0},(210,345):{'3_1':0.03,'4_1':0.0},(210,344):{'3_1':0.09,'4_1':0.0},(210,343):{'3_1':0.03,'6_2':0.0},(210,342):{'3_1':0.03},(210,341):{'3_1':0.03,'4_1':0.0},(210,340):{'3_1':0.06},(210,339):{'3_1':0.06,'4_1':0.0},(210,338):{'3_1':0.09,'4_1':0.0},(210,337):{'3_1':0.03},(210,336):{'3_1':0.15,'4_1':0.0},(210,335):{'3_1':0.06},(210,334):{'3_1':0.06,'4_1':0.0},(210,333):{'3_1':0.06,'4_1':0.0},(210,332):{'3_1':0.09,'4_1':0.0},(210,331):{'3_1':0.06,'4_1':0.0},(210,330):{'4_1':0.0,'3_1':0.0},(210,329):{'3_1':0.09},(210,328):{'3_1':0.0,'4_1':0.0},(210,327):{'3_1':0.0,'4_1':0.0},(210,326):{'3_1':0.03},(210,325):{'4_1':0.0},(210,324):{'3_1':0.0,'4_1':0.0},(210,323):{'3_1':0.03},(210,322):{'3_1':0.0,'4_1':0.0},(210,321):{'3_1':0.0,'4_1':0.0},(210,320):{'3_1':0.0,'4_1':0.0},(210,319):{'3_1':0.03},(210,318):{'3_1':0.0},(210,317):{'3_1':0.03},(210,316):{'3_1':0.0},(210,315):{'3_1':0.03,'4_1':0.0},(210,314):{'3_1':0.03,'5_2':0.0},(210,313):{'3_1':0.03,'4_1':0.0},(210,312):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(210,311):{'3_1':0.0,'5_1':0.0},(210,309):{'3_1':0.0},(210,308):{'8_19':0.0},(210,307):{'3_1':0.03},(210,306):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(210,305):{'3_1':0.0},(210,304):{'3_1':0.03},(210,303):{'3_1':0.0,'5_2':0.0},(210,302):{'3_1':0.0},(210,301):{'3_1':0.0},(210,300):{'3_1':0.0},(210,299):{'3_1':0.0},(210,297):{'3_1':0.03},(210,296):{'3_1':0.0},(210,295):{'5_1':0.0,'3_1':0.0},(210,294):{'3_1':0.0},(210,293):{'3_1':0.0,'5_2':0.0},(210,292):{'3_1':0.0},(210,291):{'3_1':0.0},(210,290):{'3_1':0.0},(210,289):{'3_1':0.0},(210,288):{'3_1':0.03,'5_1':0.0},(210,287):{'3_1':0.0,'4_1':0.0},(210,286):{'3_1':0.0,'5_2':0.0},(210,285):{'3_1':0.03},(210,284):{'3_1':0.03},(210,283):{'3_1':0.0,'5_2':0.0},(210,282):{'3_1':0.0,'5_2':0.0},(210,281):{'3_1':0.03},(210,280):{'3_1':0.0},(210,279):{'3_1':0.0},(210,277):{'3_1':0.0,'5_2':0.0},(210,276):{'3_1':0.0,'4_1':0.0},(210,275):{'3_1':0.0},(210,274):{'3_1':0.0},(210,273):{'3_1':0.0,'4_1':0.0},(210,272):{'3_1':0.0},(210,271):{'3_1':0.0},(210,269):{'3_1':0.0},(210,268):{'3_1':0.0},(210,267):{'3_1':0.0},(210,266):{'3_1':0.0},(210,265):{'3_1':0.0},(210,263):{'3_1':0.0},(210,262):{'3_1':0.03},(210,261):{'3_1':0.0},(210,260):{'3_1':0.0},(210,259):{'3_1':0.0,'4_1':0.0},(210,258):{'3_1':0.0},(210,257):{'4_1':0.0},(210,256):{'3_1':0.0},(210,255):{'3_1':0.0},(210,254):{'3_1':0.0,'4_1':0.0},(210,253):{'3_1':0.0},(210,252):{'3_1':0.0},(210,250):{'3_1':0.0},(210,247):{'3_1':0.0},(210,246):{'3_1':0.0},(210,234):{'3_1':0.0},(210,232):{'3_1':0.0},(210,228):{'3_1':0.0},(210,222):{'3_1':0.0},(210,218):{'3_1':0.0},(211,459):{'3_1':0.06},(211,458):{'3_1':0.0,'4_1':0.0},(211,457):{'3_1':0.06},(211,456):{'3_1':0.03},(211,455):{'3_1':0.03},(211,454):{'3_1':0.06,'4_1':0.0},(211,453):{'3_1':0.03},(211,452):{'3_1':0.03},(211,451):{'3_1':0.09},(211,450):{'3_1':0.03},(211,449):{'3_1':0.03,'4_1':0.0},(211,448):{'3_1':0.03},(211,447):{'3_1':0.0},(211,446):{'3_1':0.0},(211,445):{'3_1':0.03,'4_1':0.0},(211,444):{'3_1':0.06},(211,443):{'3_1':0.03,'4_1':0.0},(211,442):{'3_1':0.06},(211,441):{'3_1':0.03,'4_1':0.0},(211,440):{'3_1':0.03},(211,439):{'3_1':0.09},(211,438):{'3_1':0.06,'4_1':0.0},(211,437):{'3_1':0.03},(211,436):{'3_1':0.03},(211,435):{'3_1':0.03,'4_1':0.0},(211,434):{'3_1':0.09,'4_1':0.0},(211,433):{'3_1':0.12,'4_1':0.0},(211,432):{'3_1':0.0,'4_1':0.0},(211,431):{'3_1':0.03},(211,430):{'3_1':0.12},(211,429):{'3_1':0.03},(211,428):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(211,427):{'3_1':0.03,'4_1':0.0},(211,426):{'3_1':0.09,'4_1':0.0},(211,425):{'3_1':0.06,'4_1':0.0},(211,424):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(211,423):{'3_1':0.06,'4_1':0.0},(211,422):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(211,421):{'3_1':0.09,'4_1':0.0},(211,420):{'3_1':0.06,'6_1':0.0,'4_1':0.0,'-3':0.0},(211,419):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(211,418):{'3_1':0.09,'4_1':0.0},(211,417):{'3_1':0.09,'4_1':0.03},(211,416):{'3_1':0.12,'4_1':0.0},(211,415):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(211,414):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(211,413):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(211,412):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(211,411):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(211,410):{'3_1':0.15,'4_1':0.03},(211,409):{'3_1':0.06,'4_1':0.03},(211,408):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_3':0.0},(211,407):{'3_1':0.06,'6_1':0.0},(211,406):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(211,405):{'3_1':0.09,'5_2':0.0},(211,404):{'3_1':0.09,'4_1':0.0},(211,403):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(211,402):{'3_1':0.09},(211,401):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0},(211,400):{'3_1':0.09,'8_21|3_1#4_1':0.0},(211,399):{'3_1':0.09},(211,398):{'3_1':0.06,'4_1':0.0},(211,397):{'3_1':0.09,'4_1':0.0},(211,396):{'3_1':0.12,'5_2':0.0},(211,395):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(211,394):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(211,393):{'3_1':0.18,'4_1':0.0},(211,392):{'3_1':0.15,'8_20|3_1#3_1':0.0},(211,391):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(211,390):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(211,389):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_3':0.0},(211,388):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(211,387):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_2':0.0},(211,386):{'3_1':0.18,'4_1':0.0},(211,385):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(211,384):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(211,383):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0,'8_20|3_1#3_1':0.0},(211,382):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(211,381):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(211,380):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(211,379):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'5_1':0.0},(211,378):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(211,377):{'3_1':0.18,'5_2':0.03,'4_1':0.0},(211,376):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(211,375):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(211,374):{'3_1':0.09,'4_1':0.0,'8_14':0.0},(211,373):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(211,372):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(211,371):{'3_1':0.06},(211,370):{'3_1':0.09,'5_1':0.0},(211,369):{'3_1':0.09,'5_2':0.0},(211,368):{'3_1':0.12},(211,367):{'3_1':0.09,'4_1':0.0},(211,366):{'3_1':0.09,'8_20|3_1#3_1':0.0},(211,365):{'3_1':0.09,'4_1':0.0},(211,364):{'3_1':0.09,'4_1':0.0},(211,363):{'3_1':0.06,'4_1':0.0},(211,362):{'3_1':0.06},(211,361):{'3_1':0.12},(211,360):{'3_1':0.09},(211,359):{'3_1':0.03},(211,358):{'3_1':0.09,'5_1':0.0},(211,357):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(211,356):{'3_1':0.03,'5_1':0.0},(211,355):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(211,354):{'3_1':0.09},(211,353):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(211,352):{'3_1':0.0},(211,351):{'3_1':0.03},(211,350):{'3_1':0.06,'5_2':0.0},(211,349):{'3_1':0.03,'4_1':0.0},(211,348):{'3_1':0.09},(211,347):{'3_1':0.03},(211,346):{'3_1':0.06},(211,345):{'3_1':0.03,'4_1':0.0},(211,344):{'3_1':0.03,'4_1':0.0},(211,343):{'3_1':0.09},(211,342):{'3_1':0.12,'4_1':0.0},(211,341):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(211,340):{'3_1':0.06,'4_1':0.0},(211,339):{'3_1':0.0,'6_3':0.0},(211,338):{'3_1':0.15,'4_1':0.0},(211,337):{'3_1':0.09},(211,336):{'3_1':0.06,'4_1':0.0},(211,335):{'3_1':0.03},(211,334):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(211,333):{'3_1':0.0,'4_1':0.0},(211,332):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(211,331):{'3_1':0.09,'4_1':0.0},(211,330):{'3_1':0.06,'4_1':0.0},(211,329):{'3_1':0.06,'6_2':0.0},(211,328):{'3_1':0.12},(211,327):{'3_1':0.03},(211,326):{'3_1':0.0},(211,325):{'3_1':0.03},(211,324):{'3_1':0.03,'4_1':0.0},(211,323):{'3_1':0.03},(211,322):{'3_1':0.0,'4_1':0.0},(211,321):{'3_1':0.03,'8_20|3_1#3_1':0.0},(211,320):{'3_1':0.0,'4_1':0.0},(211,319):{'3_1':0.0,'4_1':0.0},(211,318):{'3_1':0.03,'4_1':0.0},(211,317):{'3_1':0.0},(211,316):{'3_1':0.06,'4_1':0.0},(211,315):{'3_1':0.0},(211,314):{'3_1':0.03},(211,313):{'3_1':0.09},(211,312):{'3_1':0.03},(211,311):{'3_1':0.03},(211,310):{'3_1':0.0},(211,309):{'3_1':0.03},(211,308):{'3_1':0.0},(211,307):{'3_1':0.0},(211,306):{'3_1':0.03,'4_1':0.0},(211,305):{'3_1':0.03,'5_1':0.0},(211,304):{'3_1':0.0,'4_1':0.0},(211,303):{'3_1':0.0},(211,302):{'3_1':0.0},(211,301):{'3_1':0.03},(211,300):{'3_1':0.0},(211,299):{'3_1':0.03,'4_1':0.0},(211,298):{'3_1':0.0},(211,297):{'3_1':0.0},(211,296):{'3_1':0.0},(211,295):{'3_1':0.06},(211,294):{'3_1':0.0},(211,293):{'3_1':0.03},(211,292):{'3_1':0.06},(211,291):{'3_1':0.0},(211,290):{'3_1':0.0,'4_1':0.0},(211,289):{'3_1':0.0,'5_1':0.0},(211,288):{'3_1':0.0,'5_1':0.0},(211,287):{'3_1':0.0},(211,286):{'3_1':0.0},(211,285):{'3_1':0.0},(211,284):{'3_1':0.0},(211,283):{'3_1':0.0},(211,282):{'3_1':0.0},(211,281):{'4_1':0.0},(211,280):{'3_1':0.0},(211,279):{'3_1':0.0,'4_1':0.0},(211,278):{'4_1':0.0},(211,276):{'3_1':0.0},(211,275):{'3_1':0.0},(211,274):{'4_1':0.0},(211,273):{'3_1':0.0},(211,272):{'3_1':0.0},(211,271):{'3_1':0.03},(211,270):{'3_1':0.0},(211,269):{'3_1':0.03,'4_1':0.0},(211,268):{'3_1':0.0},(211,267):{'3_1':0.0},(211,266):{'3_1':0.0},(211,265):{'3_1':0.0},(211,264):{'3_1':0.0},(211,263):{'3_1':0.0},(211,262):{'3_1':0.0},(211,261):{'3_1':0.0},(211,260):{'3_1':0.0},(211,259):{'3_1':0.0},(211,258):{'3_1':0.0},(211,257):{'4_1':0.0},(211,256):{'3_1':0.0},(211,254):{'3_1':0.0},(211,253):{'3_1':0.0},(211,252):{'3_1':0.03},(211,250):{'3_1':0.0},(211,249):{'3_1':0.0},(211,248):{'3_1':0.0},(211,229):{'3_1':0.0},(211,228):{'3_1':0.0},(211,225):{'3_1':0.0},(212,459):{'3_1':0.12},(212,458):{'3_1':0.06,'4_1':0.0},(212,457):{'3_1':0.03,'4_1':0.0},(212,456):{'3_1':0.09,'4_1':0.0},(212,455):{'3_1':0.09,'4_1':0.0},(212,454):{'3_1':0.09},(212,453):{'3_1':0.03},(212,452):{'3_1':0.03},(212,451):{'3_1':0.09},(212,450):{'3_1':0.03},(212,449):{'3_1':0.06},(212,448):{'3_1':0.09},(212,447):{'3_1':0.09},(212,446):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(212,445):{'3_1':0.09},(212,444):{'3_1':0.12},(212,443):{'3_1':0.03,'5_2':0.0},(212,442):{'3_1':0.09,'5_1':0.0},(212,441):{'3_1':0.12},(212,440):{'3_1':0.06},(212,439):{'3_1':0.06,'6_1':0.0,'6_3':0.0},(212,438):{'3_1':0.09,'4_1':0.0},(212,437):{'3_1':0.06},(212,436):{'3_1':0.06,'4_1':0.0},(212,435):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(212,434):{'3_1':0.09},(212,433):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(212,432):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(212,431):{'3_1':0.06},(212,430):{'3_1':0.06,'8_21|3_1#4_1':0.0},(212,429):{'3_1':0.03,'5_2':0.0},(212,428):{'3_1':0.06,'4_1':0.0},(212,427):{'3_1':0.06,'4_1':0.0},(212,426):{'3_1':0.12,'4_1':0.0},(212,425):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(212,424):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(212,423):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(212,422):{'3_1':0.06,'4_1':0.0},(212,421):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(212,420):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(212,419):{'3_1':0.15,'5_2':0.0},(212,418):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(212,417):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(212,416):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(212,415):{'3_1':0.12,'4_1':0.03},(212,414):{'3_1':0.06,'4_1':0.0},(212,413):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(212,412):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(212,411):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_3':0.0},(212,410):{'3_1':0.06,'4_1':0.03},(212,409):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(212,408):{'3_1':0.06,'6_3':0.0,'8_21|3_1#4_1':0.0},(212,407):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(212,406):{'3_1':0.06,'4_1':0.0},(212,405):{'3_1':0.06,'5_2':0.0},(212,404):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(212,403):{'3_1':0.09,'4_1':0.0},(212,402):{'3_1':0.09,'4_1':0.0},(212,401):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(212,400):{'3_1':0.09},(212,399):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(212,398):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(212,397):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(212,396):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0},(212,395):{'3_1':0.15,'5_2':0.0,'6_2':0.0},(212,394):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(212,393):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(212,392):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(212,391):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(212,390):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(212,389):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(212,388):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(212,387):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(212,386):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(212,385):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(212,384):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(212,383):{'3_1':0.15,'6_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(212,382):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(212,381):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(212,380):{'3_1':0.12,'4_1':0.03},(212,379):{'3_1':0.18,'4_1':0.0,'6_3':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(212,378):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(212,377):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(212,376):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(212,375):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(212,374):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(212,373):{'3_1':0.09,'4_1':0.0},(212,372):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(212,371):{'3_1':0.09},(212,370):{'3_1':0.15},(212,369):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(212,368):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(212,367):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(212,366):{'3_1':0.12,'5_2':0.0},(212,365):{'3_1':0.12,'5_2':0.0},(212,364):{'3_1':0.12},(212,363):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(212,362):{'3_1':0.09,'4_1':0.0},(212,361):{'3_1':0.09},(212,360):{'3_1':0.03},(212,359):{'3_1':0.06,'5_1':0.0},(212,358):{'3_1':0.06,'4_1':0.0},(212,357):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(212,356):{'3_1':0.12,'4_1':0.0},(212,355):{'3_1':0.09,'5_1':0.0},(212,354):{'3_1':0.15},(212,353):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(212,352):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(212,351):{'3_1':0.06,'4_1':0.0},(212,350):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(212,349):{'3_1':0.03,'5_1':0.0},(212,348):{'3_1':0.06,'4_1':0.0},(212,347):{'3_1':0.0,'5_1':0.0},(212,346):{'3_1':0.03,'5_2':0.0},(212,345):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(212,344):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(212,343):{'3_1':0.06,'4_1':0.0},(212,342):{'3_1':0.09},(212,341):{'3_1':0.0,'4_1':0.0},(212,340):{'3_1':0.09},(212,339):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(212,338):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(212,337):{'3_1':0.06,'4_1':0.0},(212,336):{'3_1':0.06,'7_7':0.0,'8_2':0.0},(212,335):{'3_1':0.03,'4_1':0.0},(212,334):{'3_1':0.09,'4_1':0.0},(212,333):{'3_1':0.06,'4_1':0.0},(212,332):{'3_1':0.06,'4_1':0.0},(212,331):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(212,330):{'3_1':0.09,'4_1':0.0},(212,329):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(212,328):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(212,327):{'3_1':0.03,'4_1':0.0},(212,326):{'3_1':0.03,'4_1':0.0},(212,325):{'3_1':0.0,'4_1':0.0},(212,324):{'3_1':0.0,'4_1':0.0},(212,323):{'3_1':0.0},(212,322):{'3_1':0.03,'4_1':0.0},(212,321):{'3_1':0.03},(212,320):{'4_1':0.0},(212,319):{'3_1':0.0},(212,318):{'3_1':0.0,'5_1':0.0},(212,317):{'3_1':0.0,'8_20|3_1#3_1':0.0},(212,316):{'3_1':0.0},(212,315):{'3_1':0.03,'4_1':0.0},(212,314):{'3_1':0.06},(212,313):{'3_1':0.06},(212,312):{'3_1':0.06,'5_1':0.0},(212,311):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(212,310):{'3_1':0.0},(212,309):{'3_1':0.0,'4_1':0.0},(212,308):{'3_1':0.03},(212,306):{'3_1':0.03,'4_1':0.0},(212,305):{'3_1':0.0,'8_21|3_1#4_1':0.0},(212,304):{'3_1':0.0},(212,303):{'3_1':0.0},(212,302):{'3_1':0.0},(212,301):{'3_1':0.0},(212,300):{'3_1':0.03},(212,299):{'3_1':0.0},(212,298):{'3_1':0.0},(212,297):{'3_1':0.0,'5_1':0.0},(212,296):{'3_1':0.03},(212,295):{'3_1':0.0},(212,294):{'3_1':0.0},(212,293):{'3_1':0.0},(212,292):{'3_1':0.0,'5_1':0.0},(212,291):{'3_1':0.0,'5_1':0.0},(212,290):{'3_1':0.0},(212,289):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(212,288):{'3_1':0.0,'5_2':0.0},(212,287):{'3_1':0.03,'5_1':0.0},(212,286):{'3_1':0.03,'5_1':0.0},(212,285):{'3_1':0.03},(212,284):{'3_1':0.0,'4_1':0.0},(212,283):{'3_1':0.0,'4_1':0.0},(212,282):{'3_1':0.0},(212,281):{'3_1':0.0},(212,280):{'3_1':0.0},(212,279):{'3_1':0.0,'4_1':0.0},(212,278):{'3_1':0.0,'4_1':0.0},(212,277):{'3_1':0.0,'4_1':0.0},(212,276):{'3_1':0.0},(212,274):{'3_1':0.0},(212,273):{'3_1':0.0},(212,272):{'3_1':0.0,'4_1':0.0},(212,269):{'3_1':0.0},(212,268):{'3_1':0.0},(212,267):{'3_1':0.0,'4_1':0.0},(212,266):{'3_1':0.0},(212,264):{'3_1':0.0},(212,263):{'3_1':0.0},(212,262):{'3_1':0.0},(212,261):{'3_1':0.0},(212,260):{'3_1':0.0},(212,259):{'3_1':0.0},(212,258):{'3_1':0.0},(212,257):{'3_1':0.0,'4_1':0.0},(212,256):{'3_1':0.0},(212,255):{'3_1':0.0},(212,254):{'3_1':0.03},(212,252):{'3_1':0.0,'4_1':0.0},(212,251):{'3_1':0.0,'4_1':0.0},(212,250):{'3_1':0.0},(212,247):{'3_1':0.0},(212,230):{'3_1':0.0},(212,226):{'3_1':0.0},(212,220):{'3_1':0.0},(212,219):{'3_1':0.0},(212,218):{'3_1':0.0},(213,459):{'3_1':0.06},(213,458):{'3_1':0.09},(213,457):{'3_1':0.09},(213,456):{'3_1':0.03},(213,455):{'3_1':0.03},(213,454):{'3_1':0.09},(213,453):{'3_1':0.06},(213,452):{'3_1':0.09},(213,451):{'3_1':0.06},(213,450):{'3_1':0.03},(213,449):{'3_1':0.06},(213,448):{'3_1':0.03,'4_1':0.0},(213,447):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(213,446):{'3_1':0.06,'4_1':0.0},(213,445):{'3_1':0.06},(213,444):{'3_1':0.09},(213,443):{'3_1':0.06,'5_2':0.0},(213,442):{'3_1':0.03},(213,441):{'3_1':0.06,'4_1':0.0},(213,440):{'3_1':0.09},(213,439):{'3_1':0.06,'6_3':0.0},(213,438):{'3_1':0.09},(213,437):{'3_1':0.06,'4_1':0.0},(213,436):{'3_1':0.09,'4_1':0.0},(213,435):{'3_1':0.12,'4_1':0.0},(213,434):{'3_1':0.09,'4_1':0.0},(213,433):{'3_1':0.03,'4_1':0.0},(213,432):{'3_1':0.06,'4_1':0.0},(213,431):{'3_1':0.06,'4_1':0.0},(213,430):{'3_1':0.06,'4_1':0.0},(213,429):{'3_1':0.06,'6_3':0.0},(213,428):{'3_1':0.12,'4_1':0.0},(213,427):{'3_1':0.06,'4_1':0.0},(213,426):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_17':0.0},(213,425):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(213,424):{'3_1':0.06,'4_1':0.0},(213,423):{'3_1':0.12,'4_1':0.03},(213,422):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(213,421):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(213,420):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(213,419):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(213,418):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(213,417):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(213,416):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(213,415):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(213,414):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(213,413):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(213,412):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(213,411):{'3_1':0.12,'4_1':0.03},(213,410):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(213,409):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(213,408):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(213,407):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(213,406):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0},(213,405):{'3_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0},(213,404):{'3_1':0.09,'4_1':0.0},(213,403):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(213,402):{'3_1':0.09,'5_1':0.0},(213,401):{'3_1':0.09,'4_1':0.0,'-3':0.0},(213,400):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(213,399):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(213,398):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(213,397):{'3_1':0.12,'6_3':0.0},(213,396):{'3_1':0.12,'4_1':0.0},(213,395):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(213,394):{'3_1':0.15,'5_2':0.0},(213,393):{'3_1':0.21,'5_2':0.03,'6_2':0.0,'6_3':0.0},(213,392):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0},(213,391):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(213,390):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(213,389):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(213,388):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(213,387):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(213,386):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(213,385):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(213,384):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_3':0.0},(213,383):{'3_1':0.09,'4_1':0.0},(213,382):{'3_1':0.12,'4_1':0.0},(213,381):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(213,380):{'3_1':0.12,'6_3':0.0,'4_1':0.0,'6_1':0.0},(213,379):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(213,378):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0},(213,377):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(213,376):{'3_1':0.18,'4_1':0.03,'6_1':0.0,'6_2':0.0},(213,375):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(213,374):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(213,373):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(213,372):{'3_1':0.06},(213,371):{'3_1':0.09,'5_2':0.0},(213,370):{'3_1':0.15,'5_2':0.0,'8_21|3_1#4_1':0.0},(213,369):{'3_1':0.12},(213,368):{'3_1':0.15,'4_1':0.0},(213,367):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(213,366):{'3_1':0.12,'4_1':0.0},(213,365):{'3_1':0.12,'4_1':0.0},(213,364):{'3_1':0.09},(213,363):{'3_1':0.12},(213,362):{'3_1':0.09,'5_2':0.0},(213,361):{'3_1':0.09,'4_1':0.0},(213,360):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(213,359):{'3_1':0.06,'5_2':0.0},(213,358):{'3_1':0.12,'5_2':0.0},(213,357):{'3_1':0.06},(213,356):{'3_1':0.06},(213,355):{'3_1':0.09},(213,354):{'3_1':0.12},(213,353):{'3_1':0.09,'8_20|3_1#3_1':0.0},(213,352):{'3_1':0.06,'4_1':0.0},(213,351):{'3_1':0.03,'5_2':0.0},(213,350):{'3_1':0.06},(213,349):{'3_1':0.09,'4_1':0.0},(213,348):{'3_1':0.03},(213,347):{'3_1':0.0},(213,346):{'3_1':0.06,'4_1':0.0},(213,345):{'3_1':0.06,'4_1':0.0},(213,344):{'3_1':0.0},(213,343):{'3_1':0.03},(213,342):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(213,341):{'3_1':0.03,'4_1':0.0},(213,340):{'3_1':0.09,'4_1':0.0},(213,339):{'3_1':0.03,'4_1':0.0},(213,338):{'3_1':0.06,'4_1':0.0},(213,337):{'3_1':0.03,'4_1':0.0},(213,336):{'3_1':0.03},(213,335):{'3_1':0.0},(213,334):{'3_1':0.03,'4_1':0.0},(213,333):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(213,332):{'3_1':0.06},(213,331):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(213,330):{'3_1':0.03,'4_1':0.0},(213,329):{'3_1':0.0,'4_1':0.0},(213,328):{'3_1':0.06},(213,327):{'3_1':0.06,'6_2':0.0},(213,326):{'3_1':0.03,'4_1':0.0},(213,325):{'3_1':0.0,'4_1':0.0},(213,324):{'3_1':0.03},(213,323):{'3_1':0.0},(213,322):{'3_1':0.0},(213,321):{'3_1':0.0},(213,320):{'3_1':0.0,'5_1':0.0},(213,319):{'3_1':0.0},(213,318):{'3_1':0.03,'4_1':0.0},(213,317):{'3_1':0.03},(213,316):{'3_1':0.0,'5_2':0.0},(213,315):{'3_1':0.03,'4_1':0.0},(213,314):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(213,313):{'3_1':0.0,'5_1':0.0},(213,312):{'3_1':0.0,'5_2':0.0},(213,311):{'3_1':0.0},(213,310):{'3_1':0.03},(213,309):{'3_1':0.03},(213,308):{'3_1':0.0},(213,307):{'3_1':0.0},(213,306):{'3_1':0.0},(213,305):{'3_1':0.0},(213,304):{'3_1':0.03},(213,303):{'3_1':0.0,'4_1':0.0},(213,302):{'3_1':0.03},(213,301):{'3_1':0.0},(213,299):{'3_1':0.0},(213,298):{'3_1':0.0},(213,297):{'3_1':0.0},(213,296):{'3_1':0.0},(213,295):{'3_1':0.0},(213,294):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(213,293):{'3_1':0.0},(213,292):{'3_1':0.0},(213,291):{'3_1':0.03},(213,290):{'3_1':0.0},(213,289):{'3_1':0.0},(213,288):{'3_1':0.0},(213,287):{'3_1':0.0},(213,286):{'3_1':0.0,'4_1':0.0},(213,285):{'3_1':0.0},(213,284):{'3_1':0.0,'5_1':0.0},(213,283):{'3_1':0.0},(213,282):{'3_1':0.0},(213,281):{'3_1':0.0,'5_1':0.0},(213,280):{'3_1':0.0,'4_1':0.0},(213,279):{'3_1':0.0},(213,278):{'5_2':0.0},(213,277):{'3_1':0.0},(213,276):{'3_1':0.0},(213,275):{'3_1':0.0},(213,274):{'3_1':0.0},(213,273):{'3_1':0.0},(213,272):{'3_1':0.0},(213,271):{'3_1':0.0,'4_1':0.0},(213,270):{'3_1':0.0,'4_1':0.0},(213,269):{'3_1':0.0},(213,267):{'3_1':0.0},(213,266):{'3_1':0.0},(213,265):{'3_1':0.0},(213,264):{'3_1':0.0,'5_1':0.0},(213,262):{'3_1':0.0},(213,261):{'3_1':0.0},(213,260):{'3_1':0.03},(213,259):{'3_1':0.0},(213,258):{'3_1':0.0,'4_1':0.0},(213,257):{'3_1':0.0},(213,255):{'3_1':0.0},(213,254):{'3_1':0.0},(213,253):{'3_1':0.0,'4_1':0.0},(213,252):{'3_1':0.0},(213,251):{'3_1':0.0},(213,249):{'3_1':0.0},(213,247):{'3_1':0.0},(213,226):{'3_1':0.0},(213,225):{'3_1':0.0},(213,223):{'4_1':0.0},(213,220):{'3_1':0.0},(213,219):{'3_1':0.0},(214,459):{'3_1':0.06,'5_2':0.0},(214,458):{'3_1':0.06,'5_2':0.0},(214,457):{'3_1':0.09},(214,456):{'3_1':0.06},(214,455):{'3_1':0.06},(214,454):{'3_1':0.06},(214,453):{'3_1':0.09},(214,452):{'3_1':0.12},(214,451):{'3_1':0.09},(214,450):{'3_1':0.06,'4_1':0.0},(214,449):{'3_1':0.09},(214,448):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(214,447):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(214,446):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(214,445):{'3_1':0.03,'4_1':0.0},(214,444):{'3_1':0.03},(214,443):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(214,442):{'3_1':0.06},(214,441):{'3_1':0.06},(214,440):{'3_1':0.09},(214,439):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(214,438):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(214,437):{'3_1':0.03,'4_1':0.0},(214,436):{'3_1':0.09,'5_2':0.0},(214,435):{'3_1':0.09},(214,434):{'3_1':0.09,'5_2':0.0,'-3':0.0},(214,433):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(214,432):{'3_1':0.15,'4_1':0.0},(214,431):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(214,430):{'3_1':0.09,'4_1':0.0},(214,429):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(214,428):{'3_1':0.09,'5_1':0.0},(214,427):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_3':0.0},(214,426):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(214,425):{'3_1':0.06,'4_1':0.0},(214,424):{'3_1':0.12,'4_1':0.0,'-3':0.0},(214,423):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(214,422):{'3_1':0.15},(214,421):{'3_1':0.12,'4_1':0.0},(214,420):{'3_1':0.15,'6_1':0.0,'4_1':0.0},(214,419):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_6':0.0},(214,418):{'3_1':0.12,'4_1':0.03},(214,417):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(214,416):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(214,415):{'3_1':0.12,'4_1':0.0},(214,414):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(214,413):{'3_1':0.06,'4_1':0.0},(214,412):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(214,411):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(214,410):{'3_1':0.06,'6_3':0.0,'4_1':0.0},(214,409):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(214,408):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(214,407):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(214,406):{'3_1':0.12,'4_1':0.0},(214,405):{'3_1':0.09},(214,404):{'3_1':0.12,'4_1':0.0},(214,403):{'3_1':0.12,'4_1':0.0},(214,402):{'3_1':0.03},(214,401):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(214,400):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(214,399):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(214,398):{'3_1':0.15},(214,397):{'3_1':0.15,'4_1':0.0},(214,396):{'3_1':0.15,'5_2':0.0},(214,395):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(214,394):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(214,393):{'3_1':0.21,'5_2':0.0,'8_20|3_1#3_1':0.0},(214,392):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(214,391):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'7_2':0.0},(214,390):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(214,389):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'8_19':0.0},(214,388):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(214,387):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(214,386):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(214,385):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(214,384):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_3':0.0},(214,383):{'3_1':0.15,'6_2':0.0,'6_3':0.0},(214,382):{'3_1':0.18,'4_1':0.03},(214,381):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(214,380):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(214,379):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_1':0.0},(214,378):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(214,377):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(214,376):{'3_1':0.21,'6_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(214,375):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(214,374):{'3_1':0.15,'5_2':0.0},(214,373):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(214,372):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(214,371):{'3_1':0.15,'4_1':0.0,'7_2':0.0,'7_6':0.0},(214,370):{'3_1':0.03},(214,369):{'3_1':0.15,'5_2':0.0},(214,368):{'3_1':0.15},(214,367):{'3_1':0.06,'5_2':0.0},(214,366):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(214,365):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(214,364):{'3_1':0.15,'4_1':0.0},(214,363):{'3_1':0.12,'4_1':0.0},(214,362):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(214,361):{'3_1':0.15},(214,360):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'-3':0.0},(214,359):{'3_1':0.12},(214,358):{'3_1':0.12,'5_2':0.0,'8_21|3_1#4_1':0.0},(214,357):{'3_1':0.15},(214,356):{'3_1':0.12,'6_3':0.0},(214,355):{'3_1':0.06,'5_2':0.0},(214,354):{'3_1':0.15,'5_2':0.0},(214,353):{'3_1':0.18,'4_1':0.0},(214,352):{'3_1':0.12,'5_2':0.0},(214,351):{'3_1':0.12},(214,350):{'3_1':0.06,'7_6':0.0},(214,349):{'3_1':0.09,'4_1':0.0},(214,348):{'3_1':0.03,'4_1':0.0},(214,347):{'3_1':0.06,'5_2':0.0},(214,346):{'3_1':0.06},(214,345):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(214,344):{'3_1':0.03},(214,343):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(214,342):{'3_1':0.03,'4_1':0.0},(214,341):{'3_1':0.06,'4_1':0.0},(214,340):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(214,339):{'3_1':0.03,'4_1':0.0},(214,338):{'3_1':0.06,'4_1':0.0},(214,337):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(214,336):{'3_1':0.03},(214,335):{'3_1':0.03},(214,334):{'3_1':0.06,'4_1':0.0},(214,333):{'3_1':0.03,'4_1':0.0},(214,332):{'3_1':0.06,'4_1':0.0},(214,331):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(214,330):{'3_1':0.09},(214,329):{'3_1':0.03,'4_1':0.0},(214,328):{'3_1':0.06},(214,326):{'3_1':0.03},(214,325):{'3_1':0.06,'6_2':0.0},(214,324):{'3_1':0.03,'4_1':0.0},(214,323):{'3_1':0.0},(214,322):{'3_1':0.03,'5_1':0.0},(214,321):{'3_1':0.0},(214,320):{'3_1':0.0,'4_1':0.0},(214,319):{'3_1':0.0},(214,318):{'3_1':0.03},(214,317):{'3_1':0.0,'5_1':0.0},(214,316):{'3_1':0.0},(214,315):{'3_1':0.03},(214,314):{'3_1':0.03},(214,313):{'3_1':0.03},(214,312):{'3_1':0.03},(214,311):{'3_1':0.03},(214,310):{'3_1':0.0,'5_2':0.0},(214,308):{'3_1':0.03},(214,307):{'3_1':0.0},(214,306):{'3_1':0.0},(214,305):{'3_1':0.0},(214,303):{'3_1':0.0},(214,302):{'3_1':0.0},(214,301):{'3_1':0.0,'5_1':0.0},(214,299):{'3_1':0.0},(214,298):{'3_1':0.0},(214,297):{'3_1':0.0},(214,296):{'3_1':0.0},(214,295):{'3_1':0.0},(214,294):{'3_1':0.06,'4_1':0.0},(214,293):{'3_1':0.0},(214,292):{'3_1':0.0},(214,291):{'3_1':0.0},(214,290):{'3_1':0.0},(214,289):{'3_1':0.0},(214,288):{'3_1':0.03},(214,287):{'3_1':0.0},(214,286):{'3_1':0.0,'5_1':0.0},(214,285):{'3_1':0.0},(214,284):{'3_1':0.0},(214,283):{'3_1':0.0},(214,282):{'3_1':0.0,'5_1':0.0},(214,281):{'3_1':0.0},(214,279):{'3_1':0.0,'4_1':0.0},(214,277):{'4_1':0.0},(214,276):{'3_1':0.03},(214,275):{'3_1':0.0},(214,273):{'3_1':0.03,'4_1':0.0},(214,272):{'3_1':0.03,'4_1':0.0},(214,271):{'4_1':0.0},(214,270):{'3_1':0.0},(214,269):{'3_1':0.0},(214,268):{'3_1':0.03,'4_1':0.0},(214,267):{'3_1':0.0,'4_1':0.0},(214,266):{'3_1':0.0,'4_1':0.0},(214,265):{'3_1':0.0},(214,264):{'3_1':0.0},(214,263):{'3_1':0.0},(214,262):{'3_1':0.0,'4_1':0.0},(214,261):{'4_1':0.0},(214,260):{'3_1':0.0},(214,259):{'3_1':0.0},(214,258):{'3_1':0.0},(214,257):{'3_1':0.03},(214,256):{'3_1':0.0},(214,255):{'3_1':0.0},(214,254):{'3_1':0.0},(214,253):{'3_1':0.0},(214,250):{'3_1':0.0},(214,248):{'3_1':0.0},(214,233):{'3_1':0.0},(214,220):{'3_1':0.0},(214,219):{'3_1':0.0},(215,459):{'3_1':0.03},(215,458):{'3_1':0.03},(215,457):{'3_1':0.06},(215,456):{'3_1':0.03},(215,455):{'3_1':0.03},(215,454):{'3_1':0.06,'5_2':0.0},(215,453):{'3_1':0.03},(215,452):{'3_1':0.03},(215,451):{'3_1':0.03},(215,450):{'3_1':0.06},(215,449):{'3_1':0.03},(215,448):{'3_1':0.06},(215,447):{'3_1':0.15},(215,446):{'3_1':0.06},(215,445):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(215,444):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(215,443):{'3_1':0.03,'6_3':0.0},(215,442):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(215,441):{'3_1':0.09,'4_1':0.0},(215,440):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(215,439):{'3_1':0.03},(215,438):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(215,437):{'3_1':0.06},(215,436):{'3_1':0.09,'5_2':0.0},(215,435):{'3_1':0.06},(215,434):{'3_1':0.03},(215,433):{'3_1':0.06},(215,432):{'3_1':0.09,'4_1':0.0},(215,431):{'3_1':0.06,'5_1':0.0},(215,430):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(215,429):{'3_1':0.09},(215,428):{'3_1':0.06},(215,427):{'3_1':0.06,'6_2':0.0},(215,426):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(215,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(215,424):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(215,423):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(215,422):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(215,421):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(215,420):{'3_1':0.12,'4_1':0.03},(215,419):{'3_1':0.06,'4_1':0.03},(215,418):{'3_1':0.09},(215,417):{'3_1':0.12,'4_1':0.0},(215,416):{'3_1':0.09,'4_1':0.0},(215,415):{'3_1':0.12,'4_1':0.0},(215,414):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(215,413):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(215,412):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(215,411):{'3_1':0.12,'4_1':0.0},(215,410):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(215,409):{'3_1':0.09,'4_1':0.0},(215,408):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(215,407):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(215,406):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(215,405):{'3_1':0.06,'4_1':0.0},(215,404):{'3_1':0.06,'4_1':0.0},(215,403):{'3_1':0.15,'4_1':0.0},(215,402):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(215,401):{'3_1':0.09,'5_1':0.0},(215,400):{'3_1':0.12,'4_1':0.0},(215,399):{'3_1':0.09,'4_1':0.0},(215,398):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(215,397):{'3_1':0.06,'4_1':0.0},(215,396):{'3_1':0.09,'5_2':0.0},(215,395):{'3_1':0.18,'8_20|3_1#3_1':0.0,'5_2':0.0},(215,394):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(215,393):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_2':0.0},(215,392):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(215,391):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(215,390):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(215,389):{'3_1':0.18,'4_1':0.06,'6_1':0.0},(215,388):{'3_1':0.12,'5_2':0.03,'6_3':0.0,'8_21|3_1#4_1':0.0,'8_20|3_1#3_1':0.0},(215,387):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(215,386):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(215,385):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(215,384):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'5_1':0.0,'5_2':0.0},(215,383):{'3_1':0.12,'4_1':0.0},(215,382):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(215,381):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(215,380):{'3_1':0.15,'4_1':0.03,'6_3':0.0},(215,379):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'6_1':0.0},(215,378):{'3_1':0.12,'5_2':0.03,'4_1':0.03},(215,377):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(215,376):{'3_1':0.12,'4_1':0.0},(215,375):{'3_1':0.21,'4_1':0.0,'6_3':0.0},(215,374):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(215,373):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(215,372):{'3_1':0.03,'5_2':0.0},(215,371):{'3_1':0.06,'5_2':0.0},(215,370):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(215,369):{'3_1':0.12},(215,368):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(215,367):{'3_1':0.06},(215,366):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(215,365):{'3_1':0.15},(215,364):{'3_1':0.06,'4_1':0.0},(215,363):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(215,362):{'3_1':0.12},(215,361):{'3_1':0.06},(215,360):{'3_1':0.09,'5_1':0.0},(215,359):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(215,358):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(215,357):{'3_1':0.12,'4_1':0.0},(215,356):{'3_1':0.06},(215,355):{'3_1':0.06},(215,354):{'3_1':0.18,'5_2':0.0},(215,353):{'3_1':0.06,'4_1':0.0},(215,352):{'3_1':0.09,'5_2':0.0},(215,351):{'3_1':0.03,'8_20|3_1#3_1':0.0},(215,350):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(215,349):{'3_1':0.09},(215,348):{'3_1':0.03},(215,347):{'3_1':0.0},(215,346):{'3_1':0.03},(215,345):{'3_1':0.03,'4_1':0.0},(215,344):{'3_1':0.03,'4_1':0.0},(215,343):{'3_1':0.0,'4_1':0.0},(215,342):{'3_1':0.0,'4_1':0.0},(215,341):{'3_1':0.03,'4_1':0.0},(215,340):{'3_1':0.06,'6_2':0.0,'7_7':0.0},(215,339):{'3_1':0.03,'4_1':0.0},(215,338):{'3_1':0.03},(215,337):{'3_1':0.06,'5_2':0.0},(215,336):{'3_1':0.03,'4_1':0.0},(215,335):{'3_1':0.03,'4_1':0.0},(215,334):{'3_1':0.06,'4_1':0.0},(215,333):{'3_1':0.09,'4_1':0.0},(215,332):{'3_1':0.09,'4_1':0.0},(215,331):{'3_1':0.12,'4_1':0.0},(215,330):{'3_1':0.12},(215,329):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(215,328):{'3_1':0.03,'4_1':0.0},(215,327):{'3_1':0.03,'5_2':0.0},(215,326):{'3_1':0.0},(215,325):{'3_1':0.0,'4_1':0.0},(215,324):{'3_1':0.03},(215,323):{'3_1':0.0},(215,322):{'3_1':0.03},(215,321):{'3_1':0.0},(215,320):{'3_1':0.0},(215,319):{'3_1':0.0,'3_1#5_1':0.0},(215,318):{'3_1':0.0},(215,317):{'3_1':0.0,'4_1':0.0},(215,316):{'3_1':0.0,'4_1':0.0},(215,315):{'3_1':0.03,'5_1':0.0},(215,313):{'3_1':0.03},(215,312):{'3_1':0.03},(215,311):{'3_1':0.0,'5_1':0.0},(215,310):{'3_1':0.0},(215,309):{'3_1':0.0},(215,308):{'5_2':0.0},(215,307):{'3_1':0.0},(215,305):{'3_1':0.0},(215,304):{'3_1':0.0},(215,303):{'3_1':0.0},(215,302):{'3_1':0.0,'8_20|3_1#3_1':0.0},(215,301):{'3_1':0.0},(215,300):{'3_1':0.0,'8_21|3_1#4_1':0.0},(215,299):{'3_1':0.0},(215,298):{'3_1':0.0,'5_2':0.0},(215,297):{'3_1':0.03},(215,296):{'3_1':0.0},(215,295):{'4_1':0.0},(215,293):{'3_1':0.03},(215,292):{'3_1':0.0,'5_2':0.0},(215,291):{'3_1':0.03},(215,290):{'3_1':0.0},(215,289):{'3_1':0.0},(215,288):{'3_1':0.0},(215,287):{'3_1':0.0},(215,286):{'3_1':0.03,'4_1':0.0},(215,285):{'3_1':0.0},(215,282):{'3_1':0.0},(215,281):{'3_1':0.0},(215,279):{'4_1':0.0},(215,278):{'3_1':0.0,'4_1':0.0},(215,275):{'3_1':0.0,'4_1':0.0},(215,274):{'3_1':0.0},(215,272):{'3_1':0.03,'4_1':0.0},(215,271):{'3_1':0.0},(215,269):{'3_1':0.0},(215,268):{'3_1':0.0},(215,267):{'3_1':0.0,'4_1':0.0},(215,266):{'3_1':0.03,'4_1':0.0},(215,265):{'3_1':0.0,'5_2':0.0},(215,264):{'3_1':0.0},(215,262):{'3_1':0.0},(215,261):{'3_1':0.0},(215,260):{'3_1':0.0},(215,258):{'3_1':0.0},(215,257):{'3_1':0.0},(215,256):{'3_1':0.0},(215,255):{'3_1':0.0},(215,254):{'3_1':0.0},(215,253):{'3_1':0.0},(215,252):{'3_1':0.0},(215,250):{'3_1':0.0},(215,249):{'3_1':0.0},(216,459):{'3_1':0.03},(216,458):{'3_1':0.0},(216,457):{'3_1':0.0},(216,456):{'3_1':0.03},(216,455):{'3_1':0.06,'4_1':0.0},(216,454):{'3_1':0.03},(216,453):{'3_1':0.0},(216,452):{'3_1':0.03},(216,451):{'3_1':0.0},(216,450):{'3_1':0.06},(216,449):{'3_1':0.03},(216,448):{'3_1':0.03},(216,446):{'3_1':0.09},(216,445):{'3_1':0.03,'4_1':0.0},(216,444):{'3_1':0.03},(216,443):{'3_1':0.0,'4_1':0.0},(216,442):{'3_1':0.0,'5_2':0.0},(216,441):{'3_1':0.0,'4_1':0.0},(216,440):{'3_1':0.03},(216,439):{'3_1':0.03},(216,438):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(216,437):{'3_1':0.0},(216,436):{'3_1':0.0},(216,435):{'3_1':0.0,'4_1':0.0},(216,434):{'3_1':0.06,'4_1':0.0},(216,433):{'3_1':0.06},(216,432):{'3_1':0.06,'4_1':0.0},(216,431):{'3_1':0.03,'4_1':0.0},(216,430):{'3_1':0.09},(216,429):{'3_1':0.0,'4_1':0.0},(216,428):{'3_1':0.09,'5_2':0.0},(216,427):{'3_1':0.03},(216,426):{'3_1':0.06,'4_1':0.0},(216,425):{'3_1':0.06},(216,424):{'3_1':0.06,'4_1':0.0},(216,423):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(216,422):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(216,421):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(216,420):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(216,419):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(216,418):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0},(216,417):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(216,416):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(216,415):{'3_1':0.06,'4_1':0.03},(216,414):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(216,413):{'3_1':0.09,'4_1':0.03,'7_7':0.0,'5_1':0.0},(216,412):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(216,411):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(216,410):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(216,409):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(216,408):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(216,407):{'3_1':0.06,'4_1':0.0},(216,406):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(216,405):{'3_1':0.09},(216,404):{'3_1':0.06,'4_1':0.0},(216,403):{'3_1':0.06},(216,402):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(216,401):{'3_1':0.09,'5_2':0.0},(216,400):{'3_1':0.09,'4_1':0.0},(216,399):{'3_1':0.09,'4_1':0.0},(216,398):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(216,397):{'3_1':0.12,'4_1':0.0},(216,396):{'3_1':0.09,'5_2':0.0},(216,395):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(216,394):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(216,393):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(216,392):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(216,391):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(216,390):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(216,389):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(216,388):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(216,387):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(216,386):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(216,385):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(216,384):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(216,383):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(216,382):{'3_1':0.15,'5_2':0.0},(216,381):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(216,380):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(216,379):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(216,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(216,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(216,376):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(216,375):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(216,374):{'3_1':0.15,'4_1':0.0},(216,373):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(216,372):{'3_1':0.09,'5_2':0.0},(216,371):{'3_1':0.06},(216,370):{'3_1':0.09},(216,369):{'3_1':0.06,'5_1':0.0},(216,368):{'3_1':0.12,'8_17':0.0},(216,367):{'3_1':0.06},(216,366):{'3_1':0.12},(216,365):{'3_1':0.06,'5_2':0.0},(216,364):{'3_1':0.06,'5_2':0.0},(216,363):{'3_1':0.15,'5_1':0.0},(216,362):{'3_1':0.03,'4_1':0.0},(216,361):{'3_1':0.06},(216,360):{'3_1':0.06,'4_1':0.0},(216,359):{'3_1':0.09},(216,358):{'3_1':0.03,'5_2':0.0},(216,357):{'3_1':0.15,'5_1':0.0},(216,356):{'3_1':0.15},(216,355):{'3_1':0.06,'6_3':0.0},(216,354):{'3_1':0.12},(216,353):{'3_1':0.12},(216,352):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(216,351):{'3_1':0.03,'4_1':0.0},(216,350):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(216,349):{'3_1':0.03},(216,348):{'3_1':0.06},(216,347):{'3_1':0.03},(216,346):{'3_1':0.0},(216,345):{'3_1':0.03,'4_1':0.0},(216,344):{'3_1':0.03,'4_1':0.0},(216,343):{'3_1':0.06},(216,342):{'3_1':0.06,'4_1':0.0},(216,341):{'3_1':0.03,'4_1':0.0},(216,340):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(216,339):{'3_1':0.09,'4_1':0.0},(216,338):{'3_1':0.03,'4_1':0.0},(216,337):{'3_1':0.06},(216,336):{'3_1':0.06,'4_1':0.0},(216,335):{'3_1':0.09},(216,334):{'3_1':0.03,'4_1':0.0},(216,333):{'3_1':0.09,'5_2':0.0},(216,332):{'3_1':0.09,'4_1':0.0},(216,331):{'3_1':0.09,'4_1':0.0},(216,330):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(216,329):{'3_1':0.09},(216,328):{'3_1':0.0},(216,327):{'3_1':0.03,'5_2':0.0},(216,326):{'3_1':0.0,'4_1':0.0},(216,325):{'3_1':0.0},(216,324):{'3_1':0.0,'4_1':0.0},(216,323):{'3_1':0.0},(216,322):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(216,320):{'3_1':0.0},(216,319):{'3_1':0.0,'4_1':0.0},(216,318):{'3_1':0.03,'4_1':0.0},(216,317):{'3_1':0.0},(216,316):{'4_1':0.0,'3_1':0.0},(216,315):{'3_1':0.03},(216,314):{'3_1':0.0,'4_1':0.0},(216,313):{'3_1':0.03,'4_1':0.0},(216,312):{'3_1':0.0},(216,311):{'3_1':0.0,'5_1':0.0},(216,310):{'3_1':0.03},(216,309):{'3_1':0.03},(216,308):{'3_1':0.03},(216,307):{'3_1':0.0},(216,306):{'3_1':0.0},(216,305):{'3_1':0.0},(216,304):{'3_1':0.03},(216,302):{'3_1':0.0},(216,301):{'3_1':0.0},(216,300):{'3_1':0.0,'4_1':0.0},(216,299):{'3_1':0.0},(216,298):{'3_1':0.0},(216,297):{'3_1':0.0},(216,295):{'3_1':0.0,'4_1':0.0},(216,294):{'3_1':0.0},(216,293):{'3_1':0.0},(216,292):{'3_1':0.0},(216,291):{'3_1':0.03,'5_1':0.0},(216,290):{'3_1':0.0},(216,288):{'3_1':0.0},(216,286):{'3_1':0.0,'5_1':0.0},(216,285):{'3_1':0.0,'4_1':0.0},(216,284):{'3_1':0.0},(216,283):{'3_1':0.0},(216,282):{'3_1':0.0},(216,281):{'4_1':0.0,'3_1':0.0},(216,280):{'4_1':0.0},(216,277):{'3_1':0.0},(216,276):{'3_1':0.0},(216,274):{'4_1':0.0,'3_1':0.0},(216,273):{'4_1':0.0,'3_1':0.0},(216,272):{'3_1':0.03},(216,271):{'3_1':0.0,'4_1':0.0},(216,270):{'3_1':0.0,'4_1':0.0},(216,269):{'4_1':0.0},(216,268):{'3_1':0.0,'4_1':0.0},(216,267):{'3_1':0.0},(216,266):{'3_1':0.0,'5_2':0.0},(216,265):{'3_1':0.0,'4_1':0.0},(216,264):{'4_1':0.0,'3_1':0.0},(216,263):{'3_1':0.0,'4_1':0.0},(216,262):{'3_1':0.0},(216,261):{'3_1':0.0},(216,260):{'3_1':0.0},(216,219):{'3_1':0.0},(217,459):{'3_1':0.06,'5_2':0.0},(217,458):{'3_1':0.06,'4_1':0.0},(217,457):{'3_1':0.03,'4_1':0.0},(217,456):{'3_1':0.09},(217,455):{'3_1':0.06},(217,454):{'3_1':0.09,'4_1':0.0},(217,453):{'3_1':0.0},(217,452):{'3_1':0.0},(217,451):{'3_1':0.03},(217,450):{'3_1':0.03},(217,449):{'3_1':0.06},(217,448):{'3_1':0.06,'5_1':0.0},(217,447):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(217,446):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(217,445):{'3_1':0.03},(217,444):{'3_1':0.03,'4_1':0.0},(217,443):{'3_1':0.03},(217,442):{'3_1':0.06,'4_1':0.0},(217,441):{'3_1':0.06,'4_1':0.0},(217,440):{'3_1':0.03},(217,439):{'3_1':0.06},(217,438):{'3_1':0.06,'4_1':0.0},(217,437):{'3_1':0.06},(217,436):{'3_1':0.06},(217,435):{'3_1':0.09,'4_1':0.0},(217,434):{'3_1':0.06,'4_1':0.0},(217,433):{'3_1':0.03,'4_1':0.0},(217,432):{'3_1':0.03,'4_1':0.0},(217,431):{'3_1':0.06,'4_1':0.0},(217,430):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(217,429):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(217,428):{'3_1':0.09,'4_1':0.0},(217,427):{'3_1':0.03,'4_1':0.0},(217,426):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(217,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(217,424):{'3_1':0.09,'4_1':0.0},(217,423):{'3_1':0.09,'4_1':0.0},(217,422):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(217,421):{'3_1':0.09,'4_1':0.03},(217,420):{'3_1':0.03,'4_1':0.0},(217,419):{'3_1':0.06,'4_1':0.0},(217,418):{'3_1':0.09,'4_1':0.0},(217,417):{'3_1':0.09,'4_1':0.06,'6_1':0.0},(217,416):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_7':0.0},(217,415):{'3_1':0.06,'4_1':0.0},(217,414):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(217,413):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(217,412):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(217,411):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0},(217,410):{'3_1':0.06,'4_1':0.0},(217,409):{'3_1':0.06,'4_1':0.0},(217,408):{'3_1':0.12,'4_1':0.0},(217,407):{'3_1':0.03},(217,406):{'3_1':0.06,'5_2':0.0},(217,405):{'3_1':0.06,'5_2':0.0},(217,404):{'3_1':0.09,'6_3':0.0},(217,403):{'3_1':0.12,'4_1':0.0},(217,402):{'3_1':0.09,'5_2':0.0},(217,401):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(217,400):{'3_1':0.06},(217,399):{'3_1':0.06,'5_2':0.0},(217,398):{'3_1':0.06,'4_1':0.0},(217,397):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(217,396):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(217,395):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(217,394):{'3_1':0.09,'6_1':0.0},(217,393):{'3_1':0.15,'4_1':0.0},(217,392):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(217,391):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(217,390):{'3_1':0.15,'6_3':0.0},(217,389):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(217,388):{'3_1':0.18},(217,387):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(217,386):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(217,385):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(217,384):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(217,383):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(217,382):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(217,381):{'3_1':0.12,'4_1':0.03,'7_6':0.0},(217,380):{'3_1':0.15,'4_1':0.0},(217,379):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_14':0.0,'-3':0.0},(217,378):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(217,377):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(217,376):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'8_6':0.0},(217,375):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(217,374):{'3_1':0.18,'4_1':0.0},(217,373):{'3_1':0.09,'4_1':0.03},(217,372):{'3_1':0.09,'5_2':0.0},(217,371):{'3_1':0.06,'4_1':0.0},(217,370):{'3_1':0.15},(217,369):{'3_1':0.06},(217,368):{'3_1':0.12,'5_2':0.0},(217,367):{'3_1':0.15,'4_1':0.0},(217,366):{'3_1':0.09,'5_2':0.0},(217,365):{'3_1':0.03,'4_1':0.0},(217,364):{'3_1':0.03},(217,363):{'3_1':0.09},(217,362):{'3_1':0.15},(217,361):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(217,360):{'3_1':0.06},(217,359):{'3_1':0.12,'4_1':0.0},(217,358):{'3_1':0.09},(217,357):{'3_1':0.06},(217,356):{'3_1':0.06},(217,355):{'3_1':0.03,'5_2':0.0},(217,354):{'3_1':0.06,'5_1':0.0},(217,353):{'3_1':0.09,'5_2':0.0},(217,352):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(217,351):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(217,350):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(217,349):{'3_1':0.03,'4_1':0.0},(217,348):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(217,347):{'3_1':0.03},(217,346):{'3_1':0.03,'4_1':0.0},(217,345):{'3_1':0.06,'4_1':0.0},(217,344):{'3_1':0.12},(217,343):{'3_1':0.03,'4_1':0.0},(217,342):{'3_1':0.03,'4_1':0.0},(217,341):{'3_1':0.03,'4_1':0.0},(217,340):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(217,339):{'3_1':0.06,'4_1':0.0},(217,338):{'3_1':0.03,'4_1':0.0},(217,337):{'3_1':0.03},(217,336):{'3_1':0.06},(217,335):{'3_1':0.09,'6_2':0.0},(217,334):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(217,333):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(217,332):{'3_1':0.03,'4_1':0.0},(217,331):{'3_1':0.09,'6_2':0.0},(217,330):{'3_1':0.06,'4_1':0.0},(217,329):{'3_1':0.0,'4_1':0.0},(217,328):{'3_1':0.0},(217,327):{'3_1':0.06,'4_1':0.0},(217,326):{'3_1':0.0,'4_1':0.0},(217,325):{'3_1':0.0},(217,324):{'4_1':0.0},(217,323):{'3_1':0.0,'4_1':0.0},(217,322):{'3_1':0.0},(217,321):{'3_1':0.0},(217,320):{'3_1':0.03,'4_1':0.0},(217,319):{'3_1':0.03,'4_1':0.0},(217,318):{'3_1':0.0},(217,317):{'3_1':0.0,'4_1':0.0},(217,316):{'3_1':0.03,'4_1':0.0},(217,315):{'3_1':0.0},(217,314):{'3_1':0.03,'5_1':0.0},(217,313):{'3_1':0.03},(217,312):{'3_1':0.0,'4_1':0.0},(217,311):{'3_1':0.0,'5_2':0.0},(217,310):{'3_1':0.0,'5_2':0.0},(217,309):{'3_1':0.03},(217,308):{'3_1':0.03,'5_2':0.0},(217,307):{'3_1':0.03},(217,306):{'3_1':0.0},(217,304):{'3_1':0.0,'4_1':0.0},(217,303):{'3_1':0.0,'4_1':0.0},(217,302):{'3_1':0.0},(217,301):{'3_1':0.0},(217,300):{'3_1':0.0},(217,299):{'3_1':0.0},(217,297):{'3_1':0.0},(217,296):{'3_1':0.03},(217,295):{'3_1':0.0},(217,294):{'3_1':0.03},(217,293):{'3_1':0.0},(217,292):{'3_1':0.03},(217,291):{'3_1':0.0},(217,290):{'5_2':0.0},(217,289):{'3_1':0.0},(217,288):{'3_1':0.0},(217,287):{'3_1':0.03},(217,286):{'3_1':0.0},(217,285):{'3_1':0.0},(217,283):{'3_1':0.0},(217,282):{'3_1':0.0},(217,281):{'3_1':0.0},(217,280):{'3_1':0.0},(217,279):{'3_1':0.03,'4_1':0.0},(217,278):{'3_1':0.0},(217,276):{'3_1':0.0},(217,275):{'3_1':0.0},(217,274):{'3_1':0.0},(217,273):{'3_1':0.0},(217,272):{'3_1':0.0},(217,271):{'3_1':0.0},(217,270):{'3_1':0.0},(217,267):{'3_1':0.0,'4_1':0.0},(217,266):{'3_1':0.03},(217,264):{'3_1':0.0},(217,263):{'3_1':0.0,'4_1':0.0},(217,262):{'3_1':0.0,'5_1':0.0},(217,261):{'3_1':0.0},(217,260):{'3_1':0.03},(217,258):{'4_1':0.0},(217,257):{'3_1':0.0},(217,255):{'3_1':0.0},(217,254):{'3_1':0.0},(217,252):{'3_1':0.0},(217,249):{'4_1':0.0},(217,247):{'3_1':0.0},(217,246):{'3_1':0.0},(218,459):{'3_1':0.06},(218,458):{'3_1':0.03},(218,457):{'3_1':0.03},(218,456):{'3_1':0.09},(218,455):{'3_1':0.03,'5_1':0.0},(218,454):{'3_1':0.03},(218,453):{'3_1':0.06},(218,452):{'3_1':0.03},(218,451):{'3_1':0.06},(218,450):{'3_1':0.09},(218,449):{'3_1':0.06},(218,448):{'3_1':0.09},(218,447):{'3_1':0.06,'4_1':0.0},(218,446):{'3_1':0.06},(218,445):{'3_1':0.06,'4_1':0.0},(218,444):{'3_1':0.03},(218,443):{'3_1':0.09,'5_2':0.0},(218,442):{'3_1':0.09},(218,441):{'3_1':0.09,'4_1':0.0},(218,440):{'3_1':0.12},(218,439):{'3_1':0.03,'4_1':0.0},(218,438):{'3_1':0.06,'4_1':0.0},(218,437):{'3_1':0.06},(218,436):{'3_1':0.06},(218,435):{'3_1':0.06,'4_1':0.0},(218,434):{'3_1':0.06,'5_2':0.0},(218,433):{'3_1':0.03,'4_1':0.0},(218,432):{'3_1':0.06,'4_1':0.0},(218,431):{'3_1':0.06,'4_1':0.0},(218,430):{'3_1':0.06,'6_3':0.0},(218,429):{'3_1':0.12,'4_1':0.0,'7_6':0.0},(218,428):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(218,427):{'3_1':0.09,'5_2':0.0},(218,426):{'3_1':0.03,'4_1':0.0},(218,425):{'3_1':0.09},(218,424):{'3_1':0.09,'4_1':0.0},(218,423):{'3_1':0.12,'4_1':0.0},(218,422):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(218,421):{'3_1':0.09,'4_1':0.0},(218,420):{'3_1':0.12,'4_1':0.0},(218,419):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(218,418):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(218,417):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(218,416):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'-3':0.0},(218,415):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(218,414):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(218,413):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(218,412):{'3_1':0.12,'4_1':0.0},(218,411):{'3_1':0.06,'4_1':0.03},(218,410):{'3_1':0.06,'4_1':0.0},(218,409):{'3_1':0.06,'8_20|3_1#3_1':0.0},(218,408):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(218,407):{'3_1':0.09,'8_21|3_1#4_1':0.0,'4_1':0.0},(218,406):{'3_1':0.09,'4_1':0.0},(218,405):{'3_1':0.09},(218,404):{'3_1':0.09,'6_1':0.0},(218,403):{'3_1':0.09,'4_1':0.0},(218,402):{'3_1':0.06,'5_2':0.0},(218,401):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(218,400):{'3_1':0.12},(218,399):{'3_1':0.09,'4_1':0.0},(218,398):{'3_1':0.12},(218,397):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(218,396):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(218,395):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(218,394):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(218,393):{'3_1':0.15,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(218,392):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(218,391):{'3_1':0.12,'6_3':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(218,390):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(218,389):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(218,388):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(218,387):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(218,386):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(218,385):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(218,384):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0},(218,383):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(218,382):{'3_1':0.09,'6_3':0.0},(218,381):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0},(218,380):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(218,379):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(218,378):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0},(218,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(218,376):{'3_1':0.06,'4_1':0.03,'8_14':0.0},(218,375):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(218,374):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(218,373):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(218,372):{'3_1':0.09},(218,371):{'3_1':0.09,'5_2':0.0},(218,370):{'3_1':0.18,'6_3':0.0},(218,369):{'3_1':0.09,'4_1':0.03},(218,368):{'3_1':0.15},(218,367):{'3_1':0.09},(218,366):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(218,365):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(218,364):{'3_1':0.06,'4_1':0.0},(218,363):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(218,362):{'3_1':0.12,'4_1':0.0},(218,361):{'3_1':0.09},(218,360):{'3_1':0.09,'5_2':0.0},(218,359):{'3_1':0.06,'4_1':0.0},(218,358):{'3_1':0.12,'5_2':0.0},(218,357):{'3_1':0.09,'4_1':0.0},(218,356):{'3_1':0.09,'4_1':0.0},(218,355):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(218,354):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(218,353):{'3_1':0.09,'5_2':0.0},(218,352):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(218,351):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(218,350):{'3_1':0.06,'4_1':0.0},(218,349):{'3_1':0.09},(218,348):{'3_1':0.03,'4_1':0.0},(218,347):{'3_1':0.06},(218,346):{'3_1':0.03,'4_1':0.0},(218,345):{'3_1':0.03,'4_1':0.0},(218,344):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(218,343):{'3_1':0.03,'4_1':0.0},(218,342):{'3_1':0.09},(218,341):{'3_1':0.03,'4_1':0.0},(218,340):{'3_1':0.06,'4_1':0.0},(218,339):{'3_1':0.06},(218,338):{'3_1':0.12,'4_1':0.0},(218,337):{'3_1':0.09,'5_2':0.0},(218,336):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(218,335):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(218,334):{'3_1':0.03,'4_1':0.0},(218,333):{'3_1':0.06,'4_1':0.03},(218,332):{'3_1':0.03,'4_1':0.03},(218,331):{'3_1':0.0,'4_1':0.0},(218,330):{'3_1':0.06,'4_1':0.0},(218,329):{'3_1':0.06},(218,328):{'3_1':0.09,'4_1':0.0},(218,327):{'3_1':0.0,'4_1':0.0},(218,326):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(218,325):{'3_1':0.0},(218,324):{'3_1':0.0,'4_1':0.0},(218,323):{'3_1':0.0,'4_1':0.0},(218,322):{'3_1':0.0,'4_1':0.0},(218,321):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(218,320):{'3_1':0.0,'5_2':0.0},(218,319):{'3_1':0.0},(218,318):{'3_1':0.0,'4_1':0.0},(218,317):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(218,316):{'3_1':0.03},(218,315):{'3_1':0.06,'4_1':0.0},(218,314):{'3_1':0.03},(218,313):{'3_1':0.0},(218,312):{'3_1':0.0},(218,311):{'3_1':0.0},(218,310):{'3_1':0.0},(218,309):{'3_1':0.03},(218,307):{'3_1':0.0},(218,306):{'3_1':0.0},(218,305):{'3_1':0.0,'4_1':0.0},(218,304):{'3_1':0.0},(218,303):{'4_1':0.0,'3_1':0.0},(218,302):{'3_1':0.0,'4_1':0.0},(218,301):{'3_1':0.03},(218,300):{'3_1':0.0},(218,299):{'3_1':0.0},(218,297):{'3_1':0.0},(218,296):{'3_1':0.0},(218,295):{'3_1':0.0,'8_20|3_1#3_1':0.0},(218,294):{'3_1':0.0},(218,293):{'3_1':0.09},(218,292):{'3_1':0.0},(218,291):{'3_1':0.03,'5_1':0.0,'8_19':0.0},(218,290):{'3_1':0.03,'8_19':0.0},(218,289):{'3_1':0.0},(218,288):{'3_1':0.0},(218,287):{'3_1':0.0},(218,286):{'3_1':0.0},(218,284):{'3_1':0.0},(218,283):{'3_1':0.0},(218,282):{'3_1':0.0,'4_1':0.0},(218,280):{'3_1':0.0,'4_1':0.0},(218,279):{'3_1':0.0},(218,278):{'3_1':0.0},(218,277):{'3_1':0.0},(218,276):{'4_1':0.0},(218,275):{'3_1':0.0,'4_1':0.0},(218,274):{'3_1':0.0},(218,273):{'3_1':0.0,'4_1':0.0},(218,272):{'3_1':0.03,'4_1':0.0},(218,271):{'3_1':0.0},(218,270):{'3_1':0.0,'4_1':0.0},(218,269):{'3_1':0.0,'4_1':0.0},(218,268):{'3_1':0.03,'4_1':0.0},(218,267):{'3_1':0.0},(218,266):{'3_1':0.0,'4_1':0.0},(218,265):{'3_1':0.0,'4_1':0.0},(218,264):{'3_1':0.0,'4_1':0.0},(218,263):{'3_1':0.0},(218,262):{'3_1':0.0},(218,261):{'3_1':0.0},(218,260):{'3_1':0.0},(218,257):{'3_1':0.0,'4_1':0.0},(218,256):{'3_1':0.0},(218,255):{'3_1':0.03},(218,254):{'3_1':0.0},(218,249):{'3_1':0.0},(218,248):{'3_1':0.0},(219,459):{'3_1':0.03},(219,458):{'3_1':0.03},(219,457):{'3_1':0.06},(219,456):{'3_1':0.09},(219,455):{'3_1':0.03},(219,454):{'3_1':0.09},(219,453):{'3_1':0.03,'5_2':0.0},(219,452):{'3_1':0.03},(219,451):{'3_1':0.03},(219,450):{'3_1':0.03},(219,449):{'3_1':0.12},(219,448):{'3_1':0.03},(219,447):{'3_1':0.06,'6_2':0.0},(219,446):{'3_1':0.03,'5_2':0.0},(219,445):{'3_1':0.06},(219,444):{'3_1':0.06},(219,443):{'3_1':0.03},(219,442):{'3_1':0.03},(219,441):{'3_1':0.03},(219,440):{'3_1':0.03,'4_1':0.0},(219,439):{'3_1':0.03,'4_1':0.0},(219,438):{'3_1':0.03,'4_1':0.0},(219,437):{'3_1':0.0,'4_1':0.0},(219,436):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(219,435):{'3_1':0.03,'4_1':0.0},(219,434):{'3_1':0.0,'4_1':0.0},(219,433):{'3_1':0.06,'4_1':0.0},(219,432):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(219,431):{'3_1':0.06},(219,430):{'3_1':0.09,'4_1':0.0},(219,429):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(219,428):{'3_1':0.03,'4_1':0.0},(219,427):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(219,426):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(219,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(219,424):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'-3':0.0},(219,423):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(219,422):{'3_1':0.03,'4_1':0.0,'-3':0.0},(219,421):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(219,420):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(219,419):{'3_1':0.09,'4_1':0.0},(219,418):{'3_1':0.09,'4_1':0.03},(219,417):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(219,416):{'3_1':0.09,'4_1':0.03},(219,415):{'3_1':0.03,'4_1':0.03},(219,414):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(219,413):{'3_1':0.06,'4_1':0.03},(219,412):{'3_1':0.03,'4_1':0.03},(219,411):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(219,410):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(219,409):{'3_1':0.09,'4_1':0.0},(219,408):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(219,407):{'3_1':0.09,'5_2':0.0},(219,406):{'3_1':0.12,'4_1':0.03},(219,405):{'3_1':0.06,'6_3':0.0},(219,404):{'3_1':0.06},(219,403):{'3_1':0.06,'4_1':0.0},(219,402):{'3_1':0.09},(219,401):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(219,400):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(219,399):{'3_1':0.09,'5_1':0.0},(219,398):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(219,397):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(219,396):{'3_1':0.12},(219,395):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(219,394):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(219,393):{'3_1':0.18,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(219,392):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(219,391):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(219,390):{'3_1':0.12,'5_2':0.03,'6_2':0.0},(219,389):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(219,388):{'3_1':0.12,'4_1':0.0},(219,387):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(219,386):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(219,385):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0},(219,384):{'3_1':0.15,'4_1':0.03},(219,383):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(219,382):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(219,381):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(219,380):{'3_1':0.12,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(219,379):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(219,378):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(219,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(219,376):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(219,375):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(219,374):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(219,373):{'3_1':0.09,'5_1':0.0},(219,372):{'3_1':0.09},(219,371):{'3_1':0.09,'5_2':0.0},(219,370):{'3_1':0.12},(219,369):{'3_1':0.12,'5_1':0.0},(219,368):{'3_1':0.09,'4_1':0.0},(219,367):{'3_1':0.06},(219,366):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(219,365):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(219,364):{'3_1':0.06,'4_1':0.0},(219,363):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(219,362):{'3_1':0.09,'4_1':0.0,'8_19':0.0},(219,361):{'3_1':0.15},(219,360):{'3_1':0.15,'5_2':0.0},(219,359):{'3_1':0.12,'4_1':0.0},(219,358):{'3_1':0.09,'4_1':0.0},(219,357):{'3_1':0.12},(219,356):{'3_1':0.15},(219,355):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(219,354):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(219,353):{'3_1':0.12},(219,352):{'3_1':0.06,'5_2':0.0},(219,351):{'3_1':0.15,'5_2':0.0},(219,350):{'3_1':0.06},(219,349):{'3_1':0.03},(219,348):{'3_1':0.03},(219,347):{'3_1':0.03},(219,346):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(219,345):{'3_1':0.03,'4_1':0.0},(219,344):{'3_1':0.06,'4_1':0.0},(219,343):{'3_1':0.03},(219,342):{'3_1':0.03,'4_1':0.0},(219,341):{'3_1':0.06,'4_1':0.0},(219,340):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(219,339):{'3_1':0.03,'4_1':0.0},(219,338):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(219,337):{'3_1':0.03,'4_1':0.0},(219,336):{'3_1':0.06,'5_2':0.0,'8_2':0.0},(219,335):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(219,334):{'3_1':0.06,'5_2':0.0},(219,333):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(219,332):{'3_1':0.03,'4_1':0.0},(219,331):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(219,330):{'3_1':0.03,'5_2':0.0},(219,329):{'3_1':0.03,'4_1':0.0},(219,328):{'3_1':0.03,'4_1':0.0},(219,327):{'3_1':0.06,'4_1':0.0},(219,326):{'3_1':0.03},(219,325):{'3_1':0.0,'4_1':0.0},(219,324):{'3_1':0.0,'4_1':0.0},(219,323):{'3_1':0.0},(219,322):{'3_1':0.0},(219,321):{'3_1':0.03},(219,320):{'3_1':0.03,'4_1':0.0},(219,319):{'3_1':0.0},(219,318):{'3_1':0.0,'4_1':0.0},(219,317):{'3_1':0.0},(219,316):{'3_1':0.03},(219,315):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(219,314):{'3_1':0.03},(219,313):{'3_1':0.0,'4_1':0.0},(219,312):{'3_1':0.03},(219,311):{'3_1':0.0},(219,309):{'3_1':0.0},(219,308):{'3_1':0.0},(219,307):{'3_1':0.0},(219,303):{'3_1':0.0},(219,302):{'3_1':0.0},(219,301):{'3_1':0.0},(219,300):{'3_1':0.0,'5_1':0.0},(219,299):{'3_1':0.0},(219,298):{'3_1':0.0},(219,297):{'3_1':0.0},(219,296):{'3_1':0.0},(219,295):{'3_1':0.0},(219,294):{'3_1':0.0},(219,293):{'3_1':0.03},(219,292):{'3_1':0.0},(219,291):{'3_1':0.0},(219,290):{'3_1':0.03,'5_1':0.0},(219,289):{'3_1':0.0,'5_2':0.0},(219,288):{'3_1':0.0},(219,287):{'3_1':0.06},(219,286):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(219,285):{'3_1':0.0},(219,284):{'3_1':0.0},(219,283):{'3_1':0.0},(219,282):{'3_1':0.0},(219,280):{'3_1':0.0},(219,279):{'3_1':0.0,'4_1':0.0},(219,278):{'3_1':0.0,'4_1':0.0},(219,277):{'3_1':0.0,'4_1':0.0},(219,276):{'4_1':0.0},(219,275):{'3_1':0.0},(219,274):{'3_1':0.0,'4_1':0.0},(219,273):{'3_1':0.0,'4_1':0.0},(219,272):{'3_1':0.03},(219,271):{'3_1':0.0},(219,270):{'3_1':0.03},(219,269):{'3_1':0.0,'4_1':0.0},(219,268):{'3_1':0.0},(219,266):{'3_1':0.0,'4_1':0.0},(219,265):{'3_1':0.03,'4_1':0.0},(219,264):{'4_1':0.0},(219,263):{'3_1':0.03,'4_1':0.0},(219,262):{'3_1':0.0},(219,260):{'3_1':0.06},(219,258):{'3_1':0.0},(219,257):{'3_1':0.0},(219,256):{'3_1':0.0},(219,255):{'3_1':0.0},(219,253):{'3_1':0.0},(219,252):{'3_1':0.0},(219,250):{'3_1':0.0},(220,459):{'3_1':0.03},(220,458):{'3_1':0.03},(220,457):{'3_1':0.06},(220,456):{'3_1':0.06},(220,455):{'3_1':0.06},(220,454):{'3_1':0.03,'4_1':0.0},(220,453):{'3_1':0.03},(220,452):{'3_1':0.06},(220,451):{'3_1':0.12},(220,450):{'3_1':0.06},(220,449):{'3_1':0.03,'4_1':0.0},(220,448):{'3_1':0.06},(220,447):{'3_1':0.03,'4_1':0.0},(220,446):{'3_1':0.03},(220,445):{'3_1':0.03,'6_1':0.0},(220,444):{'3_1':0.03,'4_1':0.0},(220,443):{'3_1':0.03},(220,442):{'3_1':0.06,'4_1':0.0},(220,441):{'3_1':0.09},(220,440):{'3_1':0.03},(220,439):{'3_1':0.03,'6_2':0.0},(220,438):{'3_1':0.12},(220,437):{'3_1':0.03},(220,436):{'3_1':0.06,'4_1':0.0},(220,435):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(220,434):{'3_1':0.06,'4_1':0.0},(220,433):{'3_1':0.0,'4_1':0.0},(220,432):{'3_1':0.06,'4_1':0.03},(220,431):{'3_1':0.03,'4_1':0.0},(220,430):{'3_1':0.06,'5_2':0.0},(220,429):{'3_1':0.09},(220,428):{'3_1':0.03},(220,427):{'3_1':0.09,'4_1':0.0},(220,426):{'3_1':0.06},(220,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(220,424):{'3_1':0.09,'4_1':0.0},(220,423):{'3_1':0.06,'4_1':0.0},(220,422):{'3_1':0.06,'4_1':0.0},(220,421):{'3_1':0.09,'4_1':0.0},(220,420):{'3_1':0.06,'4_1':0.0},(220,419):{'3_1':0.06,'4_1':0.0},(220,418):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(220,417):{'3_1':0.03,'4_1':0.0},(220,416):{'3_1':0.03,'4_1':0.03},(220,415):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(220,414):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(220,413):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(220,412):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(220,411):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(220,410):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(220,409):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_2':0.0},(220,408):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(220,407):{'3_1':0.09},(220,406):{'3_1':0.09,'5_2':0.0},(220,405):{'3_1':0.06,'4_1':0.0},(220,404):{'3_1':0.06,'4_1':0.0},(220,403):{'3_1':0.09,'6_3':0.0},(220,402):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(220,401):{'3_1':0.12,'4_1':0.0},(220,400):{'3_1':0.12,'4_1':0.0},(220,399):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(220,398):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(220,397):{'3_1':0.12},(220,396):{'3_1':0.15,'4_1':0.0},(220,395):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(220,394):{'3_1':0.15,'6_1':0.0,'8_20|3_1#3_1':0.0},(220,393):{'3_1':0.18,'5_2':0.0},(220,392):{'3_1':0.15,'4_1':0.0},(220,391):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(220,390):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(220,389):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(220,388):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(220,387):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(220,386):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(220,385):{'3_1':0.24,'4_1':0.03,'6_1':0.0},(220,384):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0},(220,383):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(220,382):{'3_1':0.12,'6_3':0.0,'4_1':0.0},(220,381):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(220,380):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'7_7':0.0},(220,379):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(220,378):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(220,377):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_3':0.0},(220,376):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(220,375):{'3_1':0.15,'4_1':0.0},(220,374):{'3_1':0.06,'4_1':0.0},(220,373):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(220,372):{'3_1':0.12},(220,371):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(220,370):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(220,369):{'3_1':0.09},(220,368):{'3_1':0.15,'4_1':0.0},(220,367):{'3_1':0.06},(220,366):{'3_1':0.06,'5_2':0.0},(220,365):{'3_1':0.18},(220,364):{'3_1':0.06,'4_1':0.0},(220,363):{'3_1':0.06},(220,362):{'3_1':0.09},(220,361):{'3_1':0.09,'4_1':0.0},(220,360):{'3_1':0.09,'4_1':0.0},(220,359):{'3_1':0.09},(220,358):{'3_1':0.15},(220,357):{'3_1':0.09},(220,356):{'3_1':0.12,'4_1':0.0},(220,355):{'3_1':0.12},(220,354):{'3_1':0.09},(220,353):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(220,352):{'3_1':0.09},(220,351):{'3_1':0.09,'5_1':0.0,'7_3':0.0},(220,350):{'3_1':0.09,'5_2':0.0},(220,349):{'3_1':0.03,'4_1':0.0},(220,348):{'3_1':0.06},(220,347):{'3_1':0.03,'4_1':0.0},(220,346):{'3_1':0.03,'4_1':0.0},(220,345):{'3_1':0.06,'4_1':0.0},(220,344):{'3_1':0.03,'4_1':0.0},(220,343):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(220,342):{'3_1':0.06,'4_1':0.0},(220,341):{'3_1':0.06},(220,340):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(220,339):{'3_1':0.09,'4_1':0.0},(220,338):{'3_1':0.03,'4_1':0.0},(220,337):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(220,336):{'3_1':0.03,'4_1':0.0},(220,335):{'3_1':0.06,'4_1':0.0},(220,334):{'3_1':0.06,'6_3':0.0},(220,333):{'3_1':0.03,'4_1':0.0},(220,332):{'6_2':0.0,'3_1':0.0,'4_1':0.0},(220,331):{'3_1':0.06},(220,330):{'3_1':0.06},(220,329):{'3_1':0.03,'4_1':0.03},(220,328):{'3_1':0.0},(220,327):{'3_1':0.06},(220,326):{'4_1':0.0,'3_1':0.0},(220,325):{'4_1':0.03,'3_1':0.0},(220,324):{'3_1':0.03,'4_1':0.0},(220,323):{'3_1':0.0},(220,322):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(220,321):{'3_1':0.0,'4_1':0.0},(220,320):{'3_1':0.0},(220,319):{'3_1':0.0,'4_1':0.0},(220,318):{'3_1':0.0},(220,317):{'3_1':0.03},(220,316):{'3_1':0.0},(220,315):{'3_1':0.06,'4_1':0.0},(220,314):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(220,313):{'3_1':0.03,'4_1':0.0},(220,312):{'3_1':0.0,'5_1':0.0},(220,311):{'3_1':0.0,'4_1':0.0},(220,310):{'3_1':0.0},(220,309):{'3_1':0.0},(220,308):{'3_1':0.0},(220,307):{'3_1':0.0},(220,306):{'3_1':0.0},(220,305):{'3_1':0.0},(220,303):{'3_1':0.0,'4_1':0.0},(220,302):{'3_1':0.0},(220,301):{'3_1':0.0},(220,300):{'3_1':0.0},(220,299):{'3_1':0.0,'4_1':0.0},(220,297):{'3_1':0.03},(220,296):{'3_1':0.03},(220,295):{'3_1':0.0,'4_1':0.0},(220,294):{'3_1':0.0,'8_20|3_1#3_1':0.0},(220,293):{'3_1':0.0,'5_1':0.0},(220,292):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(220,291):{'3_1':0.0,'5_1':0.0},(220,290):{'3_1':0.0},(220,289):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(220,288):{'4_1':0.0,'5_1':0.0},(220,287):{'3_1':0.03,'4_1':0.0},(220,286):{'3_1':0.0},(220,285):{'3_1':0.03},(220,284):{'3_1':0.0},(220,283):{'3_1':0.0},(220,282):{'3_1':0.0},(220,281):{'3_1':0.0,'4_1':0.0},(220,280):{'3_1':0.0,'4_1':0.0},(220,279):{'3_1':0.0,'4_1':0.0},(220,278):{'3_1':0.03,'4_1':0.0},(220,277):{'3_1':0.03,'4_1':0.0},(220,276):{'3_1':0.0,'4_1':0.0},(220,275):{'3_1':0.0},(220,274):{'3_1':0.0},(220,273):{'3_1':0.0},(220,272):{'3_1':0.0},(220,271):{'4_1':0.0,'3_1':0.0},(220,270):{'3_1':0.0,'4_1':0.0},(220,269):{'3_1':0.03},(220,268):{'3_1':0.0},(220,267):{'3_1':0.0},(220,266):{'3_1':0.0},(220,265):{'3_1':0.0},(220,262):{'3_1':0.0},(220,261):{'3_1':0.0},(220,260):{'3_1':0.0},(220,258):{'3_1':0.0},(220,257):{'3_1':0.0},(220,256):{'3_1':0.0,'4_1':0.0},(220,255):{'3_1':0.0},(220,254):{'3_1':0.0},(220,253):{'3_1':0.0},(220,252):{'3_1':0.0},(220,251):{'3_1':0.0},(220,250):{'3_1':0.0},(220,249):{'3_1':0.0},(221,459):{'3_1':0.09},(221,458):{'3_1':0.09,'4_1':0.0},(221,457):{'3_1':0.06,'4_1':0.0},(221,456):{'3_1':0.03},(221,455):{'3_1':0.09},(221,454):{'3_1':0.0},(221,453):{'3_1':0.03},(221,452):{'3_1':0.06},(221,451):{'3_1':0.09},(221,450):{'3_1':0.06},(221,449):{'3_1':0.06,'4_1':0.0},(221,448):{'3_1':0.06},(221,447):{'3_1':0.03,'4_1':0.0},(221,446):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(221,445):{'3_1':0.06},(221,444):{'3_1':0.06,'4_1':0.0},(221,443):{'3_1':0.03},(221,442):{'3_1':0.09,'4_1':0.0},(221,441):{'3_1':0.06,'4_1':0.0},(221,440):{'3_1':0.06},(221,439):{'3_1':0.12,'4_1':0.0},(221,438):{'3_1':0.03},(221,437):{'3_1':0.09,'4_1':0.0},(221,436):{'3_1':0.0,'4_1':0.0},(221,435):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(221,434):{'3_1':0.12,'4_1':0.0},(221,433):{'3_1':0.03},(221,432):{'3_1':0.09,'4_1':0.0},(221,431):{'3_1':0.06,'4_1':0.0},(221,430):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(221,429):{'3_1':0.06,'4_1':0.0},(221,428):{'3_1':0.12,'4_1':0.0},(221,427):{'3_1':0.09},(221,426):{'3_1':0.06,'4_1':0.0},(221,425):{'3_1':0.09,'4_1':0.0},(221,424):{'3_1':0.09,'4_1':0.0},(221,423):{'3_1':0.09},(221,422):{'3_1':0.06,'4_1':0.0},(221,421):{'3_1':0.09,'4_1':0.03},(221,420):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(221,419):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(221,418):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(221,417):{'3_1':0.06,'4_1':0.0},(221,416):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(221,415):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(221,414):{'3_1':0.06,'4_1':0.03},(221,413):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(221,412):{'3_1':0.06,'4_1':0.06,'6_3':0.0},(221,411):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'5_1':0.0},(221,410):{'3_1':0.09,'4_1':0.0},(221,409):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(221,408):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(221,407):{'3_1':0.12,'6_3':0.0},(221,406):{'3_1':0.12},(221,405):{'3_1':0.09},(221,404):{'3_1':0.06,'4_1':0.0},(221,403):{'3_1':0.09,'4_1':0.0},(221,402):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(221,401):{'3_1':0.03,'5_2':0.0},(221,400):{'3_1':0.15,'4_1':0.0},(221,399):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(221,398):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(221,397):{'3_1':0.06,'6_3':0.0},(221,396):{'3_1':0.06,'5_1':0.0,'8_10':0.0},(221,395):{'3_1':0.21,'8_20|3_1#3_1':0.0},(221,394):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(221,393):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(221,392):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(221,391):{'3_1':0.15,'6_3':0.0},(221,390):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0},(221,389):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(221,388):{'3_1':0.12,'5_2':0.0},(221,387):{'3_1':0.12,'4_1':0.0},(221,386):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(221,385):{'3_1':0.21,'4_1':0.0,'6_3':0.0},(221,384):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_3':0.0},(221,383):{'3_1':0.12,'4_1':0.0},(221,382):{'3_1':0.09,'6_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0},(221,381):{'3_1':0.21,'4_1':0.0},(221,380):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(221,379):{'3_1':0.12,'4_1':0.0},(221,378):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(221,377):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(221,376):{'3_1':0.12,'4_1':0.03},(221,375):{'3_1':0.15,'4_1':0.0},(221,374):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(221,373):{'3_1':0.09,'4_1':0.0},(221,372):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(221,371):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(221,370):{'3_1':0.09},(221,369):{'3_1':0.09,'4_1':0.0},(221,368):{'3_1':0.12},(221,367):{'3_1':0.06},(221,366):{'3_1':0.15},(221,365):{'3_1':0.12},(221,364):{'3_1':0.15},(221,363):{'3_1':0.12,'5_2':0.0},(221,362):{'3_1':0.12},(221,361):{'3_1':0.15,'5_1':0.0},(221,360):{'3_1':0.12,'4_1':0.0},(221,359):{'3_1':0.12},(221,358):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(221,357):{'3_1':0.09,'5_1':0.0},(221,356):{'3_1':0.18},(221,355):{'3_1':0.12},(221,354):{'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0},(221,353):{'3_1':0.09,'5_1':0.0},(221,352):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(221,351):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(221,350):{'3_1':0.06,'5_1':0.0},(221,349):{'3_1':0.06},(221,348):{'3_1':0.06},(221,347):{'4_1':0.0,'5_2':0.0},(221,346):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(221,345):{'3_1':0.03,'4_1':0.0},(221,344):{'3_1':0.03},(221,343):{'3_1':0.03},(221,342):{'3_1':0.03,'4_1':0.0},(221,341):{'3_1':0.03,'4_1':0.0},(221,340):{'3_1':0.03,'4_1':0.0},(221,339):{'3_1':0.06,'4_1':0.0},(221,338):{'3_1':0.03,'4_1':0.03},(221,337):{'3_1':0.03,'4_1':0.0},(221,336):{'3_1':0.03,'4_1':0.0},(221,335):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(221,334):{'3_1':0.06,'4_1':0.0},(221,333):{'3_1':0.06,'4_1':0.0},(221,332):{'3_1':0.06,'6_2':0.0},(221,331):{'3_1':0.03,'4_1':0.0},(221,330):{'3_1':0.06},(221,329):{'3_1':0.09},(221,328):{'3_1':0.03,'4_1':0.0},(221,327):{'3_1':0.06,'4_1':0.0},(221,326):{'3_1':0.06},(221,325):{'3_1':0.03,'4_1':0.0},(221,324):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(221,323):{'3_1':0.03,'4_1':0.0},(221,322):{'3_1':0.0,'4_1':0.0},(221,321):{'3_1':0.0},(221,320):{'3_1':0.03},(221,319):{'3_1':0.03},(221,318):{'3_1':0.0,'4_1':0.0},(221,317):{'3_1':0.06},(221,316):{'3_1':0.03},(221,315):{'3_1':0.03},(221,314):{'3_1':0.03,'4_1':0.0},(221,313):{'3_1':0.03,'4_1':0.0},(221,312):{'3_1':0.03,'4_1':0.0},(221,311):{'3_1':0.03,'5_1':0.0},(221,310):{'3_1':0.0},(221,303):{'3_1':0.0},(221,302):{'3_1':0.0},(221,301):{'3_1':0.0},(221,300):{'3_1':0.0},(221,299):{'3_1':0.0,'8_20|3_1#3_1':0.0},(221,298):{'3_1':0.03},(221,297):{'3_1':0.0,'4_1':0.0},(221,296):{'3_1':0.0,'4_1':0.0},(221,295):{'3_1':0.03},(221,294):{'3_1':0.03,'5_1':0.0},(221,293):{'3_1':0.0},(221,292):{'3_1':0.0},(221,291):{'3_1':0.03},(221,290):{'3_1':0.0},(221,289):{'3_1':0.03},(221,288):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(221,287):{'3_1':0.0},(221,286):{'3_1':0.0},(221,285):{'3_1':0.0},(221,284):{'3_1':0.0},(221,283):{'3_1':0.0},(221,282):{'3_1':0.0},(221,281):{'3_1':0.0},(221,280):{'3_1':0.0,'4_1':0.0},(221,279):{'3_1':0.0,'4_1':0.0},(221,278):{'3_1':0.0},(221,277):{'3_1':0.0},(221,276):{'3_1':0.0},(221,275):{'3_1':0.0},(221,274):{'3_1':0.0,'4_1':0.0},(221,273):{'3_1':0.0},(221,272):{'3_1':0.0},(221,271):{'3_1':0.0},(221,270):{'3_1':0.03},(221,268):{'3_1':0.0},(221,267):{'4_1':0.0},(221,266):{'3_1':0.0},(221,264):{'3_1':0.0},(221,262):{'3_1':0.0},(221,261):{'3_1':0.0,'5_2':0.0},(221,258):{'3_1':0.0},(221,257):{'3_1':0.0,'4_1':0.0},(221,256):{'4_1':0.0},(221,255):{'3_1':0.0},(221,254):{'3_1':0.0,'4_1':0.0},(221,253):{'4_1':0.0},(221,251):{'3_1':0.0},(221,250):{'3_1':0.0},(221,249):{'3_1':0.0},(221,248):{'4_1':0.0},(222,459):{'3_1':0.09},(222,458):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(222,457):{'3_1':0.12,'4_1':0.0},(222,456):{'3_1':0.12},(222,455):{'3_1':0.06},(222,454):{'3_1':0.06},(222,453):{'3_1':0.12},(222,452):{'3_1':0.09},(222,451):{'3_1':0.09},(222,450):{'3_1':0.09},(222,449):{'3_1':0.09},(222,448):{'3_1':0.09},(222,447):{'3_1':0.12,'4_1':0.0},(222,446):{'3_1':0.06,'5_2':0.0},(222,445):{'3_1':0.06},(222,444):{'3_1':0.06,'4_1':0.0},(222,443):{'3_1':0.03},(222,442):{'3_1':0.09,'4_1':0.0},(222,441):{'3_1':0.12},(222,440):{'3_1':0.06},(222,439):{'3_1':0.06},(222,438):{'3_1':0.06},(222,437):{'3_1':0.06},(222,436):{'3_1':0.09,'4_1':0.0},(222,435):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(222,434):{'3_1':0.06,'4_1':0.0},(222,433):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(222,432):{'3_1':0.12,'4_1':0.0},(222,431):{'3_1':0.12,'5_2':0.0},(222,430):{'3_1':0.06,'5_2':0.0},(222,429):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(222,428):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(222,427):{'3_1':0.09,'4_1':0.0},(222,426):{'3_1':0.06,'4_1':0.0},(222,425):{'3_1':0.03,'4_1':0.0},(222,424):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_6':0.0},(222,423):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(222,422):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(222,421):{'3_1':0.15,'4_1':0.03},(222,420):{'3_1':0.12,'4_1':0.0},(222,419):{'3_1':0.15},(222,418):{'3_1':0.06,'4_1':0.0},(222,417):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(222,416):{'3_1':0.06,'4_1':0.03},(222,415):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(222,414):{'3_1':0.09,'4_1':0.03},(222,413):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(222,412):{'3_1':0.09,'4_1':0.03},(222,411):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_6':0.0},(222,410):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(222,409):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(222,408):{'3_1':0.09,'8_21|3_1#4_1':0.0},(222,407):{'3_1':0.12},(222,406):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(222,405):{'3_1':0.12},(222,404):{'3_1':0.06,'4_1':0.0,'3_1#5_2':0.0},(222,403):{'3_1':0.15,'4_1':0.0},(222,402):{'3_1':0.06},(222,401):{'3_1':0.12,'4_1':0.0},(222,400):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(222,399):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(222,398):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(222,397):{'3_1':0.12,'5_2':0.0},(222,396):{'3_1':0.15,'4_1':0.0},(222,395):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(222,394):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(222,393):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(222,392):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(222,391):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(222,390):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(222,389):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(222,388):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(222,387):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_3':0.0},(222,386):{'3_1':0.15,'4_1':0.03,'7_6':0.0,'8_11':0.0},(222,385):{'3_1':0.12,'4_1':0.03},(222,384):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(222,383):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0},(222,382):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(222,381):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'7_6':0.0},(222,380):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(222,379):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(222,378):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(222,377):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_1':0.0},(222,376):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(222,375):{'3_1':0.09,'4_1':0.0},(222,374):{'3_1':0.09,'4_1':0.0},(222,373):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(222,372):{'3_1':0.12,'4_1':0.0},(222,371):{'3_1':0.09,'5_2':0.0},(222,370):{'3_1':0.09,'7_2':0.0},(222,369):{'3_1':0.15},(222,368):{'3_1':0.15,'5_1':0.0},(222,367):{'3_1':0.18},(222,366):{'3_1':0.09,'4_1':0.0},(222,365):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(222,364):{'3_1':0.09,'5_2':0.0},(222,363):{'3_1':0.15,'4_1':0.0},(222,362):{'3_1':0.12},(222,361):{'3_1':0.09,'4_1':0.0},(222,360):{'3_1':0.15,'4_1':0.0},(222,359):{'3_1':0.09,'5_2':0.0},(222,358):{'3_1':0.09},(222,357):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(222,356):{'3_1':0.09,'5_1':0.0},(222,355):{'3_1':0.15},(222,354):{'3_1':0.15},(222,353):{'3_1':0.06,'5_1':0.0},(222,352):{'3_1':0.09},(222,351):{'3_1':0.09},(222,350):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(222,349):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(222,348):{'3_1':0.03,'4_1':0.0},(222,347):{'3_1':0.0,'4_1':0.0},(222,346):{'3_1':0.03},(222,345):{'3_1':0.0,'4_1':0.0},(222,344):{'3_1':0.0},(222,343):{'3_1':0.03,'4_1':0.0},(222,342):{'3_1':0.09},(222,341):{'3_1':0.0,'4_1':0.0},(222,340):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(222,339):{'3_1':0.0,'4_1':0.0},(222,338):{'3_1':0.09,'4_1':0.0},(222,337):{'3_1':0.03,'4_1':0.0},(222,336):{'3_1':0.09,'4_1':0.0},(222,335):{'3_1':0.03},(222,334):{'3_1':0.06,'4_1':0.0},(222,333):{'3_1':0.06,'4_1':0.03},(222,332):{'3_1':0.06,'4_1':0.0},(222,331):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(222,330):{'3_1':0.09,'4_1':0.0},(222,329):{'3_1':0.06},(222,328):{'3_1':0.0},(222,327):{'3_1':0.03},(222,326):{'3_1':0.0,'4_1':0.0},(222,325):{'3_1':0.03,'4_1':0.0},(222,324):{'3_1':0.06},(222,323):{'3_1':0.03},(222,322):{'3_1':0.0},(222,321):{'3_1':0.03,'5_2':0.0},(222,320):{'3_1':0.0,'4_1':0.0},(222,319):{'3_1':0.03,'4_1':0.0},(222,318):{'3_1':0.03,'5_2':0.0},(222,317):{'3_1':0.03},(222,316):{'3_1':0.03,'5_2':0.0},(222,315):{'3_1':0.03},(222,314):{'3_1':0.03},(222,313):{'3_1':0.03,'4_1':0.0},(222,312):{'3_1':0.03},(222,311):{'3_1':0.0},(222,310):{'3_1':0.03},(222,309):{'3_1':0.03},(222,308):{'4_1':0.0,'3_1':0.0},(222,307):{'3_1':0.0},(222,306):{'4_1':0.0},(222,305):{'3_1':0.0},(222,304):{'3_1':0.0},(222,302):{'3_1':0.0},(222,301):{'3_1':0.03},(222,300):{'3_1':0.0},(222,299):{'3_1':0.0},(222,298):{'3_1':0.03},(222,297):{'3_1':0.03},(222,296):{'3_1':0.0},(222,295):{'3_1':0.0},(222,294):{'3_1':0.0},(222,293):{'3_1':0.0},(222,292):{'3_1':0.03},(222,291):{'3_1':0.0},(222,290):{'5_2':0.0,'3_1':0.0},(222,289):{'3_1':0.03},(222,288):{'3_1':0.0},(222,287):{'3_1':0.03},(222,286):{'3_1':0.03},(222,285):{'3_1':0.03},(222,284):{'3_1':0.0,'4_1':0.0},(222,283):{'5_2':0.0},(222,282):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(222,281):{'3_1':0.0,'5_1':0.0},(222,280):{'3_1':0.0,'4_1':0.0},(222,279):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(222,278):{'5_2':0.0},(222,277):{'3_1':0.0,'4_1':0.0},(222,274):{'3_1':0.0,'4_1':0.0},(222,273):{'3_1':0.0},(222,271):{'3_1':0.0},(222,270):{'3_1':0.0,'4_1':0.0},(222,268):{'3_1':0.0},(222,267):{'3_1':0.0},(222,266):{'3_1':0.09},(222,265):{'3_1':0.0},(222,264):{'3_1':0.03},(222,262):{'3_1':0.03},(222,261):{'3_1':0.0},(222,260):{'3_1':0.0},(222,258):{'3_1':0.0,'4_1':0.0},(222,257):{'3_1':0.0},(222,256):{'3_1':0.0},(222,255):{'3_1':0.03},(222,254):{'3_1':0.0},(222,253):{'3_1':0.0},(222,251):{'3_1':0.0},(222,250):{'3_1':0.0},(222,248):{'3_1':0.0},(223,459):{'3_1':0.09},(223,458):{'3_1':0.09,'4_1':0.0},(223,457):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(223,456):{'3_1':0.06,'4_1':0.0},(223,455):{'3_1':0.06},(223,454):{'3_1':0.03},(223,453):{'3_1':0.06},(223,452):{'3_1':0.06,'4_1':0.0},(223,451):{'3_1':0.06},(223,450):{'3_1':0.06},(223,449):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(223,448):{'3_1':0.06,'4_1':0.0},(223,447):{'3_1':0.12,'4_1':0.0},(223,446):{'3_1':0.09,'6_1':0.0},(223,445):{'3_1':0.06,'4_1':0.0},(223,444):{'3_1':0.06,'4_1':0.0},(223,443):{'3_1':0.09,'5_2':0.0},(223,442):{'3_1':0.12,'4_1':0.0,'7_2':0.0},(223,441):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(223,440):{'3_1':0.06},(223,439):{'3_1':0.06,'4_1':0.0},(223,438):{'3_1':0.06,'4_1':0.0},(223,437):{'3_1':0.09,'4_1':0.0},(223,436):{'3_1':0.06},(223,435):{'3_1':0.06,'4_1':0.0},(223,434):{'3_1':0.15,'4_1':0.0},(223,433):{'3_1':0.06,'4_1':0.0},(223,432):{'3_1':0.09},(223,431):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(223,430):{'3_1':0.06,'4_1':0.0},(223,429):{'3_1':0.09,'4_1':0.0},(223,428):{'3_1':0.06},(223,427):{'3_1':0.06,'8_21|3_1#4_1':0.0},(223,426):{'3_1':0.12,'4_1':0.0},(223,425):{'3_1':0.12},(223,424):{'3_1':0.09,'4_1':0.0},(223,423):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_6':0.0},(223,422):{'3_1':0.12,'4_1':0.0},(223,421):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(223,420):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(223,419):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(223,418):{'3_1':0.06,'4_1':0.03},(223,417):{'3_1':0.09,'4_1':0.0},(223,416):{'3_1':0.09,'4_1':0.03},(223,415):{'3_1':0.12,'5_2':0.0},(223,414):{'3_1':0.06,'4_1':0.03},(223,413):{'3_1':0.09,'4_1':0.0},(223,412):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(223,411):{'3_1':0.15,'4_1':0.0},(223,410):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(223,409):{'3_1':0.03,'4_1':0.0},(223,408):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(223,407):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(223,406):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(223,405):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(223,404):{'3_1':0.06},(223,403):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(223,402):{'3_1':0.09,'6_3':0.0},(223,401):{'3_1':0.15,'4_1':0.0},(223,400):{'3_1':0.06,'4_1':0.0},(223,399):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(223,398):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(223,397):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(223,396):{'3_1':0.06},(223,395):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(223,394):{'3_1':0.12,'4_1':0.0},(223,393):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(223,392):{'3_1':0.18},(223,391):{'3_1':0.18,'5_2':0.0,'6_3':0.0},(223,390):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(223,389):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(223,388):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(223,387):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(223,386):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(223,385):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(223,384):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(223,383):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(223,382):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(223,381):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(223,380):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(223,379):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(223,378):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0,'-3':0.0},(223,377):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(223,376):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'6_1':0.0},(223,375):{'3_1':0.15,'4_1':0.03},(223,374):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(223,373):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(223,372):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(223,371):{'3_1':0.09,'4_1':0.0},(223,370):{'3_1':0.09,'4_1':0.0},(223,369):{'3_1':0.06},(223,368):{'3_1':0.09},(223,367):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(223,366):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(223,365):{'3_1':0.03},(223,364):{'3_1':0.09,'4_1':0.0},(223,363):{'3_1':0.06},(223,362):{'3_1':0.09},(223,361):{'3_1':0.09},(223,360):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(223,359):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(223,358):{'3_1':0.12},(223,357):{'3_1':0.06,'5_1':0.0},(223,356):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(223,355):{'3_1':0.03,'6_2':0.0},(223,354):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(223,353):{'3_1':0.06,'4_1':0.0},(223,352):{'3_1':0.06,'4_1':0.0},(223,351):{'3_1':0.06},(223,350):{'3_1':0.06,'5_2':0.0},(223,349):{'3_1':0.06},(223,348):{'3_1':0.0,'4_1':0.0},(223,347):{'3_1':0.03,'4_1':0.0},(223,346):{'3_1':0.03,'4_1':0.0},(223,345):{'3_1':0.03},(223,344):{'3_1':0.03,'4_1':0.0},(223,343):{'3_1':0.0},(223,342):{'3_1':0.06},(223,341):{'3_1':0.03,'4_1':0.0},(223,340):{'3_1':0.06},(223,339):{'3_1':0.03},(223,338):{'3_1':0.03,'4_1':0.0},(223,337):{'3_1':0.03},(223,336):{'3_1':0.03,'4_1':0.0},(223,335):{'3_1':0.06,'4_1':0.0},(223,334):{'3_1':0.09},(223,333):{'3_1':0.06,'6_2':0.0},(223,332):{'3_1':0.03,'4_1':0.0},(223,331):{'3_1':0.06,'4_1':0.0},(223,330):{'3_1':0.03,'4_1':0.0},(223,329):{'3_1':0.06},(223,328):{'3_1':0.0},(223,327):{'3_1':0.0,'4_1':0.0},(223,326):{'3_1':0.0},(223,325):{'3_1':0.03},(223,322):{'3_1':0.03},(223,321):{'3_1':0.03},(223,320):{'3_1':0.0},(223,319):{'3_1':0.03,'4_1':0.0},(223,318):{'3_1':0.03,'4_1':0.0},(223,317):{'3_1':0.0,'5_2':0.0},(223,316):{'3_1':0.03},(223,315):{'3_1':0.06,'4_1':0.0},(223,314):{'3_1':0.0,'5_2':0.0},(223,313):{'3_1':0.0},(223,311):{'5_2':0.0},(223,310):{'3_1':0.0},(223,309):{'3_1':0.0},(223,308):{'3_1':0.0},(223,307):{'3_1':0.03},(223,306):{'3_1':0.0},(223,305):{'3_1':0.0},(223,304):{'3_1':0.0},(223,303):{'3_1':0.03},(223,302):{'3_1':0.0},(223,301):{'3_1':0.0},(223,296):{'3_1':0.0,'4_1':0.0},(223,295):{'3_1':0.0},(223,294):{'3_1':0.0},(223,293):{'3_1':0.0},(223,292):{'3_1':0.03,'4_1':0.0},(223,291):{'3_1':0.0},(223,290):{'3_1':0.03},(223,289):{'3_1':0.03},(223,288):{'3_1':0.03},(223,287):{'3_1':0.0},(223,285):{'3_1':0.0,'4_1':0.0},(223,283):{'3_1':0.0},(223,282):{'3_1':0.0},(223,281):{'4_1':0.0},(223,280):{'3_1':0.0,'4_1':0.0},(223,279):{'3_1':0.0,'4_1':0.0},(223,278):{'3_1':0.0,'5_1':0.0},(223,276):{'3_1':0.0},(223,275):{'3_1':0.0},(223,274):{'3_1':0.0},(223,273):{'3_1':0.0},(223,272):{'3_1':0.0},(223,265):{'3_1':0.0},(223,263):{'3_1':0.0},(223,262):{'3_1':0.03},(223,260):{'3_1':0.0},(223,256):{'3_1':0.0},(223,253):{'3_1':0.0},(223,251):{'4_1':0.0},(223,248):{'3_1':0.0},(224,459):{'3_1':0.09},(224,458):{'3_1':0.09},(224,457):{'3_1':0.12},(224,456):{'3_1':0.15},(224,455):{'3_1':0.09},(224,454):{'3_1':0.06},(224,453):{'3_1':0.12},(224,452):{'3_1':0.06},(224,451):{'3_1':0.0},(224,450):{'3_1':0.03,'4_1':0.0},(224,449):{'3_1':0.09,'4_1':0.0},(224,448):{'3_1':0.15,'4_1':0.0},(224,447):{'3_1':0.06,'4_1':0.0},(224,446):{'3_1':0.15,'4_1':0.0},(224,445):{'3_1':0.09,'4_1':0.0},(224,444):{'3_1':0.09},(224,443):{'3_1':0.09},(224,442):{'3_1':0.09,'5_2':0.0},(224,441):{'3_1':0.06},(224,440):{'3_1':0.09},(224,439):{'3_1':0.06,'4_1':0.0},(224,438):{'3_1':0.06,'5_2':0.0},(224,437):{'3_1':0.15},(224,436):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(224,435):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(224,434):{'3_1':0.09,'4_1':0.0},(224,433):{'3_1':0.09,'4_1':0.0},(224,432):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(224,431):{'3_1':0.09,'4_1':0.0},(224,430):{'3_1':0.09,'4_1':0.0},(224,429):{'3_1':0.09,'4_1':0.0,'-3':0.0},(224,428):{'3_1':0.09,'4_1':0.0},(224,427):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(224,426):{'3_1':0.12,'4_1':0.0},(224,425):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(224,424):{'3_1':0.09,'4_1':0.03},(224,423):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(224,422):{'3_1':0.15,'5_2':0.0},(224,421):{'3_1':0.09,'4_1':0.0},(224,420):{'3_1':0.06,'4_1':0.0},(224,419):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'6_3':0.0},(224,418):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(224,417):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(224,416):{'3_1':0.06},(224,415):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(224,414):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(224,413):{'3_1':0.15,'4_1':0.03,'7_6':0.0},(224,412):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_3':0.0},(224,411):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(224,410):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(224,409):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(224,408):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(224,407):{'3_1':0.03,'5_2':0.0},(224,406):{'3_1':0.15,'5_1':0.0,'6_3':0.0},(224,405):{'3_1':0.15,'4_1':0.0},(224,404):{'3_1':0.15},(224,403):{'3_1':0.09,'5_2':0.0},(224,402):{'3_1':0.12},(224,401):{'3_1':0.12,'4_1':0.0},(224,400):{'3_1':0.18,'6_2':0.0},(224,399):{'3_1':0.18},(224,398):{'3_1':0.09,'5_2':0.0},(224,397):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_5':0.0},(224,396):{'3_1':0.18},(224,395):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(224,394):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(224,393):{'3_1':0.21,'5_2':0.0,'6_1':0.0},(224,392):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0},(224,391):{'3_1':0.09,'5_2':0.0},(224,390):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0},(224,389):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0},(224,388):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(224,387):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(224,386):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(224,385):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(224,384):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(224,383):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(224,382):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(224,381):{'3_1':0.12,'5_2':0.0},(224,380):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(224,379):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(224,378):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(224,377):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_6':0.0},(224,376):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(224,375):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(224,374):{'3_1':0.15,'4_1':0.0},(224,373):{'3_1':0.18,'4_1':0.0},(224,372):{'3_1':0.12},(224,371):{'3_1':0.15},(224,370):{'3_1':0.06},(224,369):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(224,368):{'3_1':0.12,'4_1':0.0},(224,367):{'3_1':0.06},(224,366):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(224,365):{'3_1':0.12,'5_2':0.0},(224,364):{'3_1':0.15},(224,363):{'3_1':0.15,'6_1':0.0},(224,362):{'3_1':0.15,'4_1':0.0},(224,361):{'3_1':0.12},(224,360):{'3_1':0.09,'4_1':0.0},(224,359):{'3_1':0.12},(224,358):{'3_1':0.12},(224,357):{'3_1':0.15},(224,356):{'3_1':0.12,'4_1':0.0},(224,355):{'3_1':0.06},(224,354):{'3_1':0.09,'5_2':0.0},(224,353):{'3_1':0.15,'5_2':0.0},(224,352):{'3_1':0.06},(224,351):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(224,350):{'3_1':0.09},(224,349):{'3_1':0.03,'4_1':0.0},(224,348):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(224,347):{'3_1':0.03,'5_2':0.0},(224,346):{'3_1':0.0},(224,345):{'3_1':0.03},(224,344):{'3_1':0.03,'4_1':0.0},(224,343):{'3_1':0.09},(224,342):{'3_1':0.06,'5_2':0.0},(224,341):{'3_1':0.03},(224,340):{'3_1':0.06},(224,339):{'3_1':0.03,'4_1':0.0},(224,338):{'3_1':0.0},(224,337):{'3_1':0.09,'4_1':0.0},(224,336):{'3_1':0.03,'4_1':0.0},(224,335):{'3_1':0.03},(224,334):{'3_1':0.03},(224,333):{'3_1':0.03,'4_1':0.0},(224,332):{'3_1':0.03,'4_1':0.0},(224,331):{'3_1':0.03,'4_1':0.0},(224,330):{'3_1':0.03,'4_1':0.0},(224,329):{'3_1':0.03,'4_1':0.0},(224,328):{'3_1':0.03},(224,327):{'3_1':0.03},(224,326):{'3_1':0.03},(224,325):{'3_1':0.0},(224,324):{'3_1':0.03,'4_1':0.0},(224,323):{'3_1':0.0},(224,322):{'3_1':0.03,'4_1':0.0},(224,321):{'3_1':0.0},(224,320):{'3_1':0.03},(224,319):{'3_1':0.0},(224,318):{'3_1':0.03},(224,317):{'3_1':0.03,'4_1':0.0},(224,316):{'3_1':0.0},(224,315):{'3_1':0.03},(224,314):{'3_1':0.03},(224,313):{'3_1':0.0,'4_1':0.0},(224,312):{'3_1':0.0},(224,311):{'3_1':0.0},(224,310):{'3_1':0.0},(224,309):{'3_1':0.0},(224,308):{'3_1':0.0,'4_1':0.0},(224,307):{'3_1':0.0},(224,306):{'3_1':0.0},(224,305):{'3_1':0.0},(224,304):{'3_1':0.0},(224,303):{'3_1':0.0},(224,301):{'3_1':0.0},(224,300):{'3_1':0.0},(224,299):{'3_1':0.0},(224,298):{'3_1':0.0},(224,297):{'3_1':0.0,'4_1':0.0},(224,296):{'3_1':0.0},(224,295):{'3_1':0.0},(224,294):{'4_1':0.0},(224,292):{'3_1':0.0},(224,291):{'3_1':0.0,'4_1':0.0},(224,290):{'3_1':0.0,'4_1':0.0},(224,289):{'3_1':0.0},(224,288):{'3_1':0.0},(224,287):{'3_1':0.0,'4_1':0.0},(224,286):{'3_1':0.0},(224,284):{'3_1':0.0},(224,283):{'3_1':0.0,'4_1':0.0},(224,282):{'3_1':0.0},(224,281):{'4_1':0.0},(224,280):{'3_1':0.0},(224,279):{'3_1':0.0},(224,274):{'3_1':0.0},(224,272):{'3_1':0.0},(224,271):{'3_1':0.0},(224,268):{'3_1':0.0},(224,267):{'3_1':0.0},(224,266):{'3_1':0.0},(224,263):{'3_1':0.0},(224,261):{'3_1':0.0},(224,256):{'3_1':0.0},(224,254):{'3_1':0.0},(224,253):{'3_1':0.0},(224,251):{'3_1':0.0},(224,247):{'3_1':0.0},(225,459):{'3_1':0.12},(225,458):{'3_1':0.18},(225,457):{'3_1':0.09,'4_1':0.0},(225,456):{'3_1':0.09,'4_1':0.0},(225,455):{'3_1':0.15,'4_1':0.0},(225,454):{'3_1':0.18},(225,453):{'3_1':0.12},(225,452):{'3_1':0.12,'4_1':0.0},(225,451):{'3_1':0.06},(225,450):{'3_1':0.18},(225,449):{'3_1':0.12,'4_1':0.0},(225,448):{'3_1':0.18},(225,447):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(225,446):{'3_1':0.09,'4_1':0.0},(225,445):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(225,444):{'3_1':0.12,'4_1':0.0},(225,443):{'3_1':0.12},(225,442):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(225,441):{'3_1':0.09,'6_1':0.0},(225,440):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(225,439):{'3_1':0.18},(225,438):{'3_1':0.12},(225,437):{'3_1':0.12,'4_1':0.0},(225,436):{'3_1':0.12,'5_1':0.0},(225,435):{'3_1':0.06,'4_1':0.0},(225,434):{'3_1':0.15,'5_2':0.0},(225,433):{'3_1':0.12,'5_2':0.0},(225,432):{'3_1':0.15,'4_1':0.0},(225,431):{'3_1':0.15},(225,430):{'3_1':0.15},(225,429):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(225,428):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(225,427):{'3_1':0.18,'4_1':0.0},(225,426):{'3_1':0.15,'4_1':0.0},(225,425):{'3_1':0.12,'4_1':0.0},(225,424):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(225,423):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(225,422):{'3_1':0.12,'4_1':0.0},(225,421):{'3_1':0.12,'4_1':0.0},(225,420):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(225,419):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_3':0.0},(225,418):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(225,417):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(225,416):{'3_1':0.15,'4_1':0.0,'7_2':0.0},(225,415):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_7':0.0},(225,414):{'3_1':0.15,'4_1':0.0},(225,413):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_6':0.0},(225,412):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0},(225,411):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(225,410):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(225,409):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(225,408):{'3_1':0.15,'4_1':0.0},(225,407):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(225,406):{'3_1':0.12,'5_1':0.0},(225,405):{'3_1':0.21,'4_1':0.0},(225,404):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(225,403):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(225,402):{'3_1':0.15,'4_1':0.0},(225,401):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(225,400):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(225,399):{'3_1':0.15,'8_11':0.0},(225,398):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(225,397):{'3_1':0.09,'4_1':0.0},(225,396):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(225,395):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(225,394):{'3_1':0.18,'5_2':0.0},(225,393):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_3':0.0},(225,392):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(225,391):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(225,390):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(225,389):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(225,388):{'3_1':0.18,'4_1':0.0},(225,387):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(225,386):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(225,385):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(225,384):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(225,383):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(225,382):{'3_1':0.09,'6_3':0.0,'4_1':0.0,'5_2':0.0},(225,381):{'3_1':0.15,'4_1':0.03,'6_3':0.0,'5_2':0.0},(225,380):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(225,379):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(225,378):{'3_1':0.12,'4_1':0.03,'5_2':0.03},(225,377):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0},(225,376):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(225,375):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(225,374):{'3_1':0.12,'4_1':0.0},(225,373):{'3_1':0.21,'4_1':0.0},(225,372):{'3_1':0.15},(225,371):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(225,370):{'3_1':0.06,'4_1':0.0},(225,369):{'3_1':0.12,'4_1':0.0},(225,368):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(225,367):{'3_1':0.12,'4_1':0.0},(225,366):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(225,365):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(225,364):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(225,363):{'3_1':0.12},(225,362):{'3_1':0.06,'4_1':0.0},(225,361):{'3_1':0.09},(225,360):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(225,359):{'3_1':0.12,'4_1':0.0},(225,358):{'3_1':0.06},(225,357):{'3_1':0.09,'4_1':0.0},(225,356):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(225,355):{'3_1':0.12},(225,354):{'3_1':0.15},(225,353):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(225,352):{'3_1':0.15,'4_1':0.0},(225,351):{'3_1':0.09,'5_2':0.0},(225,350):{'3_1':0.06},(225,349):{'3_1':0.03,'5_2':0.0},(225,348):{'3_1':0.03},(225,347):{'3_1':0.0,'4_1':0.0},(225,346):{'3_1':0.03,'4_1':0.0},(225,345):{'3_1':0.0},(225,344):{'3_1':0.03},(225,343):{'3_1':0.03,'4_1':0.0},(225,342):{'3_1':0.06},(225,341):{'3_1':0.03},(225,340):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(225,339):{'3_1':0.06,'4_1':0.0},(225,338):{'3_1':0.03,'4_1':0.0},(225,337):{'3_1':0.0,'4_1':0.0},(225,336):{'3_1':0.06},(225,335):{'3_1':0.03,'4_1':0.0},(225,334):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(225,333):{'3_1':0.06},(225,332):{'3_1':0.03,'4_1':0.0},(225,331):{'3_1':0.0},(225,330):{'3_1':0.03,'4_1':0.0},(225,329):{'3_1':0.06,'4_1':0.0},(225,328):{'3_1':0.0},(225,327):{'3_1':0.03},(225,326):{'3_1':0.0,'4_1':0.0},(225,325):{'3_1':0.03},(225,324):{'3_1':0.0},(225,323):{'3_1':0.0,'4_1':0.0},(225,322):{'3_1':0.0},(225,321):{'4_1':0.0},(225,320):{'3_1':0.03},(225,319):{'3_1':0.0},(225,318):{'3_1':0.06,'4_1':0.0},(225,317):{'3_1':0.03,'4_1':0.0},(225,316):{'3_1':0.06},(225,315):{'3_1':0.0},(225,314):{'3_1':0.03,'5_1':0.0},(225,313):{'3_1':0.03,'5_2':0.0},(225,312):{'3_1':0.0,'5_2':0.0},(225,311):{'3_1':0.0,'4_1':0.0},(225,310):{'3_1':0.0},(225,309):{'3_1':0.0,'4_1':0.0},(225,308):{'3_1':0.0},(225,307):{'3_1':0.0},(225,305):{'3_1':0.0},(225,304):{'3_1':0.0},(225,303):{'3_1':0.0,'4_1':0.0},(225,302):{'3_1':0.0},(225,301):{'3_1':0.0},(225,300):{'3_1':0.03},(225,299):{'3_1':0.03},(225,298):{'3_1':0.0},(225,296):{'3_1':0.0},(225,295):{'3_1':0.0},(225,293):{'3_1':0.03,'4_1':0.0},(225,292):{'3_1':0.03},(225,291):{'3_1':0.03},(225,290):{'3_1':0.0},(225,289):{'3_1':0.0},(225,288):{'3_1':0.0},(225,287):{'3_1':0.0},(225,286):{'3_1':0.0},(225,285):{'3_1':0.0},(225,284):{'4_1':0.0,'3_1':0.0},(225,281):{'3_1':0.0},(225,280):{'4_1':0.0},(225,279):{'3_1':0.0,'4_1':0.0},(225,278):{'3_1':0.0},(225,276):{'3_1':0.0},(225,275):{'3_1':0.0},(225,271):{'3_1':0.0},(225,268):{'3_1':0.0},(225,267):{'3_1':0.0},(225,266):{'3_1':0.0},(225,265):{'3_1':0.0},(225,258):{'3_1':0.0},(225,255):{'3_1':0.0},(225,253):{'3_1':0.0},(225,252):{'3_1':0.0},(225,251):{'3_1':0.0},(225,249):{'3_1':0.0},(225,247):{'3_1':0.0},(226,459):{'3_1':0.12,'5_2':0.0},(226,458):{'3_1':0.12,'4_1':0.0},(226,457):{'3_1':0.12},(226,456):{'3_1':0.09},(226,455):{'3_1':0.12},(226,454):{'3_1':0.06},(226,453):{'3_1':0.09},(226,452):{'3_1':0.09},(226,451):{'3_1':0.15},(226,450):{'3_1':0.15},(226,449):{'3_1':0.03},(226,448):{'3_1':0.03},(226,447):{'3_1':0.12,'4_1':0.0},(226,446):{'3_1':0.15},(226,445):{'3_1':0.12},(226,444):{'3_1':0.15,'6_2':0.0},(226,443):{'3_1':0.09,'5_1':0.0},(226,442):{'3_1':0.12,'5_1':0.0},(226,441):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(226,440):{'3_1':0.12,'6_2':0.0},(226,439):{'3_1':0.18},(226,438):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(226,437):{'3_1':0.12,'4_1':0.0},(226,436):{'3_1':0.12},(226,435):{'3_1':0.15,'4_1':0.0},(226,434):{'3_1':0.12,'4_1':0.0},(226,433):{'3_1':0.12},(226,432):{'3_1':0.18},(226,431):{'3_1':0.12,'4_1':0.0},(226,430):{'3_1':0.15,'6_2':0.0},(226,429):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(226,428):{'3_1':0.15,'4_1':0.0},(226,427):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(226,426):{'3_1':0.12,'4_1':0.0},(226,425):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(226,424):{'3_1':0.15,'4_1':0.0},(226,423):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(226,422):{'3_1':0.09,'4_1':0.0},(226,421):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(226,420):{'3_1':0.09,'5_1':0.0},(226,419):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(226,418):{'3_1':0.03,'4_1':0.0},(226,417):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(226,416):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(226,415):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(226,414):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(226,413):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_8':0.0},(226,412):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(226,411):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(226,410):{'3_1':0.09,'4_1':0.03},(226,409):{'3_1':0.12,'4_1':0.0},(226,408):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(226,407):{'3_1':0.12},(226,406):{'3_1':0.12},(226,405):{'3_1':0.15,'6_3':0.0},(226,404):{'3_1':0.12},(226,403):{'3_1':0.12,'4_1':0.0},(226,402):{'3_1':0.12,'5_2':0.0},(226,401):{'3_1':0.15,'5_2':0.0},(226,400):{'3_1':0.15,'4_1':0.0},(226,399):{'3_1':0.09,'4_1':0.0},(226,398):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_1':0.0},(226,397):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(226,396):{'3_1':0.18,'4_1':0.0},(226,395):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(226,394):{'3_1':0.24,'5_1':0.0},(226,393):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(226,392):{'3_1':0.18,'5_2':0.0},(226,391):{'3_1':0.18,'5_1':0.0,'6_2':0.0},(226,390):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(226,389):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(226,388):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(226,387):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0},(226,386):{'3_1':0.09,'4_1':0.03},(226,385):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(226,384):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(226,383):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(226,382):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0},(226,381):{'3_1':0.27,'4_1':0.0,'5_2':0.0},(226,380):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(226,379):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_3':0.0},(226,378):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(226,377):{'3_1':0.06,'4_1':0.0},(226,376):{'3_1':0.09,'4_1':0.03},(226,375):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(226,374):{'3_1':0.15,'5_1':0.0},(226,373):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(226,372):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(226,371):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(226,370):{'3_1':0.12},(226,369):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(226,368):{'3_1':0.18,'4_1':0.0},(226,367):{'3_1':0.06,'5_2':0.0},(226,366):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(226,365):{'3_1':0.06},(226,364):{'3_1':0.12},(226,363):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(226,362):{'3_1':0.09,'4_1':0.0},(226,361):{'3_1':0.09,'4_1':0.0},(226,360):{'3_1':0.09,'4_1':0.0},(226,359):{'3_1':0.18,'4_1':0.0},(226,358):{'3_1':0.09,'4_1':0.0},(226,357):{'3_1':0.15,'5_1':0.0},(226,356):{'3_1':0.15},(226,355):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(226,354):{'3_1':0.12,'4_1':0.0},(226,353):{'3_1':0.09,'4_1':0.0},(226,352):{'3_1':0.09,'5_2':0.0},(226,351):{'3_1':0.03,'4_1':0.0},(226,350):{'3_1':0.06,'4_1':0.0},(226,349):{'3_1':0.06},(226,348):{'3_1':0.03},(226,347):{'3_1':0.03},(226,346):{'3_1':0.03},(226,345):{'3_1':0.03,'4_1':0.0},(226,344):{'3_1':0.03},(226,343):{'3_1':0.0},(226,342):{'3_1':0.0,'4_1':0.0},(226,341):{'3_1':0.03},(226,340):{'3_1':0.06,'4_1':0.0},(226,339):{'3_1':0.0,'4_1':0.0},(226,338):{'3_1':0.0,'6_3':0.0},(226,337):{'3_1':0.0,'4_1':0.0},(226,336):{'3_1':0.06,'5_1':0.0},(226,335):{'3_1':0.0,'4_1':0.0},(226,334):{'3_1':0.0},(226,333):{'3_1':0.03,'4_1':0.0},(226,332):{'4_1':0.03,'3_1':0.0,'6_3':0.0},(226,331):{'3_1':0.03,'4_1':0.03},(226,330):{'3_1':0.03},(226,329):{'3_1':0.03},(226,328):{'3_1':0.0},(226,327):{'3_1':0.03},(226,326):{'3_1':0.0},(226,325):{'3_1':0.03},(226,324):{'5_2':0.0},(226,323):{'3_1':0.0},(226,322):{'3_1':0.0},(226,321):{'3_1':0.03},(226,319):{'3_1':0.03},(226,318):{'3_1':0.06},(226,317):{'3_1':0.03},(226,316):{'3_1':0.0,'5_2':0.0},(226,315):{'3_1':0.0,'4_1':0.0},(226,314):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(226,313):{'3_1':0.03},(226,312):{'3_1':0.0},(226,311):{'3_1':0.0,'5_2':0.0},(226,310):{'3_1':0.0},(226,309):{'3_1':0.0},(226,308):{'3_1':0.0},(226,307):{'3_1':0.0,'4_1':0.0},(226,306):{'3_1':0.03},(226,305):{'3_1':0.0},(226,304):{'3_1':0.0},(226,303):{'3_1':0.0},(226,301):{'3_1':0.0},(226,300):{'3_1':0.0},(226,299):{'3_1':0.03},(226,298):{'3_1':0.0,'4_1':0.0},(226,297):{'3_1':0.0},(226,296):{'3_1':0.0},(226,295):{'3_1':0.0},(226,294):{'3_1':0.0},(226,293):{'3_1':0.03},(226,292):{'3_1':0.0},(226,291):{'4_1':0.0},(226,290):{'3_1':0.0},(226,289):{'3_1':0.0},(226,287):{'3_1':0.0,'4_1':0.0},(226,285):{'3_1':0.0},(226,283):{'3_1':0.0,'4_1':0.0},(226,280):{'3_1':0.0,'4_1':0.0},(226,279):{'3_1':0.0},(227,459):{'3_1':0.18},(227,458):{'3_1':0.09},(227,457):{'3_1':0.12,'5_2':0.0},(227,456):{'3_1':0.06},(227,455):{'3_1':0.15},(227,454):{'3_1':0.12},(227,453):{'3_1':0.15},(227,452):{'3_1':0.18},(227,451):{'3_1':0.12},(227,450):{'3_1':0.09},(227,449):{'3_1':0.03},(227,448):{'3_1':0.15,'4_1':0.0},(227,447):{'3_1':0.09},(227,446):{'3_1':0.12},(227,445):{'3_1':0.15,'4_1':0.0},(227,444):{'3_1':0.09},(227,443):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(227,442):{'3_1':0.15,'4_1':0.0},(227,441):{'3_1':0.12},(227,440):{'3_1':0.15},(227,439):{'3_1':0.09},(227,438):{'3_1':0.09,'4_1':0.0},(227,437):{'3_1':0.12,'4_1':0.0},(227,436):{'3_1':0.12},(227,435):{'3_1':0.12,'4_1':0.0},(227,434):{'3_1':0.09,'4_1':0.0},(227,433):{'3_1':0.12,'4_1':0.0,'7_7':0.0},(227,432):{'3_1':0.15,'4_1':0.0},(227,431):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(227,430):{'3_1':0.12,'4_1':0.0},(227,429):{'3_1':0.09,'4_1':0.0},(227,428):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(227,427):{'3_1':0.12,'4_1':0.0},(227,426):{'3_1':0.06,'4_1':0.0},(227,425):{'3_1':0.15,'4_1':0.0},(227,424):{'3_1':0.12,'4_1':0.0},(227,423):{'3_1':0.12},(227,422):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(227,421):{'3_1':0.12,'4_1':0.0},(227,420):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(227,419):{'3_1':0.12,'4_1':0.0},(227,418):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(227,417):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0},(227,416):{'3_1':0.09,'4_1':0.0,'8_7':0.0},(227,415):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(227,414):{'3_1':0.15,'4_1':0.03},(227,413):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(227,412):{'3_1':0.12,'4_1':0.0},(227,411):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(227,410):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(227,409):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(227,408):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(227,407):{'3_1':0.12,'4_1':0.0},(227,406):{'3_1':0.12,'4_1':0.0},(227,405):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(227,404):{'3_1':0.09,'4_1':0.0},(227,403):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'6_3':0.0},(227,402):{'3_1':0.12},(227,401):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(227,400):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(227,399):{'3_1':0.12,'8_20|3_1#3_1':0.0},(227,398):{'3_1':0.12},(227,397):{'3_1':0.15},(227,396):{'3_1':0.06,'5_1':0.0},(227,395):{'3_1':0.18},(227,394):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(227,393):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(227,392):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(227,391):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(227,390):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(227,389):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0},(227,388):{'3_1':0.09,'6_2':0.0,'8_21|3_1#4_1':0.0},(227,387):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(227,386):{'3_1':0.18,'4_1':0.0},(227,385):{'3_1':0.18,'4_1':0.03,'6_3':0.0},(227,384):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(227,383):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(227,382):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(227,381):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_2':0.0},(227,380):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_3':0.0},(227,379):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(227,378):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(227,377):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(227,376):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(227,375):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(227,374):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(227,373):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(227,372):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(227,371):{'3_1':0.09},(227,370):{'3_1':0.12},(227,369):{'3_1':0.12},(227,368):{'3_1':0.18},(227,367):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(227,366):{'3_1':0.24,'4_1':0.0},(227,365):{'3_1':0.09,'4_1':0.0},(227,364):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(227,363):{'3_1':0.12},(227,362):{'3_1':0.15},(227,361):{'3_1':0.12},(227,360):{'3_1':0.12,'4_1':0.0},(227,359):{'3_1':0.06,'4_1':0.0},(227,358):{'3_1':0.09,'5_1':0.0},(227,357):{'3_1':0.12,'5_2':0.0},(227,356):{'3_1':0.09},(227,355):{'3_1':0.18,'4_1':0.0},(227,354):{'3_1':0.09,'5_2':0.0},(227,353):{'3_1':0.12},(227,352):{'3_1':0.12},(227,351):{'3_1':0.06,'4_1':0.0},(227,350):{'3_1':0.09,'4_1':0.0},(227,349):{'3_1':0.03},(227,348):{'3_1':0.03},(227,347):{'3_1':0.03,'4_1':0.0},(227,346):{'3_1':0.03},(227,345):{'3_1':0.0},(227,344):{'3_1':0.0,'4_1':0.0},(227,343):{'3_1':0.06,'6_3':0.0},(227,342):{'3_1':0.03},(227,341):{'3_1':0.03},(227,340):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(227,339):{'3_1':0.03,'4_1':0.0},(227,338):{'3_1':0.03,'4_1':0.0},(227,337):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(227,336):{'3_1':0.03,'4_1':0.0},(227,335):{'3_1':0.0},(227,334):{'3_1':0.0,'4_1':0.0},(227,333):{'3_1':0.03,'4_1':0.0},(227,332):{'3_1':0.06},(227,331):{'3_1':0.03,'4_1':0.0},(227,330):{'3_1':0.03,'4_1':0.0},(227,329):{'3_1':0.0,'4_1':0.0},(227,328):{'3_1':0.0,'4_1':0.0},(227,327):{'3_1':0.0,'4_1':0.0},(227,325):{'3_1':0.0},(227,324):{'3_1':0.0},(227,322):{'3_1':0.0,'5_1':0.0},(227,321):{'3_1':0.0},(227,320):{'3_1':0.03},(227,319):{'3_1':0.0},(227,318):{'3_1':0.06,'4_1':0.0},(227,317):{'3_1':0.0},(227,316):{'3_1':0.0,'5_2':0.0},(227,315):{'3_1':0.06,'5_2':0.0},(227,314):{'5_2':0.0,'3_1':0.0},(227,313):{'3_1':0.0},(227,312):{'3_1':0.0},(227,311):{'3_1':0.0},(227,310):{'3_1':0.0,'5_2':0.0},(227,309):{'3_1':0.0},(227,308):{'3_1':0.03},(227,307):{'3_1':0.0},(227,306):{'3_1':0.0},(227,305):{'3_1':0.0},(227,304):{'3_1':0.0},(227,303):{'3_1':0.0,'4_1':0.0},(227,302):{'3_1':0.0},(227,301):{'3_1':0.0},(227,300):{'3_1':0.03},(227,298):{'3_1':0.0,'4_1':0.0},(227,297):{'4_1':0.0},(227,296):{'3_1':0.0},(227,295):{'3_1':0.0},(227,294):{'3_1':0.0},(227,293):{'3_1':0.0},(227,292):{'3_1':0.0},(227,291):{'3_1':0.0},(227,289):{'3_1':0.0},(227,286):{'4_1':0.0},(227,285):{'4_1':0.0,'3_1':0.0},(227,283):{'3_1':0.0},(227,282):{'3_1':0.0},(227,281):{'4_1':0.0,'3_1':0.0},(227,278):{'3_1':0.0},(228,459):{'3_1':0.09},(228,458):{'3_1':0.09,'4_1':0.0},(228,457):{'3_1':0.12,'4_1':0.0},(228,456):{'3_1':0.06,'4_1':0.0},(228,455):{'3_1':0.03},(228,454):{'3_1':0.09},(228,453):{'3_1':0.06},(228,452):{'3_1':0.06},(228,451):{'3_1':0.06,'4_1':0.0},(228,450):{'3_1':0.06},(228,449):{'3_1':0.03},(228,448):{'3_1':0.09,'5_1':0.0},(228,447):{'3_1':0.09,'8_11':0.0},(228,446):{'3_1':0.03},(228,445):{'3_1':0.12},(228,444):{'3_1':0.06},(228,443):{'3_1':0.09,'4_1':0.0},(228,442):{'3_1':0.09},(228,441):{'3_1':0.09,'4_1':0.0},(228,440):{'3_1':0.09},(228,439):{'3_1':0.12},(228,438):{'3_1':0.09,'5_2':0.0},(228,437):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_2':0.0},(228,436):{'3_1':0.09},(228,435):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(228,434):{'3_1':0.15,'4_1':0.0},(228,433):{'3_1':0.12,'4_1':0.0},(228,432):{'3_1':0.06,'4_1':0.0},(228,431):{'3_1':0.09,'4_1':0.0},(228,430):{'3_1':0.06,'6_1':0.03,'4_1':0.0},(228,429):{'3_1':0.18,'4_1':0.0},(228,428):{'3_1':0.12,'4_1':0.0},(228,427):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(228,426):{'3_1':0.12,'4_1':0.0},(228,425):{'3_1':0.09,'5_2':0.0},(228,424):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0},(228,423):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(228,422):{'3_1':0.03,'4_1':0.0},(228,421):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(228,420):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(228,419):{'3_1':0.12,'4_1':0.0},(228,418):{'3_1':0.06,'5_2':0.0},(228,417):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(228,416):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(228,415):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(228,414):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(228,413):{'3_1':0.06,'4_1':0.03,'6_3':0.0,'6_1':0.0},(228,412):{'3_1':0.09,'4_1':0.0},(228,411):{'3_1':0.06,'4_1':0.0},(228,410):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(228,409):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(228,408):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(228,407):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(228,406):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(228,405):{'3_1':0.09,'4_1':0.0},(228,404):{'3_1':0.12},(228,403):{'3_1':0.06},(228,402):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(228,401):{'3_1':0.09},(228,400):{'3_1':0.09,'5_2':0.0},(228,399):{'3_1':0.09},(228,398):{'3_1':0.06},(228,397):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(228,396):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(228,395):{'3_1':0.12,'7_6':0.0},(228,394):{'3_1':0.15,'5_1':0.0},(228,393):{'3_1':0.12,'5_1':0.0},(228,392):{'3_1':0.12,'4_1':0.0},(228,391):{'3_1':0.15,'4_1':0.0},(228,390):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'-3':0.0},(228,389):{'3_1':0.12,'4_1':0.0},(228,388):{'3_1':0.24,'4_1':0.03},(228,387):{'3_1':0.15,'5_1':0.0,'6_3':0.0},(228,386):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(228,385):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(228,384):{'3_1':0.12,'6_3':0.0,'-3':0.0},(228,383):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(228,382):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(228,381):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(228,380):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0},(228,379):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(228,378):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_3':0.0},(228,377):{'3_1':0.15,'6_3':0.0,'4_1':0.0,'5_2':0.0},(228,376):{'3_1':0.15,'5_2':0.03},(228,375):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(228,374):{'3_1':0.15,'4_1':0.03},(228,373):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(228,372):{'3_1':0.06},(228,371):{'3_1':0.12,'5_1':0.0},(228,370):{'3_1':0.09},(228,369):{'3_1':0.15},(228,368):{'3_1':0.09,'5_2':0.0},(228,367):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'6_3':0.0},(228,366):{'3_1':0.12,'4_1':0.0},(228,365):{'3_1':0.06,'4_1':0.0},(228,364):{'3_1':0.12},(228,363):{'3_1':0.12,'4_1':0.0},(228,362):{'3_1':0.12},(228,361):{'3_1':0.09},(228,360):{'3_1':0.12,'4_1':0.0},(228,359):{'3_1':0.09},(228,358):{'3_1':0.12,'5_2':0.0},(228,357):{'3_1':0.09},(228,356):{'3_1':0.12,'4_1':0.0},(228,355):{'3_1':0.06,'4_1':0.0},(228,354):{'3_1':0.12,'4_1':0.0},(228,353):{'3_1':0.15},(228,352):{'3_1':0.09,'6_2':0.0},(228,351):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(228,350):{'3_1':0.06,'4_1':0.0},(228,349):{'3_1':0.03},(228,348):{'3_1':0.06,'5_2':0.0},(228,347):{'3_1':0.06},(228,346):{'3_1':0.09},(228,345):{'3_1':0.03},(228,344):{'3_1':0.03},(228,343):{'3_1':0.03,'5_1':0.0},(228,342):{'3_1':0.06},(228,341):{'3_1':0.0,'4_1':0.0},(228,340):{'3_1':0.03,'4_1':0.0},(228,339):{'3_1':0.03},(228,338):{'3_1':0.0,'5_1':0.0},(228,337):{'3_1':0.03,'4_1':0.0},(228,336):{'3_1':0.09,'4_1':0.0},(228,335):{'3_1':0.0,'4_1':0.0},(228,334):{'3_1':0.06},(228,333):{'3_1':0.06,'4_1':0.0},(228,332):{'3_1':0.03,'4_1':0.0},(228,331):{'3_1':0.03},(228,330):{'3_1':0.03,'4_1':0.0},(228,329):{'3_1':0.03},(228,328):{'3_1':0.03,'4_1':0.0},(228,327):{'3_1':0.03},(228,326):{'3_1':0.03,'4_1':0.0},(228,325):{'3_1':0.0},(228,324):{'3_1':0.0,'4_1':0.0},(228,323):{'3_1':0.0},(228,321):{'3_1':0.06},(228,320):{'3_1':0.0},(228,319):{'3_1':0.0,'5_2':0.0},(228,318):{'3_1':0.03},(228,317):{'3_1':0.03},(228,316):{'3_1':0.0},(228,315):{'3_1':0.0},(228,314):{'3_1':0.0},(228,313):{'3_1':0.03},(228,312):{'3_1':0.03},(228,311):{'3_1':0.0},(228,309):{'3_1':0.03,'4_1':0.0},(228,308):{'3_1':0.0},(228,307):{'3_1':0.0},(228,306):{'3_1':0.0},(228,305):{'3_1':0.0},(228,304):{'3_1':0.0},(228,303):{'3_1':0.03},(228,302):{'3_1':0.03},(228,301):{'3_1':0.0,'4_1':0.0},(228,300):{'3_1':0.03,'5_2':0.0},(228,299):{'3_1':0.0},(228,298):{'3_1':0.0,'4_1':0.0},(228,297):{'3_1':0.0},(228,296):{'3_1':0.03},(228,295):{'4_1':0.0},(228,294):{'3_1':0.0},(228,293):{'3_1':0.0},(228,292):{'3_1':0.0},(228,291):{'3_1':0.03},(228,290):{'3_1':0.0},(228,289):{'3_1':0.0,'4_1':0.0},(228,287):{'3_1':0.0,'4_1':0.0},(228,286):{'3_1':0.0},(228,285):{'4_1':0.0},(228,284):{'3_1':0.0},(228,283):{'3_1':0.0},(228,282):{'3_1':0.0},(228,281):{'3_1':0.0,'4_1':0.0},(228,280):{'3_1':0.0},(228,279):{'3_1':0.0,'4_1':0.0},(228,278):{'3_1':0.0},(228,277):{'3_1':0.0},(229,459):{'3_1':0.03,'5_1':0.0},(229,458):{'3_1':0.06,'4_1':0.0},(229,457):{'3_1':0.12},(229,456):{'3_1':0.09,'5_1':0.0},(229,455):{'3_1':0.06},(229,454):{'3_1':0.09,'5_1':0.0},(229,453):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(229,452):{'3_1':0.06},(229,451):{'3_1':0.06},(229,450):{'3_1':0.03},(229,449):{'3_1':0.12},(229,448):{'3_1':0.09},(229,447):{'3_1':0.06,'6_1':0.0},(229,446):{'3_1':0.09,'5_1':0.0},(229,445):{'3_1':0.09},(229,444):{'3_1':0.09,'4_1':0.0},(229,443):{'3_1':0.06},(229,442):{'3_1':0.03},(229,441):{'3_1':0.09},(229,440):{'3_1':0.15,'4_1':0.0},(229,439):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(229,438):{'3_1':0.06,'4_1':0.0},(229,437):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(229,436):{'3_1':0.09},(229,435):{'3_1':0.06},(229,434):{'3_1':0.09},(229,433):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(229,432):{'3_1':0.09,'4_1':0.0},(229,431):{'3_1':0.06,'4_1':0.0},(229,430):{'3_1':0.06,'4_1':0.0},(229,429):{'3_1':0.06,'4_1':0.0},(229,428):{'3_1':0.09,'4_1':0.0},(229,427):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(229,426):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(229,425):{'3_1':0.06,'4_1':0.0},(229,424):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'7_6':0.0},(229,423):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(229,422):{'3_1':0.09,'6_1':0.0,'4_1':0.0},(229,421):{'3_1':0.06,'4_1':0.0},(229,420):{'3_1':0.06,'4_1':0.0},(229,419):{'3_1':0.12,'4_1':0.0},(229,418):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0},(229,417):{'3_1':0.09,'4_1':0.0},(229,416):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'-3':0.0},(229,415):{'3_1':0.09,'4_1':0.03},(229,414):{'4_1':0.06,'3_1':0.03},(229,413):{'3_1':0.09},(229,412):{'3_1':0.09,'4_1':0.0},(229,411):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'-3':0.0},(229,410):{'3_1':0.18,'5_2':0.0},(229,409):{'3_1':0.03,'4_1':0.03},(229,408):{'3_1':0.12,'8_21|3_1#4_1':0.0},(229,407):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(229,406):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(229,405):{'3_1':0.03,'4_1':0.0},(229,404):{'3_1':0.06},(229,403):{'3_1':0.09,'4_1':0.0},(229,402):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(229,401):{'3_1':0.15,'5_2':0.0},(229,400):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(229,399):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(229,398):{'3_1':0.12,'5_2':0.0},(229,397):{'3_1':0.15},(229,396):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(229,395):{'3_1':0.09,'6_3':0.0},(229,394):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(229,393):{'3_1':0.15,'6_2':0.0,'5_2':0.0},(229,392):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(229,391):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(229,390):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(229,389):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(229,388):{'3_1':0.09,'6_2':0.0},(229,387):{'3_1':0.09,'4_1':0.03},(229,386):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(229,385):{'3_1':0.15,'4_1':0.0},(229,384):{'3_1':0.15,'4_1':0.0},(229,383):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(229,382):{'3_1':0.18,'4_1':0.0,'6_3':0.0,'5_2':0.0},(229,381):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(229,380):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(229,379):{'3_1':0.12,'6_3':0.0},(229,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(229,377):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(229,376):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(229,375):{'3_1':0.09,'4_1':0.03,'7_5':0.0},(229,374):{'3_1':0.12,'4_1':0.0},(229,373):{'3_1':0.15,'4_1':0.0},(229,372):{'3_1':0.12,'5_2':0.0},(229,371):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(229,370):{'3_1':0.09},(229,369):{'3_1':0.09,'4_1':0.0},(229,368):{'3_1':0.09,'5_1':0.0},(229,367):{'3_1':0.06,'4_1':0.0},(229,366):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(229,365):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(229,364):{'3_1':0.09,'4_1':0.0},(229,363):{'3_1':0.12,'4_1':0.0},(229,362):{'3_1':0.09},(229,361):{'3_1':0.06,'4_1':0.0},(229,360):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(229,359):{'3_1':0.09,'5_1':0.0},(229,358):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(229,357):{'3_1':0.06,'5_2':0.0},(229,356):{'3_1':0.12},(229,355):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(229,354):{'3_1':0.09,'5_2':0.0},(229,353):{'3_1':0.03,'5_2':0.0},(229,352):{'3_1':0.12},(229,351):{'3_1':0.06},(229,350):{'3_1':0.06,'5_2':0.0},(229,349):{'3_1':0.06},(229,348):{'3_1':0.03},(229,347):{'3_1':0.03},(229,346):{'3_1':0.03},(229,345):{'3_1':0.03},(229,344):{'3_1':0.0,'4_1':0.0},(229,343):{'3_1':0.0},(229,342):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(229,341):{'3_1':0.0,'4_1':0.0},(229,340):{'3_1':0.0},(229,339):{'4_1':0.0,'3_1':0.0},(229,338):{'3_1':0.03,'4_1':0.0},(229,337):{'3_1':0.03,'4_1':0.0},(229,336):{'3_1':0.03,'5_1':0.0},(229,335):{'3_1':0.03,'4_1':0.0},(229,334):{'3_1':0.06,'4_1':0.0},(229,333):{'3_1':0.06,'4_1':0.0},(229,332):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(229,331):{'3_1':0.06,'4_1':0.0},(229,330):{'3_1':0.03,'4_1':0.0},(229,329):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(229,328):{'3_1':0.0,'4_1':0.0},(229,327):{'3_1':0.0},(229,324):{'3_1':0.0},(229,323):{'3_1':0.0},(229,321):{'3_1':0.0,'4_1':0.0},(229,320):{'3_1':0.0},(229,319):{'3_1':0.0},(229,318):{'3_1':0.0},(229,317):{'3_1':0.03},(229,316):{'3_1':0.0},(229,315):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(229,314):{'3_1':0.03},(229,313):{'3_1':0.0},(229,312):{'3_1':0.0},(229,311):{'3_1':0.0},(229,308):{'3_1':0.0},(229,307):{'3_1':0.0},(229,306):{'3_1':0.0},(229,305):{'3_1':0.0},(229,304):{'3_1':0.0},(229,303):{'4_1':0.0},(229,302):{'3_1':0.0,'5_2':0.0},(229,301):{'3_1':0.0},(229,300):{'3_1':0.0},(229,299):{'3_1':0.0},(229,297):{'3_1':0.03},(229,296):{'3_1':0.0},(229,295):{'3_1':0.0},(229,294):{'3_1':0.0,'4_1':0.0},(229,293):{'3_1':0.03},(229,292):{'3_1':0.0,'4_1':0.0},(229,291):{'3_1':0.0},(229,290):{'3_1':0.03},(229,289):{'5_2':0.0,'3_1':0.0},(229,288):{'3_1':0.0},(229,287):{'3_1':0.0},(229,285):{'3_1':0.0},(229,284):{'3_1':0.0},(229,283):{'4_1':0.0},(229,282):{'3_1':0.0,'4_1':0.0},(229,281):{'3_1':0.0},(229,280):{'3_1':0.0},(229,278):{'3_1':0.03},(229,276):{'3_1':0.0},(230,459):{'3_1':0.12},(230,458):{'3_1':0.03},(230,457):{'3_1':0.0},(230,456):{'3_1':0.06},(230,455):{'3_1':0.06},(230,454):{'3_1':0.12},(230,453):{'3_1':0.03},(230,452):{'3_1':0.03},(230,451):{'3_1':0.06},(230,450):{'3_1':0.06},(230,449):{'3_1':0.06,'4_1':0.0},(230,448):{'3_1':0.06,'6_1':0.0},(230,447):{'3_1':0.03},(230,446):{'3_1':0.06},(230,445):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(230,444):{'3_1':0.09,'4_1':0.0},(230,443):{'3_1':0.06},(230,442):{'3_1':0.06},(230,441):{'3_1':0.03},(230,440):{'3_1':0.06},(230,439):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(230,438):{'3_1':0.09,'4_1':0.0},(230,437):{'3_1':0.06,'4_1':0.0},(230,436):{'3_1':0.06,'4_1':0.0},(230,435):{'3_1':0.06,'4_1':0.0},(230,434):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(230,433):{'3_1':0.06,'4_1':0.0},(230,432):{'3_1':0.09,'4_1':0.0},(230,431):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(230,430):{'3_1':0.06,'4_1':0.0},(230,429):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(230,428):{'3_1':0.03,'4_1':0.0},(230,427):{'3_1':0.06,'6_1':0.0},(230,426):{'3_1':0.03},(230,425):{'3_1':0.06,'4_1':0.0},(230,424):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(230,423):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(230,422):{'3_1':0.03,'6_1':0.0},(230,421):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(230,420):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(230,419):{'3_1':0.03,'4_1':0.03},(230,418):{'3_1':0.09,'4_1':0.03},(230,417):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_3':0.0},(230,416):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_6':0.0},(230,415):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(230,414):{'3_1':0.12,'4_1':0.03},(230,413):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(230,412):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(230,411):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(230,410):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(230,409):{'3_1':0.06,'4_1':0.03},(230,408):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(230,407):{'3_1':0.09,'4_1':0.0},(230,406):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(230,405):{'3_1':0.03},(230,404):{'3_1':0.03},(230,403):{'3_1':0.06,'6_1':0.0,'6_3':0.0},(230,402):{'3_1':0.09},(230,401):{'3_1':0.09,'4_1':0.0},(230,400):{'3_1':0.09,'4_1':0.0},(230,399):{'3_1':0.12,'5_2':0.0},(230,398):{'3_1':0.06,'4_1':0.0},(230,397):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(230,396):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(230,395):{'3_1':0.12},(230,394):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(230,393):{'3_1':0.09,'5_2':0.0},(230,392):{'3_1':0.09,'4_1':0.0},(230,391):{'3_1':0.06,'4_1':0.0},(230,390):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(230,389):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(230,388):{'3_1':0.15,'4_1':0.0,'8_21|3_1#4_1':0.0},(230,387):{'3_1':0.06,'4_1':0.0},(230,386):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(230,385):{'3_1':0.15,'4_1':0.0},(230,384):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(230,383):{'3_1':0.09,'5_2':0.0},(230,382):{'3_1':0.12,'4_1':0.0},(230,381):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(230,380):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(230,379):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'-3':0.0},(230,378):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(230,377):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(230,376):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(230,375):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(230,374):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(230,373):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(230,372):{'3_1':0.15},(230,371):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(230,370):{'3_1':0.15,'5_2':0.0},(230,369):{'3_1':0.06},(230,368):{'3_1':0.09},(230,367):{'3_1':0.12,'4_1':0.0},(230,366):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(230,365):{'3_1':0.09,'5_2':0.0},(230,364):{'3_1':0.09,'4_1':0.0},(230,363):{'3_1':0.03,'4_1':0.0},(230,362):{'3_1':0.09,'4_1':0.0},(230,361):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(230,360):{'3_1':0.03},(230,359):{'3_1':0.09,'5_1':0.0},(230,358):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(230,357):{'3_1':0.09,'4_1':0.0},(230,356):{'3_1':0.09,'4_1':0.0},(230,355):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(230,354):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(230,353):{'3_1':0.06,'4_1':0.0},(230,352):{'3_1':0.09,'5_2':0.0},(230,351):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(230,350):{'3_1':0.03},(230,349):{'3_1':0.0,'5_2':0.0},(230,348):{'3_1':0.03,'5_2':0.0},(230,347):{'3_1':0.03,'4_1':0.0},(230,346):{'3_1':0.0},(230,345):{'3_1':0.0,'4_1':0.0},(230,344):{'4_1':0.03,'3_1':0.0},(230,343):{'3_1':0.0},(230,342):{'3_1':0.0,'4_1':0.0},(230,341):{'3_1':0.0,'4_1':0.0},(230,340):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(230,339):{'3_1':0.03,'4_1':0.03},(230,338):{'3_1':0.0,'4_1':0.0},(230,337):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(230,336):{'3_1':0.03},(230,335):{'4_1':0.0},(230,334):{'3_1':0.03},(230,333):{'3_1':0.03,'5_1':0.0},(230,332):{'3_1':0.0},(230,331):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(230,330):{'3_1':0.06},(230,329):{'3_1':0.03,'4_1':0.0},(230,328):{'3_1':0.0,'6_3':0.0},(230,327):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(230,325):{'3_1':0.0},(230,324):{'3_1':0.03},(230,323):{'3_1':0.0,'4_1':0.0},(230,320):{'3_1':0.0},(230,319):{'3_1':0.03},(230,318):{'3_1':0.0,'4_1':0.0},(230,317):{'3_1':0.0},(230,316):{'3_1':0.0},(230,315):{'3_1':0.0},(230,314):{'3_1':0.0},(230,313):{'3_1':0.0},(230,312):{'3_1':0.0},(230,311):{'3_1':0.0},(230,309):{'5_2':0.0,'3_1':0.0},(230,308):{'3_1':0.0},(230,307):{'3_1':0.0},(230,306):{'3_1':0.03},(230,304):{'3_1':0.0},(230,303):{'3_1':0.0,'4_1':0.0},(230,302):{'3_1':0.0},(230,301):{'3_1':0.0},(230,300):{'3_1':0.0},(230,299):{'3_1':0.03},(230,298):{'3_1':0.0},(230,297):{'3_1':0.0},(230,296):{'3_1':0.0},(230,295):{'3_1':0.0},(230,294):{'3_1':0.03},(230,293):{'3_1':0.03},(230,292):{'3_1':0.0},(230,291):{'3_1':0.0},(230,290):{'3_1':0.03},(230,289):{'3_1':0.0},(230,288):{'3_1':0.0},(230,286):{'3_1':0.0,'5_2':0.0},(230,285):{'3_1':0.0},(230,284):{'3_1':0.0},(230,282):{'3_1':0.0,'4_1':0.0},(230,281):{'4_1':0.0},(230,279):{'3_1':0.0},(230,276):{'3_1':0.0},(230,275):{'3_1':0.0},(231,459):{'3_1':0.03},(231,458):{'3_1':0.03},(231,457):{'3_1':0.06},(231,456):{'3_1':0.06},(231,455):{'3_1':0.06},(231,454):{'3_1':0.06},(231,453):{'3_1':0.03},(231,452):{'3_1':0.06},(231,451):{'3_1':0.06},(231,450):{'3_1':0.03,'4_1':0.0},(231,449):{'3_1':0.09},(231,448):{'3_1':0.03},(231,447):{'3_1':0.03},(231,446):{'3_1':0.03,'4_1':0.0},(231,445):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(231,444):{'3_1':0.06},(231,443):{'3_1':0.03},(231,442):{'3_1':0.06},(231,441):{'3_1':0.03,'4_1':0.0},(231,440):{'3_1':0.09,'4_1':0.0},(231,439):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(231,438):{'3_1':0.03,'4_1':0.0},(231,437):{'3_1':0.03,'4_1':0.0},(231,436):{'3_1':0.03,'4_1':0.0},(231,435):{'3_1':0.06},(231,434):{'3_1':0.03,'4_1':0.0},(231,433):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(231,432):{'3_1':0.03,'4_1':0.0},(231,431):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(231,430):{'3_1':0.03,'4_1':0.0},(231,429):{'3_1':0.06,'4_1':0.0},(231,428):{'3_1':0.06,'4_1':0.0},(231,427):{'3_1':0.06,'4_1':0.0},(231,426):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(231,425):{'3_1':0.06},(231,424):{'3_1':0.09,'4_1':0.0},(231,423):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(231,422):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(231,421):{'3_1':0.09,'6_1':0.0,'4_1':0.0,'7_6':0.0},(231,420):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(231,419):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'-3':0.0},(231,418):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(231,417):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(231,416):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(231,415):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(231,414):{'3_1':0.03,'4_1':0.0},(231,413):{'3_1':0.06,'4_1':0.0},(231,412):{'3_1':0.12,'4_1':0.0},(231,411):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(231,410):{'3_1':0.03},(231,409):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(231,408):{'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(231,407):{'3_1':0.03,'4_1':0.0},(231,406):{'3_1':0.06},(231,405):{'3_1':0.09},(231,404):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(231,403):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(231,402):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(231,401):{'3_1':0.09,'5_2':0.0},(231,400):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(231,399):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(231,398):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(231,397):{'3_1':0.12,'4_1':0.0},(231,396):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(231,395):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(231,394):{'3_1':0.12,'4_1':0.0},(231,393):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(231,392):{'3_1':0.12,'4_1':0.0},(231,391):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(231,390):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(231,389):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(231,388):{'3_1':0.12,'4_1':0.0},(231,387):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(231,386):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(231,385):{'3_1':0.12,'5_1':0.0},(231,384):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(231,383):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(231,382):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(231,381):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(231,380):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(231,379):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(231,378):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0},(231,377):{'3_1':0.09,'5_2':0.0},(231,376):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(231,375):{'3_1':0.12,'5_2':0.0},(231,374):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(231,373):{'3_1':0.12,'5_1':0.0,'6_3':0.0},(231,372):{'3_1':0.12,'4_1':0.0},(231,371):{'3_1':0.09},(231,370):{'3_1':0.09,'5_2':0.0},(231,369):{'3_1':0.09,'4_1':0.0},(231,368):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(231,367):{'3_1':0.06},(231,366):{'3_1':0.09,'6_3':0.0},(231,365):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(231,364):{'3_1':0.09,'5_2':0.0},(231,363):{'3_1':0.06},(231,362):{'3_1':0.09},(231,361):{'3_1':0.09,'5_2':0.0},(231,360):{'3_1':0.06,'5_2':0.0},(231,359):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(231,358):{'3_1':0.09},(231,357):{'3_1':0.09},(231,356):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(231,355):{'3_1':0.09},(231,354):{'3_1':0.06},(231,353):{'3_1':0.06,'4_1':0.0},(231,352):{'3_1':0.12},(231,351):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(231,350):{'3_1':0.09,'4_1':0.0},(231,349):{'3_1':0.03,'4_1':0.0},(231,348):{'3_1':0.06,'4_1':0.0},(231,347):{'3_1':0.06},(231,346):{'3_1':0.06},(231,345):{'3_1':0.03},(231,344):{'3_1':0.03,'4_1':0.0},(231,343):{'3_1':0.0},(231,342):{'3_1':0.06,'4_1':0.0},(231,341):{'3_1':0.0},(231,340):{'3_1':0.0,'4_1':0.0},(231,339):{'3_1':0.03,'4_1':0.0},(231,338):{'3_1':0.0,'4_1':0.0},(231,337):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(231,336):{'3_1':0.0,'4_1':0.0},(231,335):{'3_1':0.06},(231,334):{'3_1':0.03,'4_1':0.0},(231,333):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(231,332):{'3_1':0.03,'4_1':0.0},(231,331):{'3_1':0.0,'4_1':0.0},(231,330):{'3_1':0.0,'4_1':0.0},(231,329):{'3_1':0.06,'6_3':0.0},(231,328):{'3_1':0.0,'4_1':0.0},(231,326):{'4_1':0.0},(231,325):{'3_1':0.0},(231,324):{'3_1':0.03},(231,323):{'3_1':0.0},(231,321):{'3_1':0.0},(231,320):{'3_1':0.0},(231,319):{'3_1':0.0},(231,318):{'3_1':0.03,'4_1':0.0},(231,317):{'3_1':0.0},(231,316):{'3_1':0.03},(231,315):{'3_1':0.0},(231,314):{'3_1':0.0},(231,313):{'3_1':0.0},(231,312):{'3_1':0.0,'5_2':0.0},(231,311):{'3_1':0.0},(231,310):{'3_1':0.0},(231,309):{'3_1':0.0},(231,308):{'3_1':0.0},(231,306):{'3_1':0.03},(231,305):{'3_1':0.0},(231,304):{'3_1':0.03},(231,303):{'3_1':0.0,'4_1':0.0},(231,302):{'3_1':0.0},(231,300):{'3_1':0.0},(231,299):{'3_1':0.0},(231,298):{'3_1':0.03},(231,297):{'3_1':0.0},(231,296):{'3_1':0.0,'4_1':0.0},(231,295):{'3_1':0.0},(231,294):{'3_1':0.0},(231,293):{'3_1':0.0},(231,292):{'3_1':0.0},(231,291):{'3_1':0.0},(231,290):{'3_1':0.0},(231,289):{'3_1':0.0},(231,288):{'3_1':0.0},(231,287):{'3_1':0.0},(231,284):{'3_1':0.0},(231,281):{'3_1':0.0,'4_1':0.0},(231,279):{'3_1':0.0},(231,276):{'3_1':0.0},(231,275):{'3_1':0.0},(232,459):{'3_1':0.03},(232,458):{'3_1':0.03},(232,457):{'3_1':0.03},(232,456):{'3_1':0.03},(232,455):{'3_1':0.03},(232,454):{'3_1':0.03},(232,453):{'3_1':0.03},(232,452):{'3_1':0.06},(232,451):{'3_1':0.03},(232,450):{'3_1':0.03},(232,448):{'3_1':0.03,'6_1':0.0},(232,447):{'3_1':0.03},(232,446):{'3_1':0.03,'4_1':0.0},(232,445):{'3_1':0.03},(232,444):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(232,443):{'3_1':0.03},(232,442):{'3_1':0.03},(232,441):{'3_1':0.06},(232,440):{'3_1':0.03,'6_1':0.0},(232,439):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(232,438):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(232,437):{'3_1':0.03},(232,436):{'3_1':0.03,'4_1':0.0},(232,435):{'3_1':0.06},(232,434):{'3_1':0.03},(232,433):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(232,432):{'3_1':0.0,'4_1':0.0},(232,431):{'3_1':0.06,'4_1':0.0},(232,430):{'3_1':0.0},(232,429):{'4_1':0.03,'3_1':0.0},(232,428):{'3_1':0.03,'4_1':0.0},(232,427):{'3_1':0.0,'4_1':0.0},(232,426):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(232,425):{'3_1':0.03,'4_1':0.0},(232,424):{'3_1':0.03,'4_1':0.0},(232,423):{'3_1':0.03},(232,422):{'3_1':0.06,'4_1':0.0},(232,421):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(232,420):{'3_1':0.06,'4_1':0.03},(232,419):{'3_1':0.06},(232,418):{'3_1':0.03,'6_1':0.0,'4_1':0.0,'7_6':0.0},(232,417):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(232,416):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(232,415):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(232,414):{'3_1':0.03,'4_1':0.03},(232,413):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(232,412):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(232,411):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(232,410):{'3_1':0.03,'4_1':0.0},(232,409):{'3_1':0.09,'4_1':0.03},(232,408):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(232,407):{'3_1':0.03,'4_1':0.0},(232,406):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(232,405):{'3_1':0.12},(232,404):{'3_1':0.06,'4_1':0.0},(232,403):{'3_1':0.06},(232,402):{'3_1':0.09},(232,401):{'3_1':0.03},(232,400):{'3_1':0.06,'4_1':0.0},(232,399):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(232,398):{'3_1':0.0},(232,397):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(232,396):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(232,395):{'3_1':0.03,'4_1':0.0},(232,394):{'3_1':0.03,'5_2':0.0},(232,393):{'3_1':0.06},(232,392):{'3_1':0.09,'5_2':0.0},(232,391):{'3_1':0.06},(232,390):{'3_1':0.12,'4_1':0.0},(232,389):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(232,388):{'3_1':0.03,'6_3':0.0},(232,387):{'3_1':0.06,'5_2':0.0},(232,386):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(232,385):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(232,384):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(232,383):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(232,382):{'3_1':0.09,'4_1':0.0},(232,381):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(232,380):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(232,379):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(232,378):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'6_3':0.0},(232,377):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(232,376):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(232,375):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(232,374):{'3_1':0.09},(232,373):{'3_1':0.09,'4_1':0.0},(232,372):{'3_1':0.12},(232,371):{'3_1':0.03},(232,370):{'3_1':0.09},(232,369):{'3_1':0.06},(232,368):{'3_1':0.18},(232,367):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(232,366):{'3_1':0.03,'4_1':0.0},(232,365):{'3_1':0.06},(232,364):{'3_1':0.03},(232,363):{'3_1':0.06},(232,362):{'3_1':0.03,'5_1':0.0},(232,361):{'3_1':0.03},(232,360):{'3_1':0.12,'5_2':0.0},(232,359):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(232,358):{'3_1':0.06},(232,357):{'3_1':0.06,'4_1':0.0},(232,356):{'3_1':0.06,'4_1':0.0},(232,355):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(232,354):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(232,353):{'3_1':0.12},(232,352):{'3_1':0.06,'5_2':0.0},(232,351):{'3_1':0.03,'5_2':0.0},(232,350):{'3_1':0.03,'5_2':0.0},(232,349):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(232,348):{'3_1':0.03},(232,347):{'3_1':0.03},(232,346):{'3_1':0.0,'4_1':0.0},(232,344):{'3_1':0.0},(232,343):{'3_1':0.0},(232,342):{'3_1':0.03,'4_1':0.0},(232,341):{'3_1':0.0},(232,340):{'3_1':0.03,'4_1':0.0},(232,339):{'3_1':0.03,'4_1':0.0},(232,338):{'3_1':0.03,'4_1':0.0},(232,337):{'3_1':0.03},(232,336):{'3_1':0.0,'4_1':0.0},(232,335):{'3_1':0.06},(232,334):{'3_1':0.03},(232,333):{'3_1':0.03,'5_1':0.0},(232,332):{'3_1':0.03,'4_1':0.0},(232,331):{'3_1':0.03,'4_1':0.0},(232,330):{'3_1':0.0,'5_2':0.0},(232,329):{'3_1':0.0},(232,328):{'3_1':0.0,'4_1':0.0},(232,327):{'3_1':0.03},(232,326):{'3_1':0.0},(232,325):{'3_1':0.0},(232,324):{'3_1':0.0},(232,322):{'3_1':0.0,'4_1':0.0},(232,321):{'3_1':0.0},(232,320):{'3_1':0.0},(232,319):{'5_2':0.0},(232,318):{'3_1':0.0,'4_1':0.0},(232,317):{'3_1':0.0},(232,316):{'3_1':0.03,'4_1':0.0},(232,315):{'3_1':0.0},(232,314):{'3_1':0.0,'5_2':0.0},(232,313):{'3_1':0.0},(232,311):{'3_1':0.0},(232,310):{'3_1':0.0},(232,307):{'3_1':0.0},(232,306):{'3_1':0.0},(232,305):{'3_1':0.0},(232,304):{'3_1':0.03},(232,303):{'3_1':0.0},(232,302):{'3_1':0.0},(232,300):{'3_1':0.0},(232,299):{'3_1':0.0},(232,298):{'3_1':0.0},(232,297):{'3_1':0.0},(232,295):{'3_1':0.03},(232,294):{'4_1':0.0},(232,293):{'3_1':0.0,'4_1':0.0},(232,292):{'3_1':0.0},(232,291):{'3_1':0.0},(232,289):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(232,287):{'3_1':0.0,'4_1':0.0},(232,283):{'3_1':0.0,'4_1':0.0},(232,281):{'4_1':0.0},(232,280):{'4_1':0.0},(232,279):{'3_1':0.0},(232,277):{'3_1':0.0},(232,276):{'3_1':0.0},(232,275):{'3_1':0.0},(232,273):{'3_1':0.0},(232,272):{'3_1':0.0},(232,256):{'3_1':0.0},(232,253):{'3_1':0.0},(232,250):{'3_1':0.0},(233,459):{'3_1':0.03,'4_1':0.0},(233,458):{'3_1':0.0},(233,457):{'3_1':0.0},(233,456):{'3_1':0.0,'4_1':0.0},(233,455):{'3_1':0.0},(233,454):{'3_1':0.0},(233,453):{'3_1':0.03},(233,452):{'3_1':0.0,'4_1':0.0},(233,451):{'3_1':0.0},(233,450):{'3_1':0.0},(233,449):{'3_1':0.03},(233,448):{'3_1':0.0,'4_1':0.0},(233,447):{'3_1':0.03},(233,446):{'3_1':0.03},(233,445):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(233,444):{'3_1':0.06},(233,443):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(233,442):{'3_1':0.03},(233,441):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(233,440):{'3_1':0.0,'4_1':0.0},(233,439):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(233,438):{'3_1':0.0,'4_1':0.0},(233,437):{'3_1':0.03,'4_1':0.0},(233,436):{'3_1':0.0,'4_1':0.0},(233,435):{'3_1':0.03,'4_1':0.0},(233,434):{'3_1':0.0,'4_1':0.0},(233,433):{'3_1':0.03,'4_1':0.0},(233,432):{'3_1':0.0,'4_1':0.0},(233,431):{'3_1':0.0,'4_1':0.0},(233,430):{'3_1':0.0},(233,429):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(233,428):{'3_1':0.06,'4_1':0.0},(233,427):{'3_1':0.03,'4_1':0.0},(233,426):{'3_1':0.06,'4_1':0.0},(233,425):{'3_1':0.06},(233,424):{'3_1':0.06,'4_1':0.0},(233,423):{'3_1':0.03,'4_1':0.0},(233,422):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(233,421):{'3_1':0.03,'4_1':0.0},(233,420):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(233,419):{'3_1':0.03,'4_1':0.0},(233,418):{'3_1':0.03,'5_2':0.0},(233,417):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(233,416):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(233,415):{'3_1':0.03},(233,414):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(233,413):{'3_1':0.06,'4_1':0.0},(233,412):{'3_1':0.06,'4_1':0.0},(233,411):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(233,410):{'3_1':0.06,'4_1':0.0},(233,409):{'3_1':0.06,'6_1':0.0,'6_2':0.0,'6_3':0.0},(233,408):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(233,407):{'3_1':0.03,'5_2':0.0},(233,406):{'3_1':0.06},(233,405):{'3_1':0.0,'4_1':0.0},(233,404):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(233,403):{'3_1':0.03,'4_1':0.0},(233,402):{'3_1':0.06,'4_1':0.0},(233,401):{'3_1':0.06},(233,400):{'3_1':0.03,'5_1':0.0},(233,399):{'3_1':0.03},(233,398):{'3_1':0.06,'4_1':0.0},(233,397):{'3_1':0.03,'4_1':0.0},(233,396):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(233,395):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(233,394):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_7':0.0},(233,393):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(233,392):{'3_1':0.12},(233,391):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(233,390):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(233,389):{'3_1':0.06,'4_1':0.0},(233,388):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(233,387):{'3_1':0.03,'4_1':0.0},(233,386):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(233,385):{'3_1':0.09,'5_2':0.0},(233,384):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(233,383):{'3_1':0.12,'5_2':0.0},(233,382):{'3_1':0.09,'5_2':0.0,'7_3':0.0},(233,381):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(233,380):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(233,379):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(233,378):{'3_1':0.06,'5_2':0.0},(233,377):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(233,376):{'3_1':0.06,'5_2':0.0},(233,375):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'7_7':0.0},(233,374):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(233,373):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(233,372):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(233,371):{'3_1':0.06,'4_1':0.0},(233,370):{'3_1':0.06,'4_1':0.0},(233,369):{'3_1':0.06,'4_1':0.0},(233,368):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(233,367):{'3_1':0.12},(233,366):{'3_1':0.03,'5_2':0.0},(233,365):{'3_1':0.06,'4_1':0.0},(233,364):{'3_1':0.12},(233,363):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(233,362):{'3_1':0.0},(233,361):{'3_1':0.06,'4_1':0.0},(233,360):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(233,359):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(233,358):{'3_1':0.0,'4_1':0.0},(233,357):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(233,356):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(233,355):{'3_1':0.06,'4_1':0.0},(233,354):{'3_1':0.03,'5_2':0.0},(233,353):{'3_1':0.03,'4_1':0.0},(233,352):{'3_1':0.06,'4_1':0.0},(233,351):{'3_1':0.06,'7_2':0.0,'7_3':0.0},(233,350):{'3_1':0.06},(233,349):{'3_1':0.03},(233,348):{'3_1':0.0,'4_1':0.0},(233,347):{'3_1':0.03},(233,346):{'3_1':0.0},(233,345):{'3_1':0.0},(233,344):{'3_1':0.0},(233,343):{'3_1':0.03},(233,342):{'3_1':0.0,'4_1':0.0},(233,341):{'3_1':0.03,'4_1':0.0},(233,340):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(233,339):{'3_1':0.03,'4_1':0.0},(233,338):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(233,337):{'3_1':0.03,'4_1':0.0},(233,336):{'3_1':0.0,'4_1':0.0},(233,335):{'3_1':0.03,'4_1':0.0},(233,334):{'3_1':0.03,'4_1':0.0},(233,333):{'3_1':0.03},(233,332):{'3_1':0.03},(233,331):{'3_1':0.06},(233,330):{'3_1':0.03,'4_1':0.0},(233,329):{'3_1':0.0},(233,328):{'3_1':0.0},(233,327):{'3_1':0.0,'4_1':0.0},(233,326):{'3_1':0.0},(233,325):{'4_1':0.0},(233,324):{'4_1':0.0,'3_1':0.0},(233,323):{'3_1':0.03},(233,322):{'3_1':0.0},(233,321):{'3_1':0.0},(233,320):{'3_1':0.0,'4_1':0.0},(233,319):{'3_1':0.0},(233,318):{'3_1':0.0},(233,317):{'3_1':0.0},(233,316):{'3_1':0.0,'5_2':0.0},(233,315):{'3_1':0.0},(233,314):{'3_1':0.0},(233,313):{'3_1':0.03},(233,312):{'3_1':0.03},(233,311):{'3_1':0.0},(233,310):{'3_1':0.0,'5_2':0.0},(233,308):{'3_1':0.0},(233,307):{'3_1':0.0},(233,306):{'3_1':0.0},(233,305):{'3_1':0.0,'4_1':0.0},(233,304):{'3_1':0.0},(233,303):{'3_1':0.0,'4_1':0.0},(233,302):{'3_1':0.0},(233,301):{'3_1':0.0},(233,300):{'3_1':0.03},(233,299):{'3_1':0.03},(233,298):{'3_1':0.03,'4_1':0.0},(233,297):{'3_1':0.0},(233,296):{'3_1':0.0},(233,294):{'3_1':0.0},(233,293):{'3_1':0.03},(233,292):{'3_1':0.0},(233,291):{'3_1':0.03},(233,290):{'3_1':0.0},(233,289):{'4_1':0.0},(233,288):{'3_1':0.0},(233,287):{'3_1':0.0},(233,286):{'3_1':0.0},(233,285):{'3_1':0.0},(233,283):{'3_1':0.0},(233,281):{'3_1':0.0,'4_1':0.0},(233,280):{'4_1':0.0},(233,279):{'3_1':0.0},(233,278):{'3_1':0.0},(233,277):{'3_1':0.0},(233,276):{'3_1':0.0},(233,275):{'3_1':0.0},(233,274):{'3_1':0.0},(233,273):{'3_1':0.0},(233,272):{'3_1':0.0},(233,271):{'3_1':0.0},(233,270):{'3_1':0.0},(233,257):{'3_1':0.0},(233,254):{'3_1':0.0},(233,251):{'4_1':0.0},(233,250):{'3_1':0.0},(233,249):{'3_1':0.0},(233,248):{'3_1':0.0},(233,246):{'3_1':0.0},(233,243):{'3_1':0.0},(234,459):{'3_1':0.0},(234,458):{'3_1':0.06,'5_2':0.0},(234,457):{'3_1':0.0},(234,456):{'3_1':0.06,'5_1':0.0},(234,455):{'3_1':0.03},(234,454):{'3_1':0.03},(234,453):{'3_1':0.06},(234,452):{'3_1':0.03},(234,451):{'3_1':0.03},(234,450):{'3_1':0.06},(234,449):{'3_1':0.03},(234,448):{'3_1':0.03},(234,447):{'3_1':0.03},(234,446):{'3_1':0.06},(234,445):{'3_1':0.03,'6_1':0.0},(234,444):{'3_1':0.06},(234,443):{'3_1':0.09,'4_1':0.0},(234,442):{'3_1':0.03,'6_2':0.0},(234,441):{'3_1':0.03},(234,440):{'3_1':0.06,'4_1':0.0},(234,439):{'3_1':0.0,'4_1':0.0},(234,438):{'3_1':0.0,'4_1':0.0},(234,437):{'3_1':0.03,'4_1':0.0},(234,436):{'3_1':0.06},(234,435):{'3_1':0.0,'4_1':0.0},(234,434):{'3_1':0.09},(234,433):{'3_1':0.03,'4_1':0.0},(234,432):{'3_1':0.03,'4_1':0.0},(234,431):{'3_1':0.03,'4_1':0.0},(234,430):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(234,429):{'3_1':0.0,'4_1':0.0},(234,428):{'3_1':0.09},(234,427):{'3_1':0.06,'4_1':0.0},(234,426):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(234,425):{'3_1':0.06,'5_2':0.0},(234,424):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(234,423):{'3_1':0.06,'4_1':0.0},(234,422):{'3_1':0.06,'4_1':0.0},(234,421):{'3_1':0.12,'4_1':0.0},(234,420):{'3_1':0.09,'4_1':0.0},(234,419):{'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0},(234,418):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(234,417):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(234,416):{'3_1':0.12},(234,415):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(234,414):{'3_1':0.03,'4_1':0.0},(234,413):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(234,412):{'3_1':0.06,'4_1':0.03},(234,411):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(234,410):{'3_1':0.06,'4_1':0.0},(234,409):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(234,408):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(234,407):{'3_1':0.06,'4_1':0.0},(234,406):{'3_1':0.03,'4_1':0.0},(234,405):{'3_1':0.09,'4_1':0.0},(234,404):{'3_1':0.06,'4_1':0.0},(234,403):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(234,402):{'3_1':0.03,'4_1':0.0},(234,401):{'3_1':0.0},(234,400):{'3_1':0.06,'5_2':0.0},(234,399):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(234,398):{'3_1':0.06,'5_2':0.0},(234,397):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(234,396):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(234,395):{'3_1':0.06},(234,394):{'3_1':0.09},(234,393):{'3_1':0.09},(234,392):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(234,391):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(234,390):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'7_4':0.0},(234,389):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(234,388):{'3_1':0.06,'4_1':0.0},(234,387):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_6':0.0},(234,386):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_6':0.0},(234,385):{'3_1':0.09,'5_2':0.0},(234,384):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_7':0.0},(234,383):{'3_1':0.15,'5_2':0.0},(234,382):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(234,381):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0},(234,380):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(234,379):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_4':0.0},(234,378):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(234,377):{'3_1':0.09,'7_3':0.0},(234,376):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(234,375):{'3_1':0.12,'5_2':0.0},(234,374):{'3_1':0.09,'4_1':0.0},(234,373):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(234,372):{'3_1':0.06,'4_1':0.0},(234,371):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(234,370):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(234,369):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(234,368):{'3_1':0.09,'4_1':0.0},(234,367):{'3_1':0.0},(234,366):{'3_1':0.09,'4_1':0.0},(234,365):{'3_1':0.09,'5_2':0.0},(234,364):{'3_1':0.06,'4_1':0.0},(234,363):{'3_1':0.06,'5_1':0.0},(234,362):{'3_1':0.06,'4_1':0.0},(234,361):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(234,360):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(234,359):{'3_1':0.06,'4_1':0.0},(234,358):{'3_1':0.03,'5_2':0.0},(234,357):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(234,356):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(234,355):{'3_1':0.06},(234,354):{'3_1':0.09,'5_2':0.0},(234,353):{'3_1':0.09},(234,352):{'3_1':0.09},(234,351):{'3_1':0.06},(234,350):{'3_1':0.03,'7_2':0.0},(234,349):{'3_1':0.0},(234,348):{'3_1':0.0,'4_1':0.0},(234,347):{'3_1':0.03},(234,346):{'3_1':0.0},(234,345):{'3_1':0.03},(234,344):{'3_1':0.0},(234,343):{'3_1':0.03},(234,342):{'3_1':0.0},(234,341):{'3_1':0.0},(234,340):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(234,339):{'3_1':0.03},(234,338):{'3_1':0.03,'4_1':0.0},(234,337):{'3_1':0.0},(234,336):{'3_1':0.0,'4_1':0.0},(234,335):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(234,334):{'3_1':0.0,'4_1':0.0},(234,333):{'3_1':0.0},(234,332):{'3_1':0.06,'5_2':0.0},(234,331):{'3_1':0.03},(234,330):{'3_1':0.0},(234,329):{'3_1':0.03,'5_1':0.0},(234,328):{'3_1':0.03,'4_1':0.0},(234,327):{'3_1':0.0},(234,326):{'3_1':0.0},(234,324):{'3_1':0.03},(234,323):{'3_1':0.0},(234,322):{'3_1':0.0},(234,321):{'3_1':0.0},(234,319):{'3_1':0.06},(234,318):{'3_1':0.0},(234,317):{'3_1':0.0},(234,316):{'3_1':0.0,'4_1':0.0},(234,315):{'3_1':0.0},(234,314):{'3_1':0.0},(234,313):{'3_1':0.0,'5_1':0.0},(234,312):{'3_1':0.0},(234,310):{'3_1':0.0},(234,309):{'3_1':0.0},(234,307):{'3_1':0.0},(234,306):{'3_1':0.0,'4_1':0.0},(234,305):{'3_1':0.0,'4_1':0.0},(234,304):{'3_1':0.0},(234,303):{'3_1':0.0},(234,302):{'3_1':0.0},(234,301):{'3_1':0.0},(234,300):{'3_1':0.0},(234,299):{'3_1':0.0},(234,298):{'3_1':0.03},(234,297):{'3_1':0.0},(234,296):{'3_1':0.0},(234,295):{'3_1':0.0,'4_1':0.0},(234,294):{'3_1':0.0},(234,293):{'3_1':0.0},(234,291):{'3_1':0.0},(234,290):{'3_1':0.0},(234,289):{'3_1':0.0},(234,288):{'3_1':0.03,'5_2':0.0},(234,285):{'3_1':0.0},(234,282):{'3_1':0.03},(234,281):{'3_1':0.0},(234,280):{'3_1':0.0},(234,279):{'3_1':0.0},(234,278):{'3_1':0.0},(234,274):{'3_1':0.0},(234,273):{'3_1':0.0},(234,271):{'3_1':0.0},(234,257):{'3_1':0.0},(234,250):{'3_1':0.0},(234,248):{'3_1':0.0},(234,246):{'3_1':0.0},(234,242):{'3_1':0.0},(235,459):{'3_1':0.03},(235,458):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(235,457):{'3_1':0.09},(235,456):{'3_1':0.09},(235,455):{'3_1':0.09,'4_1':0.0},(235,454):{'3_1':0.06},(235,453):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(235,452):{'3_1':0.09},(235,451):{'3_1':0.0},(235,450):{'3_1':0.06},(235,449):{'3_1':0.06,'5_2':0.0},(235,448):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(235,447):{'3_1':0.06,'4_1':0.0},(235,446):{'3_1':0.06},(235,445):{'3_1':0.03},(235,444):{'3_1':0.09,'4_1':0.0},(235,443):{'3_1':0.12,'4_1':0.0},(235,442):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(235,441):{'3_1':0.06,'4_1':0.0},(235,440):{'3_1':0.06,'4_1':0.0},(235,439):{'3_1':0.03,'4_1':0.0},(235,438):{'3_1':0.0},(235,437):{'3_1':0.03,'4_1':0.0},(235,436):{'3_1':0.03},(235,435):{'3_1':0.09,'4_1':0.0},(235,434):{'3_1':0.03},(235,433):{'3_1':0.06,'5_2':0.0},(235,432):{'3_1':0.03,'5_2':0.0},(235,431):{'3_1':0.03,'4_1':0.0},(235,430):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(235,429):{'3_1':0.03,'4_1':0.0},(235,428):{'3_1':0.06,'4_1':0.0},(235,427):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(235,426):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(235,425):{'3_1':0.03},(235,424):{'3_1':0.06,'4_1':0.0},(235,423):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(235,422):{'3_1':0.03},(235,421):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_6':0.0},(235,420):{'3_1':0.06,'4_1':0.0},(235,419):{'3_1':0.09,'4_1':0.03,'-3':0.0},(235,418):{'3_1':0.09,'4_1':0.0},(235,417):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(235,416):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(235,415):{'3_1':0.06,'4_1':0.0},(235,414):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(235,413):{'3_1':0.06,'4_1':0.03},(235,412):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(235,411):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(235,410):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(235,409):{'3_1':0.03,'6_1':0.0,'6_2':0.0},(235,408):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(235,407):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(235,406):{'3_1':0.09,'4_1':0.0},(235,405):{'3_1':0.06,'4_1':0.0},(235,404):{'3_1':0.09,'4_1':0.0},(235,403):{'3_1':0.06,'4_1':0.0},(235,402):{'3_1':0.06,'4_1':0.0},(235,401):{'3_1':0.06,'4_1':0.0},(235,400):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(235,399):{'3_1':0.15},(235,398):{'3_1':0.06,'5_2':0.0},(235,397):{'3_1':0.03,'4_1':0.0},(235,396):{'3_1':0.03},(235,395):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(235,394):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(235,393):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(235,392):{'3_1':0.12,'5_2':0.0},(235,391):{'3_1':0.12,'6_2':0.0},(235,390):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_6':0.0},(235,389):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(235,388):{'3_1':0.03,'4_1':0.0},(235,387):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(235,386):{'3_1':0.09,'5_2':0.0,'7_6':0.0},(235,385):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(235,384):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(235,383):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(235,382):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(235,381):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(235,380):{'3_1':0.09,'5_2':0.03},(235,379):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(235,378):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(235,377):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_3':0.0},(235,376):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(235,375):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(235,374):{'3_1':0.15,'4_1':0.03},(235,373):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(235,372):{'3_1':0.15,'4_1':0.0},(235,371):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(235,370):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(235,369):{'3_1':0.09,'4_1':0.0},(235,368):{'3_1':0.12},(235,367):{'3_1':0.12,'5_2':0.0},(235,366):{'3_1':0.09,'4_1':0.0},(235,365):{'3_1':0.06,'4_1':0.0},(235,364):{'3_1':0.06},(235,363):{'3_1':0.09,'5_2':0.0},(235,362):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(235,361):{'3_1':0.09,'5_1':0.0},(235,360):{'3_1':0.09},(235,359):{'3_1':0.09,'5_1':0.0},(235,358):{'3_1':0.09,'4_1':0.0},(235,357):{'3_1':0.06},(235,356):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(235,355):{'3_1':0.03},(235,354):{'3_1':0.12,'4_1':0.0},(235,353):{'3_1':0.09},(235,352):{'3_1':0.09},(235,351):{'3_1':0.09,'5_1':0.0},(235,350):{'3_1':0.03,'4_1':0.0},(235,349):{'3_1':0.06,'4_1':0.0},(235,348):{'3_1':0.03},(235,347):{'3_1':0.03,'4_1':0.0},(235,346):{'3_1':0.0},(235,345):{'3_1':0.03,'4_1':0.0},(235,344):{'4_1':0.0},(235,343):{'3_1':0.03},(235,342):{'3_1':0.06},(235,341):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(235,340):{'3_1':0.0,'5_2':0.0},(235,339):{'4_1':0.0},(235,338):{'3_1':0.03,'6_3':0.0},(235,337):{'3_1':0.03,'4_1':0.0},(235,336):{'3_1':0.03,'4_1':0.0},(235,335):{'3_1':0.0},(235,334):{'3_1':0.03},(235,333):{'3_1':0.0,'4_1':0.0},(235,332):{'3_1':0.0},(235,331):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(235,330):{'3_1':0.06,'4_1':0.0},(235,329):{'3_1':0.0},(235,328):{'3_1':0.0,'6_3':0.0},(235,327):{'3_1':0.0},(235,326):{'3_1':0.0},(235,325):{'5_2':0.0},(235,322):{'3_1':0.0},(235,321):{'3_1':0.0,'4_1':0.0},(235,320):{'3_1':0.0},(235,319):{'3_1':0.03},(235,318):{'3_1':0.03},(235,317):{'3_1':0.03},(235,316):{'3_1':0.03},(235,315):{'3_1':0.03},(235,314):{'5_2':0.0},(235,313):{'3_1':0.0},(235,312):{'3_1':0.0},(235,311):{'3_1':0.0},(235,310):{'3_1':0.03},(235,309):{'3_1':0.0},(235,308):{'3_1':0.03},(235,306):{'3_1':0.0},(235,305):{'3_1':0.0},(235,304):{'3_1':0.0},(235,303):{'3_1':0.0},(235,302):{'3_1':0.0},(235,300):{'3_1':0.0},(235,299):{'3_1':0.0},(235,298):{'3_1':0.0},(235,296):{'3_1':0.0},(235,295):{'3_1':0.0},(235,294):{'3_1':0.0},(235,293):{'3_1':0.0},(235,292):{'3_1':0.0},(235,291):{'3_1':0.0},(235,290):{'3_1':0.0},(235,289):{'3_1':0.0},(235,288):{'3_1':0.03},(235,287):{'3_1':0.0},(235,285):{'3_1':0.0},(235,283):{'3_1':0.0,'4_1':0.0},(235,282):{'3_1':0.0},(235,281):{'3_1':0.0},(235,280):{'3_1':0.0},(235,279):{'3_1':0.0},(235,278):{'3_1':0.0},(235,276):{'3_1':0.0},(235,275):{'3_1':0.0},(235,274):{'3_1':0.0},(235,272):{'3_1':0.0},(235,271):{'3_1':0.0},(235,258):{'3_1':0.0},(235,254):{'3_1':0.0},(235,252):{'3_1':0.0},(235,251):{'3_1':0.0},(235,249):{'3_1':0.03},(235,248):{'3_1':0.0},(235,246):{'3_1':0.0},(235,244):{'3_1':0.0},(236,459):{'3_1':0.06},(236,458):{'3_1':0.09},(236,457):{'3_1':0.03},(236,456):{'3_1':0.06,'4_1':0.0},(236,455):{'3_1':0.06},(236,454):{'3_1':0.0},(236,453):{'3_1':0.03},(236,452):{'3_1':0.03},(236,451):{'3_1':0.03},(236,450):{'3_1':0.06},(236,449):{'3_1':0.09},(236,448):{'3_1':0.03,'4_1':0.0},(236,447):{'3_1':0.06,'5_2':0.0},(236,446):{'3_1':0.06},(236,445):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(236,444):{'3_1':0.06},(236,443):{'3_1':0.09,'4_1':0.0},(236,442):{'3_1':0.06},(236,441):{'3_1':0.03},(236,440):{'3_1':0.03,'6_1':0.0},(236,439):{'3_1':0.06,'6_1':0.0,'5_2':0.0},(236,438):{'3_1':0.09},(236,437):{'3_1':0.03,'4_1':0.0},(236,436):{'3_1':0.06},(236,435):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(236,434):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(236,433):{'3_1':0.06},(236,432):{'3_1':0.03,'4_1':0.0},(236,431):{'3_1':0.03},(236,430):{'3_1':0.06},(236,429):{'3_1':0.09,'4_1':0.0},(236,428):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(236,427):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(236,426):{'3_1':0.06,'4_1':0.0},(236,425):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(236,424):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(236,423):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(236,422):{'3_1':0.06,'4_1':0.03},(236,421):{'3_1':0.03,'4_1':0.03},(236,420):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(236,419):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(236,418):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(236,417):{'3_1':0.06,'4_1':0.03},(236,416):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(236,415):{'3_1':0.06,'5_2':0.0},(236,414):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(236,413):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(236,412):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(236,411):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(236,410):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(236,409):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(236,408):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(236,407):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(236,406):{'3_1':0.03,'5_2':0.0},(236,405):{'3_1':0.03},(236,404):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(236,403):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(236,402):{'3_1':0.09},(236,401):{'3_1':0.06,'5_2':0.0},(236,400):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(236,399):{'3_1':0.06,'4_1':0.0},(236,398):{'3_1':0.15},(236,397):{'3_1':0.15,'5_2':0.0},(236,396):{'3_1':0.06,'4_1':0.0},(236,395):{'3_1':0.09,'5_2':0.0},(236,394):{'3_1':0.09,'5_2':0.0},(236,393):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(236,392):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(236,391):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(236,390):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(236,389):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(236,388):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(236,387):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(236,386):{'3_1':0.09,'5_2':0.0},(236,385):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0},(236,384):{'3_1':0.18,'4_1':0.0,'7_2':0.0,'7_7':0.0},(236,383):{'3_1':0.09,'4_1':0.0},(236,382):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(236,381):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(236,380):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(236,379):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(236,378):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(236,377):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(236,376):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(236,375):{'3_1':0.15,'5_2':0.0},(236,374):{'3_1':0.12,'5_2':0.0},(236,373):{'3_1':0.12},(236,372):{'3_1':0.12,'5_2':0.0},(236,371):{'3_1':0.06,'4_1':0.0},(236,370):{'3_1':0.09,'4_1':0.0},(236,369):{'3_1':0.09},(236,368):{'3_1':0.09,'4_1':0.0},(236,367):{'3_1':0.15,'5_2':0.0},(236,366):{'3_1':0.09,'4_1':0.0},(236,365):{'3_1':0.15},(236,364):{'3_1':0.06,'4_1':0.0},(236,363):{'3_1':0.09,'4_1':0.0},(236,362):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(236,361):{'3_1':0.06},(236,360):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(236,359):{'3_1':0.09,'5_2':0.0},(236,358):{'3_1':0.09,'4_1':0.0},(236,357):{'3_1':0.06},(236,356):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(236,355):{'3_1':0.03,'4_1':0.0},(236,354):{'3_1':0.09,'4_1':0.0},(236,353):{'3_1':0.03,'5_2':0.0},(236,352):{'3_1':0.06},(236,351):{'3_1':0.0,'5_2':0.0,'7_5':0.0},(236,350):{'3_1':0.03},(236,349):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(236,348):{'3_1':0.0,'4_1':0.0},(236,347):{'3_1':0.0},(236,346):{'3_1':0.03,'4_1':0.0},(236,345):{'3_1':0.03},(236,344):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(236,343):{'3_1':0.03,'4_1':0.0},(236,342):{'3_1':0.0,'4_1':0.0},(236,340):{'3_1':0.03,'4_1':0.0},(236,339):{'3_1':0.0,'4_1':0.0},(236,338):{'3_1':0.03,'4_1':0.0},(236,337):{'4_1':0.0,'3_1':0.0},(236,336):{'3_1':0.03,'4_1':0.0},(236,335):{'3_1':0.06},(236,334):{'3_1':0.03},(236,333):{'3_1':0.03},(236,332):{'3_1':0.03},(236,331):{'3_1':0.03},(236,330):{'3_1':0.06,'4_1':0.0},(236,329):{'3_1':0.06},(236,328):{'3_1':0.0,'4_1':0.0},(236,327):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(236,326):{'3_1':0.0},(236,325):{'3_1':0.0},(236,324):{'5_2':0.0},(236,323):{'3_1':0.03},(236,322):{'3_1':0.0},(236,321):{'3_1':0.0,'4_1':0.0},(236,319):{'3_1':0.03},(236,318):{'3_1':0.03},(236,317):{'3_1':0.0},(236,316):{'3_1':0.03},(236,315):{'3_1':0.0},(236,314):{'3_1':0.03},(236,313):{'3_1':0.03},(236,312):{'3_1':0.03},(236,311):{'3_1':0.0},(236,310):{'3_1':0.03},(236,309):{'3_1':0.0},(236,308):{'3_1':0.0},(236,307):{'3_1':0.0,'5_2':0.0},(236,306):{'3_1':0.0},(236,305):{'3_1':0.0},(236,304):{'3_1':0.0},(236,303):{'3_1':0.0},(236,302):{'3_1':0.0},(236,301):{'3_1':0.0},(236,300):{'3_1':0.0},(236,299):{'3_1':0.0},(236,298):{'3_1':0.0},(236,297):{'3_1':0.0},(236,296):{'3_1':0.0,'4_1':0.0},(236,295):{'3_1':0.0,'5_2':0.0},(236,294):{'3_1':0.0},(236,293):{'3_1':0.03},(236,292):{'3_1':0.0},(236,291):{'3_1':0.0},(236,290):{'3_1':0.0},(236,289):{'3_1':0.0},(236,288):{'3_1':0.0},(236,287):{'3_1':0.0},(236,286):{'3_1':0.0},(236,285):{'3_1':0.0,'5_1':0.0},(236,282):{'3_1':0.0},(236,281):{'3_1':0.0},(236,280):{'3_1':0.0},(236,279):{'3_1':0.0},(236,274):{'3_1':0.0},(236,273):{'3_1':0.0},(236,272):{'3_1':0.0},(236,271):{'3_1':0.0},(236,257):{'3_1':0.0},(236,254):{'3_1':0.0},(236,253):{'3_1':0.0},(236,250):{'3_1':0.0},(236,249):{'3_1':0.0},(236,248):{'3_1':0.0},(236,246):{'3_1':0.0},(236,244):{'3_1':0.0},(237,459):{'3_1':0.09,'4_1':0.0},(237,458):{'3_1':0.09,'4_1':0.0},(237,457):{'3_1':0.06},(237,456):{'3_1':0.06,'4_1':0.0},(237,455):{'3_1':0.06},(237,454):{'3_1':0.06},(237,453):{'3_1':0.12},(237,452):{'3_1':0.03,'6_1':0.0},(237,451):{'3_1':0.03},(237,450):{'3_1':0.06},(237,449):{'3_1':0.03},(237,448):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(237,447):{'3_1':0.06},(237,446):{'3_1':0.06,'6_1':0.0},(237,445):{'3_1':0.06},(237,444):{'3_1':0.09},(237,443):{'3_1':0.03},(237,442):{'3_1':0.06,'6_1':0.0},(237,441):{'3_1':0.06,'4_1':0.0},(237,440):{'3_1':0.06},(237,439):{'3_1':0.06,'4_1':0.0},(237,438):{'3_1':0.06},(237,437):{'3_1':0.03},(237,436):{'3_1':0.06},(237,435):{'3_1':0.09},(237,434):{'3_1':0.03,'4_1':0.0},(237,433):{'3_1':0.06,'4_1':0.0},(237,432):{'3_1':0.03,'4_1':0.0},(237,431):{'3_1':0.15,'4_1':0.0},(237,430):{'3_1':0.06},(237,429):{'3_1':0.09,'6_1':0.0},(237,428):{'3_1':0.12,'4_1':0.0},(237,427):{'3_1':0.03,'4_1':0.0},(237,426):{'3_1':0.09},(237,425):{'3_1':0.06,'6_1':0.0,'6_3':0.0},(237,424):{'3_1':0.06,'4_1':0.0},(237,423):{'3_1':0.06,'4_1':0.0},(237,422):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(237,421):{'3_1':0.09,'4_1':0.03},(237,420):{'3_1':0.06,'4_1':0.0},(237,419):{'3_1':0.06,'5_2':0.0},(237,418):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(237,417):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_2':0.0},(237,416):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(237,415):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(237,414):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(237,413):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_2':0.0},(237,412):{'3_1':0.09,'4_1':0.0},(237,411):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(237,410):{'3_1':0.03,'5_2':0.0},(237,409):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(237,408):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(237,407):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(237,406):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(237,405):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(237,404):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(237,403):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(237,402):{'3_1':0.06},(237,401):{'3_1':0.09},(237,400):{'3_1':0.09,'4_1':0.0},(237,399):{'3_1':0.09,'5_2':0.0,'7_5':0.0},(237,398):{'3_1':0.12,'5_2':0.0},(237,397):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(237,396):{'3_1':0.12,'5_2':0.0},(237,395):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(237,394):{'3_1':0.12,'4_1':0.0,'7_5':0.0},(237,393):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(237,392):{'3_1':0.12,'4_1':0.0},(237,391):{'3_1':0.09,'5_2':0.0,'7_6':0.0},(237,390):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(237,389):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(237,388):{'3_1':0.09,'4_1':0.0},(237,387):{'3_1':0.06,'4_1':0.03},(237,386):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(237,385):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(237,384):{'3_1':0.15,'5_2':0.0,'6_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(237,383):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(237,382):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(237,381):{'3_1':0.21,'4_1':0.0},(237,380):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0},(237,379):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(237,378):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(237,377):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(237,376):{'3_1':0.12,'4_1':0.0},(237,375):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(237,374):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(237,373):{'3_1':0.09,'4_1':0.0},(237,372):{'3_1':0.09,'4_1':0.0},(237,371):{'3_1':0.06,'5_1':0.0},(237,370):{'3_1':0.03},(237,369):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(237,368):{'3_1':0.12},(237,367):{'3_1':0.12,'4_1':0.0},(237,366):{'3_1':0.12},(237,365):{'3_1':0.06},(237,364):{'3_1':0.06},(237,363):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(237,362):{'3_1':0.15,'5_2':0.0},(237,361):{'3_1':0.09,'7_3':0.0},(237,360):{'3_1':0.06,'4_1':0.0},(237,359):{'3_1':0.09,'4_1':0.0},(237,358):{'3_1':0.06,'5_2':0.0},(237,357):{'3_1':0.09,'5_2':0.0},(237,356):{'3_1':0.06},(237,355):{'3_1':0.12},(237,354):{'3_1':0.06},(237,353):{'3_1':0.09},(237,352):{'3_1':0.12,'5_1':0.0},(237,351):{'3_1':0.03},(237,350):{'3_1':0.03,'5_2':0.0},(237,349):{'3_1':0.06,'5_2':0.0},(237,348):{'3_1':0.03,'5_2':0.0},(237,347):{'3_1':0.0,'5_2':0.0},(237,346):{'3_1':0.0,'5_1':0.0},(237,345):{'3_1':0.0,'4_1':0.0},(237,344):{'3_1':0.0,'5_2':0.0},(237,343):{'3_1':0.0,'5_2':0.0},(237,342):{'3_1':0.0,'4_1':0.0},(237,341):{'3_1':0.03},(237,340):{'3_1':0.03},(237,339):{'3_1':0.03,'4_1':0.0},(237,338):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(237,337):{'3_1':0.06,'4_1':0.0},(237,336):{'3_1':0.03,'4_1':0.0},(237,335):{'3_1':0.06,'4_1':0.0},(237,334):{'3_1':0.06,'4_1':0.0},(237,333):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(237,332):{'3_1':0.03,'4_1':0.0},(237,331):{'3_1':0.03,'4_1':0.0},(237,330):{'3_1':0.0,'4_1':0.0},(237,329):{'3_1':0.0,'4_1':0.0},(237,328):{'3_1':0.0,'4_1':0.0},(237,327):{'3_1':0.06,'4_1':0.0},(237,326):{'3_1':0.0},(237,325):{'3_1':0.03,'4_1':0.0},(237,324):{'3_1':0.0},(237,323):{'4_1':0.0},(237,321):{'3_1':0.0},(237,320):{'3_1':0.0,'5_2':0.0},(237,319):{'3_1':0.0,'5_2':0.0},(237,318):{'3_1':0.0},(237,317):{'3_1':0.03},(237,315):{'3_1':0.03},(237,314):{'3_1':0.03,'5_2':0.0},(237,313):{'3_1':0.0},(237,311):{'3_1':0.03},(237,310):{'3_1':0.03},(237,309):{'3_1':0.0},(237,308):{'3_1':0.0},(237,307):{'3_1':0.0},(237,306):{'4_1':0.0},(237,305):{'4_1':0.0},(237,304):{'3_1':0.0},(237,303):{'3_1':0.0,'4_1':0.0},(237,302):{'3_1':0.0},(237,301):{'3_1':0.0},(237,300):{'3_1':0.0},(237,299):{'3_1':0.0},(237,297):{'3_1':0.0},(237,296):{'3_1':0.0},(237,295):{'3_1':0.0},(237,294):{'3_1':0.0},(237,293):{'3_1':0.0},(237,292):{'3_1':0.0},(237,291):{'3_1':0.03},(237,290):{'3_1':0.0},(237,289):{'3_1':0.03},(237,288):{'3_1':0.0},(237,286):{'3_1':0.0},(237,285):{'3_1':0.0},(237,282):{'3_1':0.0},(237,280):{'3_1':0.0},(237,279):{'3_1':0.0},(237,278):{'3_1':0.0},(237,277):{'3_1':0.0},(237,276):{'3_1':0.03},(237,275):{'3_1':0.0},(237,274):{'3_1':0.0},(237,273):{'3_1':0.0},(237,272):{'3_1':0.0},(237,256):{'3_1':0.0},(237,255):{'3_1':0.0},(237,253):{'3_1':0.0},(237,251):{'3_1':0.0},(237,250):{'3_1':0.0},(237,249):{'3_1':0.03},(237,245):{'3_1':0.0},(237,244):{'3_1':0.0},(237,242):{'3_1':0.0},(238,459):{'3_1':0.06},(238,458):{'3_1':0.06,'4_1':0.0},(238,457):{'3_1':0.15},(238,456):{'3_1':0.06},(238,455):{'3_1':0.12},(238,454):{'3_1':0.03},(238,453):{'3_1':0.09},(238,452):{'3_1':0.03},(238,451):{'3_1':0.03},(238,450):{'3_1':0.0},(238,449):{'3_1':0.0},(238,448):{'3_1':0.0,'6_2':0.0},(238,447):{'3_1':0.03,'4_1':0.0},(238,446):{'3_1':0.06},(238,445):{'3_1':0.03},(238,444):{'3_1':0.06},(238,443):{'3_1':0.06},(238,442):{'3_1':0.06,'4_1':0.0},(238,441):{'3_1':0.06,'4_1':0.0},(238,440):{'3_1':0.0,'6_1':0.0},(238,439):{'3_1':0.03},(238,438):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(238,437):{'3_1':0.0},(238,436):{'3_1':0.06,'4_1':0.0},(238,435):{'3_1':0.03,'6_3':0.0,'7_5':0.0},(238,434):{'3_1':0.09,'4_1':0.0},(238,433):{'3_1':0.09,'5_2':0.0},(238,432):{'3_1':0.03,'5_2':0.0},(238,431):{'3_1':0.06,'4_1':0.0},(238,430):{'3_1':0.06,'4_1':0.0},(238,429):{'3_1':0.09},(238,428):{'3_1':0.06},(238,427):{'3_1':0.0},(238,426):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(238,425):{'3_1':0.06},(238,424):{'3_1':0.06,'4_1':0.0},(238,423):{'3_1':0.09,'6_1':0.0},(238,422):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(238,421):{'3_1':0.03,'6_1':0.0},(238,420):{'3_1':0.06,'4_1':0.0},(238,419):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(238,418):{'3_1':0.03,'4_1':0.03},(238,417):{'4_1':0.03,'3_1':0.03},(238,416):{'4_1':0.06,'3_1':0.06,'6_1':0.0,'6_3':0.0,'7_2':0.0},(238,415):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(238,414):{'3_1':0.06,'6_1':0.0,'8_7':0.0},(238,413):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(238,412):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(238,411):{'3_1':0.03,'4_1':0.03,'7_6':0.0},(238,410):{'3_1':0.06},(238,409):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(238,408):{'3_1':0.15},(238,407):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(238,406):{'3_1':0.06,'4_1':0.0},(238,405):{'3_1':0.03,'7_7':0.0},(238,404):{'3_1':0.03,'5_2':0.0},(238,403):{'3_1':0.09,'4_1':0.0},(238,402):{'3_1':0.09},(238,401):{'3_1':0.09,'4_1':0.0},(238,400):{'3_1':0.12,'4_1':0.0},(238,399):{'3_1':0.09,'4_1':0.0},(238,398):{'3_1':0.03,'4_1':0.0},(238,397):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(238,396):{'3_1':0.09,'4_1':0.0},(238,395):{'3_1':0.09,'4_1':0.0},(238,394):{'3_1':0.09,'7_5':0.0},(238,393):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(238,392):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(238,391):{'3_1':0.12,'6_3':0.0},(238,390):{'3_1':0.18,'5_2':0.0},(238,389):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(238,388):{'3_1':0.18,'4_1':0.0,'7_5':0.0},(238,387):{'3_1':0.15,'4_1':0.0},(238,386):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(238,385):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(238,384):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(238,383):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0},(238,382):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(238,381):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(238,380):{'3_1':0.12,'4_1':0.03,'5_2':0.03},(238,379):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(238,378):{'3_1':0.12,'6_2':0.0,'4_1':0.0},(238,377):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(238,376):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(238,375):{'3_1':0.09},(238,374):{'3_1':0.09,'7_7':0.0},(238,373):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'8_11':0.0},(238,372):{'3_1':0.06,'5_2':0.0,'7_7':0.0},(238,371):{'3_1':0.06,'5_2':0.0},(238,370):{'3_1':0.09,'4_1':0.03},(238,369):{'3_1':0.06,'4_1':0.03},(238,368):{'3_1':0.06,'4_1':0.0},(238,367):{'3_1':0.09,'6_2':0.0},(238,366):{'3_1':0.09,'4_1':0.0},(238,365):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(238,364):{'3_1':0.06,'4_1':0.0},(238,363):{'3_1':0.06,'6_2':0.0},(238,362):{'3_1':0.09},(238,361):{'3_1':0.12},(238,360):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(238,359):{'3_1':0.12,'4_1':0.0},(238,358):{'3_1':0.09,'4_1':0.0},(238,357):{'3_1':0.06,'6_2':0.0},(238,356):{'3_1':0.15,'4_1':0.0},(238,355):{'3_1':0.06,'4_1':0.0},(238,354):{'3_1':0.06,'4_1':0.0},(238,353):{'3_1':0.0,'4_1':0.0},(238,352):{'3_1':0.06,'5_1':0.0},(238,351):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(238,350):{'3_1':0.09},(238,349):{'3_1':0.06,'5_2':0.0},(238,348):{'3_1':0.06},(238,347):{'3_1':0.03},(238,346):{'3_1':0.03,'5_2':0.0},(238,345):{'3_1':0.03,'4_1':0.0},(238,344):{'3_1':0.06,'6_3':0.0},(238,342):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(238,341):{'3_1':0.03},(238,340):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(238,339):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(238,338):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(238,337):{'3_1':0.03,'4_1':0.0},(238,336):{'3_1':0.0,'4_1':0.0},(238,335):{'3_1':0.03},(238,334):{'3_1':0.03,'5_1':0.0},(238,333):{'3_1':0.03},(238,332):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(238,331):{'3_1':0.06},(238,330):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(238,329):{'3_1':0.03,'4_1':0.0},(238,328):{'3_1':0.0},(238,327):{'3_1':0.0},(238,326):{'3_1':0.0},(238,325):{'3_1':0.0},(238,323):{'3_1':0.0},(238,322):{'3_1':0.0,'4_1':0.0},(238,321):{'3_1':0.0},(238,320):{'3_1':0.0},(238,319):{'3_1':0.0},(238,318):{'3_1':0.0},(238,317):{'3_1':0.03},(238,316):{'3_1':0.03},(238,315):{'3_1':0.0},(238,314):{'3_1':0.03},(238,313):{'3_1':0.0},(238,312):{'3_1':0.0},(238,311):{'3_1':0.0},(238,310):{'3_1':0.0},(238,309):{'3_1':0.0},(238,308):{'3_1':0.0},(238,306):{'3_1':0.0},(238,305):{'3_1':0.0},(238,304):{'3_1':0.0,'4_1':0.0},(238,302):{'3_1':0.0},(238,301):{'3_1':0.0},(238,300):{'3_1':0.0,'4_1':0.0},(238,299):{'3_1':0.0},(238,297):{'3_1':0.0},(238,296):{'3_1':0.0},(238,295):{'3_1':0.0},(238,294):{'3_1':0.03},(238,293):{'3_1':0.0},(238,292):{'3_1':0.03},(238,291):{'3_1':0.0},(238,290):{'3_1':0.0},(238,288):{'3_1':0.0},(238,287):{'3_1':0.0},(238,282):{'3_1':0.0},(238,280):{'3_1':0.0},(238,279):{'3_1':0.0},(238,278):{'3_1':0.0},(238,277):{'3_1':0.0},(238,276):{'3_1':0.0},(238,275):{'3_1':0.0},(238,274):{'3_1':0.0},(238,273):{'3_1':0.0},(238,272):{'3_1':0.0},(238,270):{'3_1':0.0},(238,256):{'3_1':0.0},(238,252):{'3_1':0.0},(238,250):{'3_1':0.0},(238,246):{'3_1':0.0},(239,459):{'3_1':0.06},(239,458):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(239,457):{'3_1':0.0},(239,456):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(239,455):{'3_1':0.12},(239,454):{'3_1':0.09},(239,453):{'3_1':0.06},(239,452):{'3_1':0.09},(239,451):{'3_1':0.03},(239,450):{'3_1':0.03},(239,449):{'3_1':0.03},(239,448):{'3_1':0.06},(239,447):{'3_1':0.03,'4_1':0.0},(239,446):{'3_1':0.06,'6_1':0.0},(239,445):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(239,444):{'3_1':0.09,'6_1':0.0},(239,443):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(239,442):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(239,441):{'3_1':0.0},(239,440):{'3_1':0.03},(239,439):{'3_1':0.06,'4_1':0.0},(239,438):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(239,437):{'3_1':0.0,'4_1':0.0},(239,436):{'3_1':0.03},(239,435):{'3_1':0.03,'4_1':0.0},(239,434):{'3_1':0.03,'4_1':0.0},(239,433):{'3_1':0.03,'4_1':0.0},(239,432):{'3_1':0.03,'4_1':0.0},(239,431):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(239,430):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(239,429):{'3_1':0.09,'4_1':0.0},(239,428):{'3_1':0.03,'4_1':0.0},(239,427):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(239,426):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(239,425):{'3_1':0.06,'4_1':0.0},(239,424):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(239,423):{'3_1':0.06,'4_1':0.0},(239,422):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0},(239,421):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(239,420):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(239,419):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(239,418):{'3_1':0.03,'4_1':0.0},(239,417):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(239,416):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(239,415):{'3_1':0.03,'4_1':0.0},(239,414):{'3_1':0.0,'4_1':0.0},(239,413):{'3_1':0.09,'4_1':0.03},(239,412):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(239,411):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_6':0.0},(239,410):{'3_1':0.06,'4_1':0.0},(239,409):{'3_1':0.06,'4_1':0.0},(239,408):{'3_1':0.12,'4_1':0.0},(239,407):{'3_1':0.06,'4_1':0.0},(239,406):{'3_1':0.09,'5_2':0.0},(239,405):{'3_1':0.12},(239,404):{'3_1':0.06},(239,403):{'3_1':0.09,'4_1':0.0},(239,402):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(239,401):{'3_1':0.06,'4_1':0.0},(239,400):{'3_1':0.06,'4_1':0.0},(239,399):{'3_1':0.03,'4_1':0.0},(239,398):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(239,397):{'3_1':0.06,'4_1':0.0},(239,396):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(239,395):{'3_1':0.15,'5_2':0.0},(239,394):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(239,393):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(239,392):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(239,391):{'3_1':0.09},(239,390):{'3_1':0.15,'5_2':0.0},(239,389):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(239,388):{'3_1':0.15},(239,387):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(239,386):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_6':0.0},(239,385):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(239,384):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(239,383):{'3_1':0.12,'7_7':0.0},(239,382):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(239,381):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_3':0.0},(239,380):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(239,379):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_14':0.0,'8_16':0.0},(239,378):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(239,377):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(239,376):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0},(239,375):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(239,374):{'3_1':0.09,'4_1':0.0},(239,373):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'7_5':0.0},(239,372):{'3_1':0.15,'4_1':0.0},(239,371):{'3_1':0.12},(239,370):{'3_1':0.06,'4_1':0.0},(239,369):{'3_1':0.09,'5_2':0.0},(239,368):{'3_1':0.06,'4_1':0.0},(239,367):{'3_1':0.12},(239,366):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(239,365):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(239,364):{'3_1':0.03},(239,363):{'3_1':0.15,'4_1':0.0},(239,362):{'3_1':0.03},(239,361):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(239,360):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(239,359):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(239,358):{'3_1':0.12,'4_1':0.0},(239,357):{'3_1':0.06},(239,356):{'3_1':0.06},(239,355):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(239,354):{'3_1':0.09},(239,353):{'3_1':0.09},(239,352):{'3_1':0.06,'5_2':0.0},(239,351):{'3_1':0.09,'5_2':0.0},(239,350):{'3_1':0.06,'4_1':0.0},(239,349):{'3_1':0.06,'4_1':0.0},(239,348):{'3_1':0.03,'5_2':0.0},(239,347):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(239,346):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(239,345):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(239,344):{'3_1':0.03},(239,343):{'3_1':0.03,'4_1':0.0},(239,342):{'3_1':0.0,'5_2':0.0},(239,341):{'3_1':0.03,'4_1':0.0},(239,340):{'3_1':0.0,'6_3':0.0},(239,339):{'3_1':0.0,'4_1':0.0},(239,338):{'3_1':0.03},(239,337):{'3_1':0.0,'5_2':0.0},(239,336):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(239,335):{'3_1':0.03,'4_1':0.0},(239,334):{'3_1':0.03,'4_1':0.0},(239,333):{'3_1':0.0,'5_1':0.0},(239,332):{'3_1':0.03,'4_1':0.0},(239,331):{'3_1':0.09,'4_1':0.0},(239,330):{'3_1':0.03,'4_1':0.0},(239,329):{'3_1':0.0},(239,328):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(239,327):{'3_1':0.0,'4_1':0.0},(239,326):{'3_1':0.0,'4_1':0.0},(239,325):{'3_1':0.03},(239,324):{'3_1':0.0},(239,323):{'3_1':0.0},(239,322):{'3_1':0.0},(239,321):{'3_1':0.0},(239,320):{'3_1':0.0},(239,319):{'3_1':0.0},(239,318):{'3_1':0.03},(239,317):{'3_1':0.03,'5_1':0.0},(239,316):{'3_1':0.0},(239,315):{'3_1':0.0},(239,314):{'3_1':0.03},(239,313):{'3_1':0.0},(239,312):{'3_1':0.0},(239,311):{'3_1':0.0},(239,310):{'3_1':0.0},(239,309):{'3_1':0.0},(239,307):{'3_1':0.0},(239,306):{'3_1':0.0,'4_1':0.0},(239,305):{'3_1':0.0,'4_1':0.0},(239,304):{'3_1':0.0},(239,303):{'3_1':0.03},(239,302):{'3_1':0.0},(239,301):{'3_1':0.03},(239,300):{'3_1':0.0},(239,299):{'3_1':0.0,'4_1':0.0},(239,298):{'3_1':0.03},(239,297):{'3_1':0.0},(239,296):{'3_1':0.03},(239,295):{'3_1':0.0},(239,294):{'3_1':0.0},(239,293):{'3_1':0.03},(239,292):{'3_1':0.03},(239,290):{'3_1':0.03},(239,289):{'3_1':0.0},(239,288):{'3_1':0.0},(239,287):{'3_1':0.03},(239,286):{'3_1':0.0},(239,283):{'3_1':0.0},(239,282):{'3_1':0.0},(239,281):{'3_1':0.0},(239,280):{'3_1':0.0},(239,279):{'3_1':0.0},(239,277):{'3_1':0.0},(239,276):{'3_1':0.0},(239,275):{'3_1':0.0},(239,274):{'3_1':0.0},(239,273):{'3_1':0.0},(239,272):{'3_1':0.0},(239,249):{'3_1':0.0},(239,247):{'3_1':0.0},(240,459):{'3_1':0.0,'4_1':0.0},(240,458):{'3_1':0.03},(240,457):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(240,456):{'3_1':0.06},(240,455):{'3_1':0.0,'4_1':0.0},(240,454):{'3_1':0.03},(240,453):{'3_1':0.0},(240,452):{'3_1':0.03,'4_1':0.0},(240,451):{'3_1':0.03},(240,449):{'3_1':0.03},(240,448):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(240,447):{'3_1':0.03,'4_1':0.0},(240,446):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(240,445):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(240,444):{'3_1':0.03,'4_1':0.0},(240,443):{'3_1':0.06,'4_1':0.0},(240,442):{'3_1':0.0},(240,441):{'3_1':0.09},(240,440):{'3_1':0.09},(240,439):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(240,438):{'3_1':0.03,'6_1':0.0},(240,437):{'3_1':0.06,'4_1':0.0},(240,436):{'3_1':0.03,'4_1':0.0},(240,435):{'3_1':0.03,'4_1':0.0},(240,434):{'4_1':0.0,'3_1':0.0},(240,433):{'3_1':0.0,'4_1':0.0},(240,432):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(240,431):{'3_1':0.03,'4_1':0.0},(240,430):{'3_1':0.03,'4_1':0.0},(240,429):{'3_1':0.0},(240,428):{'3_1':0.06,'4_1':0.0},(240,427):{'3_1':0.06},(240,426):{'3_1':0.0,'4_1':0.0},(240,425):{'3_1':0.06,'4_1':0.0},(240,424):{'3_1':0.06,'4_1':0.0},(240,423):{'3_1':0.06,'4_1':0.0},(240,422):{'3_1':0.06,'4_1':0.03},(240,421):{'3_1':0.0,'6_3':0.0},(240,420):{'4_1':0.03,'3_1':0.0},(240,419):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(240,418):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(240,417):{'3_1':0.06,'4_1':0.0},(240,416):{'3_1':0.06,'4_1':0.06,'7_6':0.0},(240,415):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(240,414):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(240,413):{'3_1':0.06,'4_1':0.0},(240,412):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(240,411):{'3_1':0.06,'4_1':0.0},(240,410):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(240,409):{'3_1':0.03,'4_1':0.0},(240,408):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(240,407):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(240,406):{'3_1':0.06},(240,405):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(240,404):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'7_7':0.0},(240,403):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(240,402):{'3_1':0.12,'4_1':0.0},(240,401):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(240,400):{'3_1':0.03,'4_1':0.0},(240,399):{'3_1':0.06},(240,398):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(240,397):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(240,396):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(240,395):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(240,394):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(240,393):{'3_1':0.09,'4_1':0.0},(240,392):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(240,391):{'3_1':0.12,'4_1':0.0},(240,390):{'3_1':0.12,'4_1':0.0},(240,389):{'3_1':0.06},(240,388):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(240,387):{'3_1':0.06,'4_1':0.0},(240,386):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(240,385):{'3_1':0.09,'5_2':0.0},(240,384):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(240,383):{'3_1':0.12,'4_1':0.03},(240,382):{'3_1':0.12,'4_1':0.0},(240,381):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(240,380):{'3_1':0.15,'5_2':0.03},(240,379):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(240,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(240,377):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(240,376):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(240,375):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(240,374):{'3_1':0.09,'4_1':0.0},(240,373):{'3_1':0.09},(240,372):{'3_1':0.03,'4_1':0.0},(240,371):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(240,370):{'3_1':0.09,'4_1':0.0},(240,369):{'3_1':0.09,'5_2':0.0},(240,368):{'3_1':0.09},(240,367):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(240,366):{'3_1':0.06,'4_1':0.0},(240,365):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(240,364):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(240,363):{'3_1':0.06,'4_1':0.0},(240,362):{'3_1':0.15,'4_1':0.0},(240,361):{'3_1':0.09,'5_2':0.0},(240,360):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(240,359):{'3_1':0.09},(240,358):{'3_1':0.09,'4_1':0.0},(240,357):{'3_1':0.12,'4_1':0.0},(240,356):{'3_1':0.03},(240,355):{'3_1':0.03},(240,354):{'3_1':0.06},(240,353):{'3_1':0.09},(240,352):{'3_1':0.06,'5_1':0.0},(240,351):{'3_1':0.03,'5_2':0.0},(240,350):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(240,349):{'3_1':0.03,'4_1':0.0},(240,348):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(240,347):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(240,346):{'3_1':0.03,'6_3':0.0},(240,345):{'3_1':0.0,'4_1':0.0},(240,344):{'3_1':0.03,'4_1':0.0},(240,343):{'3_1':0.0},(240,342):{'3_1':0.03},(240,341):{'4_1':0.0,'3_1':0.0},(240,340):{'3_1':0.03},(240,339):{'3_1':0.03},(240,338):{'3_1':0.06,'5_1':0.0},(240,337):{'3_1':0.0,'5_1':0.0},(240,336):{'3_1':0.06,'4_1':0.0},(240,335):{'3_1':0.03},(240,334):{'3_1':0.0},(240,333):{'3_1':0.06,'5_1':0.0},(240,332):{'3_1':0.06,'4_1':0.0},(240,331):{'3_1':0.03,'5_2':0.0},(240,330):{'3_1':0.0,'4_1':0.0},(240,329):{'3_1':0.06},(240,328):{'3_1':0.0},(240,327):{'3_1':0.0},(240,326):{'3_1':0.0},(240,325):{'3_1':0.0},(240,324):{'4_1':0.0},(240,322):{'3_1':0.0},(240,321):{'3_1':0.03},(240,320):{'3_1':0.0},(240,319):{'3_1':0.0},(240,318):{'3_1':0.0},(240,317):{'3_1':0.0},(240,316):{'3_1':0.0},(240,315):{'3_1':0.0},(240,314):{'3_1':0.0},(240,313):{'3_1':0.03},(240,312):{'3_1':0.0},(240,311):{'3_1':0.0},(240,310):{'3_1':0.0},(240,309):{'3_1':0.0},(240,308):{'5_2':0.0},(240,307):{'3_1':0.0},(240,306):{'3_1':0.0},(240,305):{'3_1':0.03,'4_1':0.0},(240,304):{'3_1':0.0},(240,303):{'3_1':0.03},(240,302):{'3_1':0.0},(240,300):{'3_1':0.0},(240,299):{'3_1':0.0},(240,298):{'3_1':0.0,'4_1':0.0},(240,297):{'3_1':0.0},(240,296):{'3_1':0.03},(240,293):{'3_1':0.03},(240,292):{'3_1':0.0},(240,291):{'3_1':0.0},(240,290):{'3_1':0.0},(240,288):{'3_1':0.03,'4_1':0.0},(240,286):{'3_1':0.0},(240,285):{'3_1':0.0},(240,283):{'3_1':0.0},(240,281):{'3_1':0.0},(240,280):{'3_1':0.0},(240,279):{'3_1':0.0},(240,278):{'3_1':0.0},(240,277):{'3_1':0.0},(240,276):{'3_1':0.0},(240,275):{'3_1':0.0},(240,274):{'3_1':0.0},(240,272):{'3_1':0.0},(240,254):{'3_1':0.0},(240,245):{'3_1':0.0},(240,243):{'3_1':0.0},(241,459):{'3_1':0.0},(241,458):{'3_1':0.0},(241,457):{'3_1':0.03,'5_1':0.0},(241,456):{'3_1':0.03,'4_1':0.0},(241,455):{'3_1':0.03},(241,454):{'3_1':0.0},(241,453):{'3_1':0.03,'4_1':0.0},(241,452):{'3_1':0.03},(241,451):{'3_1':0.0},(241,450):{'3_1':0.0},(241,449):{'3_1':0.0},(241,447):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(241,446):{'3_1':0.0},(241,445):{'3_1':0.03,'6_1':0.0},(241,444):{'3_1':0.03},(241,443):{'3_1':0.0,'4_1':0.0},(241,442):{'3_1':0.03,'4_1':0.0},(241,441):{'3_1':0.03},(241,440):{'3_1':0.0,'4_1':0.0},(241,439):{'3_1':0.03},(241,438):{'3_1':0.06},(241,437):{'3_1':0.03,'4_1':0.0},(241,436):{'3_1':0.0,'4_1':0.0},(241,435):{'3_1':0.03,'4_1':0.0},(241,434):{'3_1':0.03,'6_1':0.0},(241,433):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(241,432):{'3_1':0.03,'4_1':0.0},(241,431):{'3_1':0.03,'4_1':0.0},(241,430):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(241,429):{'3_1':0.06,'6_1':0.0},(241,428):{'3_1':0.03,'4_1':0.0},(241,427):{'3_1':0.03,'4_1':0.0},(241,426):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(241,425):{'4_1':0.0,'6_1':0.0},(241,424):{'3_1':0.06,'4_1':0.0},(241,423):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(241,422):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(241,421):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(241,420):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(241,419):{'3_1':0.03,'4_1':0.0},(241,418):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(241,417):{'3_1':0.06,'4_1':0.0},(241,416):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(241,415):{'3_1':0.03,'6_1':0.0},(241,414):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(241,413):{'3_1':0.03,'4_1':0.03},(241,412):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(241,411):{'4_1':0.0,'3_1':0.0},(241,410):{'3_1':0.09,'4_1':0.0,'7_6':0.0},(241,409):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(241,408):{'3_1':0.03,'4_1':0.0},(241,407):{'3_1':0.06},(241,406):{'3_1':0.0,'4_1':0.0},(241,405):{'3_1':0.03},(241,404):{'3_1':0.06,'5_2':0.0},(241,403):{'3_1':0.06,'5_2':0.0},(241,402):{'3_1':0.03,'4_1':0.0},(241,401):{'3_1':0.03,'4_1':0.0},(241,400):{'3_1':0.0},(241,399):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(241,398):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(241,397):{'3_1':0.0,'6_3':0.0},(241,396):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(241,395):{'3_1':0.06},(241,394):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0},(241,393):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(241,392):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'4_1':0.0},(241,391):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(241,390):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(241,389):{'3_1':0.09,'4_1':0.0},(241,388):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(241,387):{'3_1':0.06},(241,386):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(241,385):{'3_1':0.09,'4_1':0.0},(241,384):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(241,383):{'3_1':0.06,'4_1':0.03,'7_7':0.0},(241,382):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(241,381):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(241,380):{'3_1':0.09,'6_3':0.0,'5_2':0.0},(241,379):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0},(241,378):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(241,377):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(241,376):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(241,375):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(241,374):{'3_1':0.06,'5_2':0.0},(241,373):{'3_1':0.12,'4_1':0.0},(241,372):{'3_1':0.09},(241,371):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_7':0.0},(241,370):{'3_1':0.06,'4_1':0.0},(241,369):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(241,368):{'3_1':0.09,'5_2':0.0},(241,367):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(241,366):{'3_1':0.09,'4_1':0.0},(241,365):{'3_1':0.09},(241,364):{'3_1':0.06},(241,363):{'3_1':0.09,'4_1':0.0},(241,362):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(241,361):{'3_1':0.06,'4_1':0.0},(241,360):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(241,359):{'3_1':0.06,'4_1':0.0},(241,358):{'3_1':0.0,'4_1':0.0},(241,357):{'3_1':0.06,'4_1':0.0},(241,356):{'3_1':0.12,'4_1':0.0},(241,355):{'3_1':0.03,'4_1':0.0},(241,354):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(241,353):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(241,352):{'3_1':0.06,'4_1':0.0},(241,351):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(241,350):{'3_1':0.09,'4_1':0.0},(241,349):{'3_1':0.09},(241,348):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(241,347):{'3_1':0.03},(241,346):{'3_1':0.0,'4_1':0.0},(241,345):{'3_1':0.0},(241,344):{'3_1':0.0},(241,343):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(241,342):{'3_1':0.0},(241,341):{'3_1':0.03,'4_1':0.0},(241,340):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(241,339):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(241,338):{'3_1':0.03,'4_1':0.0},(241,337):{'3_1':0.0,'4_1':0.0,'8_19':0.0},(241,336):{'3_1':0.06},(241,335):{'3_1':0.03},(241,334):{'3_1':0.06,'5_1':0.0},(241,333):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(241,332):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(241,331):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(241,330):{'3_1':0.09,'5_1':0.0},(241,329):{'3_1':0.03,'4_1':0.0},(241,328):{'3_1':0.03},(241,327):{'3_1':0.06},(241,326):{'3_1':0.0,'4_1':0.0},(241,325):{'3_1':0.0},(241,324):{'3_1':0.03},(241,323):{'4_1':0.0},(241,322):{'3_1':0.0},(241,321):{'3_1':0.0},(241,320):{'3_1':0.0},(241,319):{'3_1':0.0},(241,318):{'3_1':0.0},(241,317):{'3_1':0.03},(241,316):{'3_1':0.03},(241,315):{'3_1':0.0},(241,314):{'3_1':0.03},(241,312):{'3_1':0.0},(241,309):{'3_1':0.0},(241,308):{'3_1':0.0},(241,307):{'5_2':0.0},(241,306):{'3_1':0.0},(241,305):{'3_1':0.0},(241,304):{'3_1':0.0,'4_1':0.0},(241,303):{'3_1':0.03},(241,302):{'3_1':0.0},(241,301):{'3_1':0.0},(241,300):{'3_1':0.03},(241,299):{'3_1':0.06},(241,298):{'3_1':0.0,'4_1':0.0},(241,297):{'3_1':0.0},(241,296):{'3_1':0.03},(241,295):{'3_1':0.0},(241,294):{'3_1':0.0},(241,293):{'3_1':0.0},(241,292):{'3_1':0.0},(241,291):{'3_1':0.0},(241,290):{'3_1':0.0},(241,283):{'3_1':0.0},(241,282):{'3_1':0.0},(241,279):{'3_1':0.0},(241,278):{'3_1':0.0},(241,277):{'3_1':0.0},(241,276):{'3_1':0.0},(241,274):{'3_1':0.0},(241,272):{'3_1':0.0},(242,459):{'3_1':0.0,'5_2':0.0},(242,458):{'3_1':0.03,'4_1':0.0},(242,457):{'3_1':0.03},(242,456):{'3_1':0.0},(242,455):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(242,454):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(242,453):{'3_1':0.0},(242,452):{'3_1':0.0},(242,451):{'3_1':0.03,'4_1':0.0},(242,450):{'3_1':0.03,'4_1':0.0},(242,449):{'3_1':0.03,'4_1':0.0},(242,448):{'3_1':0.03},(242,447):{'3_1':0.0,'4_1':0.0},(242,446):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(242,445):{'3_1':0.0},(242,444):{'3_1':0.03},(242,443):{'3_1':0.03,'4_1':0.0},(242,442):{'3_1':0.0,'4_1':0.0},(242,441):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(242,440):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(242,439):{'3_1':0.03,'4_1':0.0},(242,438):{'3_1':0.0,'6_1':0.0,'4_1':0.0},(242,437):{'4_1':0.03},(242,436):{'3_1':0.03,'4_1':0.0},(242,435):{'3_1':0.03,'4_1':0.0},(242,434):{'4_1':0.0,'3_1':0.0},(242,433):{'3_1':0.06,'4_1':0.0},(242,432):{'3_1':0.0,'4_1':0.0},(242,431):{'3_1':0.03,'4_1':0.0},(242,430):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(242,429):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(242,428):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(242,427):{'3_1':0.0},(242,426):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(242,425):{'3_1':0.03,'4_1':0.0},(242,424):{'3_1':0.03,'4_1':0.0},(242,423):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(242,422):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(242,421):{'3_1':0.03,'4_1':0.03},(242,420):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(242,419):{'3_1':0.0,'4_1':0.0},(242,418):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_6':0.0},(242,417):{'4_1':0.0,'6_1':0.0},(242,416):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0},(242,415):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(242,414):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'6_1':0.0},(242,413):{'4_1':0.06,'3_1':0.03},(242,412):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(242,411):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(242,410):{'3_1':0.03,'4_1':0.0},(242,409):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(242,408):{'3_1':0.03,'4_1':0.0},(242,407):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(242,406):{'3_1':0.09,'4_1':0.0},(242,405):{'3_1':0.09,'5_2':0.0},(242,404):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(242,403):{'3_1':0.09},(242,402):{'3_1':0.03,'4_1':0.0},(242,401):{'3_1':0.03,'4_1':0.0},(242,400):{'3_1':0.03,'5_2':0.0},(242,399):{'3_1':0.03},(242,398):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(242,397):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(242,396):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(242,395):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(242,394):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(242,393):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(242,392):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(242,391):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(242,390):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(242,389):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(242,388):{'3_1':0.06,'4_1':0.0,'8_14':0.0},(242,387):{'3_1':0.09,'4_1':0.0},(242,386):{'3_1':0.12,'4_1':0.0},(242,385):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(242,384):{'3_1':0.09,'4_1':0.0,'7_7':0.0},(242,383):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_5':0.0},(242,382):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(242,381):{'3_1':0.15,'5_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(242,380):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(242,379):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(242,378):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(242,377):{'3_1':0.09,'5_2':0.03},(242,376):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_4':0.0},(242,375):{'3_1':0.12},(242,374):{'3_1':0.09,'6_3':0.0},(242,373):{'3_1':0.09,'6_2':0.0},(242,372):{'3_1':0.09,'5_2':0.0},(242,371):{'3_1':0.03,'5_2':0.0},(242,370):{'3_1':0.03,'5_2':0.0},(242,369):{'3_1':0.03,'4_1':0.0},(242,368):{'3_1':0.03,'4_1':0.0},(242,367):{'3_1':0.06},(242,366):{'3_1':0.0,'4_1':0.0},(242,365):{'3_1':0.03,'4_1':0.0},(242,364):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(242,363):{'3_1':0.09,'4_1':0.0},(242,362):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(242,361):{'3_1':0.03,'4_1':0.0},(242,360):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(242,359):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(242,358):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(242,357):{'3_1':0.09},(242,356):{'3_1':0.06,'4_1':0.0},(242,355):{'3_1':0.06},(242,354):{'3_1':0.06,'5_1':0.0},(242,353):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(242,352):{'3_1':0.03,'4_1':0.0},(242,351):{'3_1':0.03,'4_1':0.0},(242,350):{'3_1':0.03},(242,349):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(242,348):{'3_1':0.06,'4_1':0.0},(242,347):{'3_1':0.0,'4_1':0.0},(242,346):{'3_1':0.06,'4_1':0.0},(242,345):{'3_1':0.0},(242,344):{'3_1':0.03,'4_1':0.0},(242,343):{'3_1':0.0},(242,342):{'3_1':0.03,'4_1':0.0},(242,341):{'3_1':0.0,'4_1':0.0},(242,340):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(242,339):{'3_1':0.03},(242,338):{'3_1':0.0},(242,337):{'3_1':0.03,'5_1':0.0},(242,336):{'3_1':0.06,'4_1':0.0},(242,335):{'3_1':0.06,'5_1':0.0},(242,334):{'3_1':0.0,'4_1':0.0},(242,333):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(242,332):{'3_1':0.06},(242,331):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(242,330):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(242,329):{'3_1':0.09},(242,328):{'3_1':0.03},(242,327):{'3_1':0.03,'4_1':0.0},(242,326):{'3_1':0.0},(242,325):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(242,324):{'3_1':0.0},(242,323):{'3_1':0.0},(242,322):{'3_1':0.0},(242,321):{'3_1':0.0},(242,320):{'3_1':0.03},(242,319):{'3_1':0.0},(242,318):{'3_1':0.0},(242,317):{'3_1':0.0},(242,316):{'3_1':0.0},(242,315):{'3_1':0.0},(242,314):{'5_2':0.0},(242,313):{'3_1':0.03},(242,312):{'3_1':0.0},(242,311):{'3_1':0.0},(242,310):{'3_1':0.03},(242,309):{'3_1':0.0},(242,308):{'3_1':0.0},(242,307):{'3_1':0.0},(242,306):{'3_1':0.0},(242,305):{'3_1':0.03},(242,304):{'3_1':0.03},(242,303):{'3_1':0.03},(242,302):{'3_1':0.0},(242,301):{'3_1':0.0},(242,300):{'3_1':0.0},(242,299):{'3_1':0.0},(242,298):{'3_1':0.0},(242,296):{'3_1':0.0},(242,294):{'3_1':0.03},(242,293):{'3_1':0.0},(242,292):{'3_1':0.0},(242,291):{'3_1':0.0},(242,290):{'3_1':0.0},(242,289):{'3_1':0.0},(242,287):{'3_1':0.0},(242,286):{'3_1':0.0},(242,283):{'3_1':0.0},(242,282):{'3_1':0.0},(242,281):{'3_1':0.0},(242,280):{'3_1':0.0},(242,279):{'3_1':0.0},(242,278):{'3_1':0.0,'4_1':0.0},(242,277):{'3_1':0.0},(242,276):{'3_1':0.0},(242,275):{'3_1':0.0},(242,274):{'3_1':0.0},(242,273):{'3_1':0.0},(242,272):{'3_1':0.0},(243,459):{'3_1':0.03},(243,458):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(243,457):{'3_1':0.0},(243,456):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(243,455):{'3_1':0.0},(243,454):{'3_1':0.0},(243,453):{'3_1':0.0},(243,452):{'3_1':0.03},(243,451):{'3_1':0.0},(243,450):{'3_1':0.03},(243,449):{'3_1':0.0,'4_1':0.0},(243,448):{'3_1':0.0},(243,447):{'3_1':0.0,'4_1':0.0},(243,446):{'3_1':0.03},(243,445):{'4_1':0.0,'3_1':0.0},(243,444):{'3_1':0.03,'4_1':0.0},(243,443):{'3_1':0.0,'4_1':0.0},(243,442):{'3_1':0.0,'5_1':0.0},(243,441):{'3_1':0.03,'4_1':0.0},(243,440):{'3_1':0.0,'4_1':0.0},(243,439):{'3_1':0.06,'4_1':0.0},(243,438):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(243,437):{'3_1':0.03,'4_1':0.0},(243,436):{'3_1':0.03},(243,435):{'3_1':0.06,'4_1':0.0},(243,434):{'4_1':0.0},(243,433):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(243,432):{'3_1':0.03},(243,431):{'3_1':0.06,'4_1':0.0},(243,430):{'3_1':0.06,'4_1':0.0},(243,429):{'3_1':0.0},(243,428):{'4_1':0.03,'3_1':0.0},(243,427):{'3_1':0.0,'4_1':0.0},(243,426):{'3_1':0.06},(243,425):{'3_1':0.03},(243,424):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(243,423):{'4_1':0.03,'3_1':0.0},(243,422):{'3_1':0.09,'4_1':0.03},(243,421):{'4_1':0.0,'3_1':0.0,'6_1':0.0,'5_1':0.0},(243,420):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(243,419):{'3_1':0.03,'7_7':0.0},(243,418):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(243,417):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(243,416):{'3_1':0.06,'4_1':0.0},(243,415):{'3_1':0.03,'4_1':0.03,'6_1':0.03,'5_2':0.0},(243,414):{'4_1':0.03,'3_1':0.03,'6_1':0.0},(243,413):{'3_1':0.03},(243,412):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(243,411):{'3_1':0.06,'4_1':0.0},(243,410):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(243,409):{'3_1':0.06,'4_1':0.0},(243,408):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(243,407):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(243,406):{'3_1':0.03},(243,405):{'3_1':0.06},(243,404):{'3_1':0.06,'6_2':0.0},(243,403):{'3_1':0.03,'4_1':0.0},(243,402):{'3_1':0.06,'4_1':0.0},(243,401):{'3_1':0.06,'4_1':0.0},(243,400):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(243,399):{'3_1':0.03,'4_1':0.0},(243,398):{'3_1':0.03,'4_1':0.0},(243,397):{'3_1':0.09,'4_1':0.0},(243,396):{'3_1':0.06,'4_1':0.0},(243,395):{'3_1':0.12,'5_2':0.0},(243,394):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(243,393):{'3_1':0.06,'5_2':0.0},(243,392):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(243,391):{'3_1':0.12,'5_2':0.0},(243,390):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(243,389):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(243,388):{'3_1':0.06,'5_1':0.0},(243,387):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(243,386):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(243,385):{'3_1':0.06,'4_1':0.0},(243,384):{'3_1':0.06,'4_1':0.0},(243,383):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(243,382):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(243,381):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(243,380):{'3_1':0.15,'4_1':0.0},(243,379):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(243,378):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(243,377):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(243,376):{'3_1':0.09,'4_1':0.0},(243,375):{'3_1':0.15,'4_1':0.0},(243,374):{'3_1':0.03,'6_3':0.0},(243,373):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(243,372):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(243,371):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(243,370):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(243,369):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(243,368):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(243,367):{'3_1':0.09,'4_1':0.0},(243,366):{'3_1':0.03,'4_1':0.0},(243,365):{'3_1':0.06,'4_1':0.0},(243,364):{'3_1':0.03,'4_1':0.0},(243,363):{'3_1':0.06,'4_1':0.0},(243,362):{'3_1':0.06,'5_1':0.0},(243,361):{'3_1':0.09},(243,360):{'3_1':0.06,'4_1':0.0},(243,359):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(243,358):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(243,357):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_7':0.0},(243,356):{'3_1':0.06,'5_2':0.0},(243,355):{'3_1':0.06,'4_1':0.0},(243,354):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(243,353):{'3_1':0.09,'5_2':0.0},(243,352):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(243,351):{'3_1':0.09},(243,350):{'3_1':0.06,'5_2':0.0},(243,349):{'3_1':0.0,'5_2':0.0},(243,348):{'3_1':0.0,'4_1':0.0},(243,347):{'3_1':0.03},(243,346):{'3_1':0.0},(243,345):{'3_1':0.0},(243,344):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(243,343):{'3_1':0.0,'4_1':0.0},(243,342):{'3_1':0.06},(243,341):{'3_1':0.03},(243,340):{'3_1':0.0,'4_1':0.0},(243,339):{'3_1':0.03,'4_1':0.0},(243,338):{'3_1':0.03,'5_2':0.0},(243,337):{'3_1':0.0,'4_1':0.0},(243,336):{'3_1':0.0},(243,335):{'3_1':0.06},(243,334):{'3_1':0.06},(243,333):{'3_1':0.03,'4_1':0.0},(243,332):{'3_1':0.03},(243,331):{'3_1':0.09,'5_1':0.0},(243,330):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(243,329):{'3_1':0.0},(243,328):{'3_1':0.0,'4_1':0.0},(243,327):{'3_1':0.03},(243,326):{'3_1':0.0},(243,324):{'3_1':0.0,'4_1':0.0},(243,323):{'3_1':0.0,'4_1':0.0},(243,322):{'3_1':0.0},(243,321):{'3_1':0.03},(243,320):{'3_1':0.0},(243,319):{'3_1':0.03},(243,318):{'3_1':0.0},(243,317):{'3_1':0.0},(243,316):{'3_1':0.03},(243,315):{'3_1':0.0},(243,314):{'3_1':0.0},(243,313):{'3_1':0.03},(243,312):{'3_1':0.0,'4_1':0.0},(243,311):{'3_1':0.03},(243,310):{'3_1':0.0},(243,309):{'3_1':0.0},(243,308):{'3_1':0.0,'4_1':0.0},(243,307):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(243,306):{'3_1':0.03},(243,305):{'3_1':0.0},(243,303):{'3_1':0.03},(243,302):{'3_1':0.03},(243,301):{'3_1':0.03,'4_1':0.0},(243,300):{'3_1':0.03},(243,299):{'3_1':0.03},(243,298):{'3_1':0.0},(243,297):{'3_1':0.03},(243,296):{'3_1':0.0},(243,295):{'3_1':0.0},(243,294):{'3_1':0.0},(243,293):{'3_1':0.0,'4_1':0.0},(243,292):{'3_1':0.03},(243,291):{'3_1':0.0},(243,289):{'3_1':0.03},(243,286):{'3_1':0.0},(243,283):{'3_1':0.0},(243,281):{'3_1':0.0},(243,279):{'3_1':0.0},(243,278):{'3_1':0.0},(243,277):{'3_1':0.0},(243,275):{'3_1':0.0},(243,272):{'3_1':0.0},(243,270):{'3_1':0.0},(244,459):{'3_1':0.0},(244,458):{'3_1':0.06,'4_1':0.0},(244,457):{'3_1':0.0},(244,456):{'3_1':0.0},(244,455):{'3_1':0.0},(244,454):{'3_1':0.0},(244,453):{'3_1':0.0},(244,452):{'3_1':0.0},(244,451):{'3_1':0.0,'6_1':0.0},(244,450):{'3_1':0.0},(244,449):{'3_1':0.03,'4_1':0.0},(244,448):{'3_1':0.03,'4_1':0.0},(244,447):{'3_1':0.03,'4_1':0.0},(244,446):{'3_1':0.03},(244,445):{'3_1':0.0,'6_1':0.0},(244,444):{'3_1':0.03,'4_1':0.0},(244,443):{'3_1':0.03},(244,442):{'3_1':0.0,'4_1':0.0},(244,441):{'3_1':0.03},(244,440):{'3_1':0.0,'4_1':0.0},(244,439):{'3_1':0.03},(244,437):{'3_1':0.03,'4_1':0.0},(244,436):{'3_1':0.0},(244,435):{'3_1':0.03},(244,434):{'3_1':0.0,'4_1':0.0},(244,433):{'3_1':0.03,'4_1':0.0},(244,432):{'3_1':0.03,'4_1':0.0},(244,431):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(244,430):{'3_1':0.0,'4_1':0.0},(244,429):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(244,428):{'3_1':0.0,'4_1':0.0},(244,427):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(244,426):{'3_1':0.09,'4_1':0.0},(244,425):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(244,424):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(244,423):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(244,422):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(244,421):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(244,420):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(244,419):{'3_1':0.03,'6_1':0.0},(244,418):{'3_1':0.03,'6_1':0.0},(244,417):{'3_1':0.06,'4_1':0.0},(244,416):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(244,415):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(244,414):{'3_1':0.03,'4_1':0.03},(244,413):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(244,412):{'4_1':0.03,'3_1':0.0,'6_3':0.0},(244,411):{'3_1':0.06,'4_1':0.03,'-3':0.0},(244,410):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(244,409):{'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(244,408):{'3_1':0.0},(244,407):{'3_1':0.06,'4_1':0.0},(244,406):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(244,405):{'3_1':0.12,'5_2':0.0},(244,404):{'3_1':0.03,'5_2':0.0},(244,403):{'3_1':0.03,'4_1':0.0},(244,402):{'3_1':0.03},(244,401):{'3_1':0.03,'4_1':0.0},(244,400):{'3_1':0.06,'5_2':0.0},(244,399):{'3_1':0.09},(244,398):{'3_1':0.06,'4_1':0.0},(244,397):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(244,396):{'3_1':0.0,'7_6':0.0},(244,395):{'3_1':0.03,'4_1':0.0},(244,394):{'3_1':0.09,'5_1':0.0},(244,393):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(244,392):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(244,391):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(244,390):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(244,389):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(244,388):{'3_1':0.06,'4_1':0.0},(244,387):{'3_1':0.12,'4_1':0.03},(244,386):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'5_2':0.0,'6_2':0.0},(244,385):{'3_1':0.15,'4_1':0.0},(244,384):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(244,383):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(244,382):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(244,381):{'3_1':0.09,'4_1':0.0},(244,380):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(244,379):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(244,378):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(244,377):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(244,376):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0},(244,375):{'3_1':0.12,'5_2':0.0},(244,374):{'3_1':0.09,'5_2':0.0},(244,373):{'3_1':0.09,'4_1':0.0},(244,372):{'3_1':0.03},(244,371):{'3_1':0.09,'4_1':0.0},(244,370):{'3_1':0.06,'4_1':0.0},(244,369):{'3_1':0.03,'6_3':0.0},(244,368):{'3_1':0.12,'5_1':0.0},(244,367):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(244,366):{'3_1':0.06,'4_1':0.0},(244,365):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(244,364):{'3_1':0.03},(244,363):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(244,362):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(244,361):{'3_1':0.09},(244,360):{'3_1':0.06,'4_1':0.0},(244,359):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(244,358):{'3_1':0.03,'4_1':0.0},(244,357):{'3_1':0.09,'4_1':0.0},(244,356):{'3_1':0.03},(244,355):{'3_1':0.09},(244,354):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(244,353):{'3_1':0.03},(244,352):{'3_1':0.03,'4_1':0.0},(244,351):{'3_1':0.03,'4_1':0.0},(244,350):{'3_1':0.06,'5_2':0.0},(244,349):{'3_1':0.0},(244,348):{'3_1':0.03},(244,347):{'3_1':0.0},(244,346):{'3_1':0.06},(244,345):{'3_1':0.0},(244,344):{'3_1':0.0,'4_1':0.0},(244,343):{'3_1':0.0,'4_1':0.0},(244,342):{'3_1':0.0,'6_2':0.0},(244,341):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(244,340):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(244,339):{'3_1':0.03,'4_1':0.0},(244,338):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(244,337):{'3_1':0.0},(244,336):{'3_1':0.0},(244,335):{'3_1':0.0},(244,334):{'3_1':0.06},(244,333):{'3_1':0.03},(244,332):{'3_1':0.03,'4_1':0.0},(244,331):{'3_1':0.03},(244,330):{'3_1':0.03},(244,329):{'3_1':0.03},(244,328):{'3_1':0.03},(244,327):{'3_1':0.0,'5_1':0.0},(244,326):{'3_1':0.0},(244,324):{'3_1':0.0},(244,323):{'4_1':0.0},(244,320):{'3_1':0.0},(244,319):{'3_1':0.0},(244,318):{'3_1':0.0},(244,317):{'3_1':0.0},(244,316):{'3_1':0.0},(244,315):{'3_1':0.0},(244,314):{'3_1':0.0},(244,313):{'3_1':0.0},(244,312):{'3_1':0.0},(244,311):{'3_1':0.0},(244,310):{'3_1':0.0},(244,308):{'3_1':0.0},(244,306):{'3_1':0.0},(244,305):{'3_1':0.03},(244,304):{'3_1':0.0},(244,303):{'3_1':0.0,'4_1':0.0},(244,302):{'3_1':0.0},(244,301):{'3_1':0.0},(244,300):{'3_1':0.03},(244,299):{'3_1':0.03},(244,298):{'3_1':0.0},(244,297):{'3_1':0.03,'4_1':0.0},(244,296):{'3_1':0.03},(244,295):{'3_1':0.0},(244,293):{'3_1':0.0},(244,292):{'3_1':0.0},(244,290):{'3_1':0.0,'4_1':0.0},(244,289):{'3_1':0.0},(244,288):{'3_1':0.0},(244,287):{'3_1':0.0},(244,286):{'3_1':0.0},(244,285):{'3_1':0.0},(244,282):{'3_1':0.0},(244,280):{'3_1':0.0},(244,278):{'3_1':0.0},(244,276):{'3_1':0.0},(244,274):{'3_1':0.0},(244,272):{'3_1':0.0},(245,459):{'3_1':0.03},(245,458):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(245,457):{'3_1':0.03},(245,456):{'3_1':0.03},(245,455):{'3_1':0.06},(245,454):{'3_1':0.0},(245,453):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(245,452):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(245,451):{'3_1':0.03},(245,450):{'3_1':0.03},(245,449):{'3_1':0.03},(245,448):{'3_1':0.03},(245,447):{'3_1':0.06},(245,446):{'3_1':0.03,'5_1':0.0},(245,445):{'4_1':0.03,'3_1':0.0},(245,444):{'3_1':0.03},(245,443):{'3_1':0.06},(245,442):{'3_1':0.09},(245,441):{'3_1':0.06,'6_1':0.0},(245,440):{'3_1':0.09,'4_1':0.0},(245,439):{'3_1':0.03,'6_1':0.0},(245,438):{'3_1':0.03},(245,437):{'3_1':0.09,'4_1':0.0},(245,436):{'3_1':0.06},(245,435):{'3_1':0.06,'4_1':0.0},(245,434):{'3_1':0.03},(245,433):{'3_1':0.06,'4_1':0.0},(245,432):{'3_1':0.03,'4_1':0.0},(245,431):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(245,430):{'3_1':0.09},(245,429):{'3_1':0.06,'4_1':0.0},(245,428):{'3_1':0.06},(245,427):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(245,426):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(245,425):{'3_1':0.06,'6_1':0.0},(245,424):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(245,423):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(245,422):{'3_1':0.09,'4_1':0.0},(245,421):{'3_1':0.06,'6_1':0.0,'4_1':0.0},(245,420):{'3_1':0.06,'4_1':0.0,'8_6':0.0},(245,419):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(245,418):{'3_1':0.03,'4_1':0.0},(245,417):{'3_1':0.06},(245,416):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(245,415):{'3_1':0.03,'4_1':0.03},(245,414):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(245,413):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(245,412):{'3_1':0.06,'4_1':0.0},(245,411):{'4_1':0.03,'3_1':0.0},(245,410):{'3_1':0.03},(245,409):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(245,408):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(245,407):{'3_1':0.03,'4_1':0.0},(245,406):{'3_1':0.09,'5_2':0.0},(245,405):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(245,404):{'3_1':0.06},(245,403):{'3_1':0.03,'5_1':0.0},(245,402):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(245,401):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(245,400):{'3_1':0.06,'4_1':0.0},(245,399):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(245,398):{'3_1':0.09,'5_2':0.0},(245,397):{'3_1':0.06,'4_1':0.0},(245,396):{'3_1':0.06,'4_1':0.0},(245,395):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(245,394):{'3_1':0.0},(245,393):{'3_1':0.09,'5_2':0.0},(245,392):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0},(245,391):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(245,390):{'3_1':0.12,'6_2':0.0,'6_3':0.0,'7_5':0.0},(245,389):{'3_1':0.06,'4_1':0.03},(245,388):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(245,387):{'3_1':0.06,'4_1':0.03},(245,386):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(245,385):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(245,384):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'5_1':0.0},(245,383):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(245,382):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(245,381):{'3_1':0.12,'4_1':0.0},(245,380):{'3_1':0.09,'5_2':0.03},(245,379):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(245,378):{'3_1':0.15,'4_1':0.0},(245,377):{'3_1':0.09,'5_2':0.03,'8_10':0.0},(245,376):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(245,375):{'3_1':0.09},(245,374):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(245,373):{'3_1':0.12},(245,372):{'3_1':0.09,'4_1':0.0},(245,371):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(245,370):{'3_1':0.06,'4_1':0.0},(245,369):{'3_1':0.03,'4_1':0.0},(245,368):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(245,367):{'3_1':0.06,'4_1':0.0},(245,366):{'3_1':0.06,'4_1':0.0},(245,365):{'3_1':0.06,'5_2':0.0},(245,364):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(245,363):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(245,362):{'3_1':0.09},(245,361):{'3_1':0.06,'4_1':0.0},(245,360):{'3_1':0.06,'4_1':0.0},(245,359):{'3_1':0.03,'4_1':0.0},(245,358):{'3_1':0.15,'4_1':0.0},(245,357):{'3_1':0.06},(245,356):{'3_1':0.06,'7_4':0.0},(245,355):{'3_1':0.06,'4_1':0.0},(245,354):{'3_1':0.03,'5_1':0.0},(245,353):{'3_1':0.06},(245,352):{'3_1':0.09,'4_1':0.0},(245,351):{'3_1':0.03},(245,350):{'3_1':0.03,'4_1':0.0},(245,349):{'3_1':0.03},(245,348):{'3_1':0.09,'4_1':0.0},(245,347):{'3_1':0.03,'4_1':0.0},(245,346):{'3_1':0.0},(245,345):{'4_1':0.0,'3_1':0.0},(245,344):{'3_1':0.0},(245,343):{'3_1':0.0,'4_1':0.0},(245,342):{'3_1':0.06,'4_1':0.0},(245,341):{'3_1':0.0},(245,340):{'3_1':0.0},(245,339):{'3_1':0.0},(245,338):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(245,337):{'3_1':0.0,'4_1':0.0},(245,336):{'3_1':0.0,'5_1':0.0},(245,335):{'3_1':0.0},(245,334):{'3_1':0.0,'4_1':0.0},(245,333):{'3_1':0.06},(245,332):{'3_1':0.0},(245,331):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(245,330):{'3_1':0.03,'4_1':0.0},(245,329):{'3_1':0.03,'4_1':0.0},(245,328):{'3_1':0.03},(245,325):{'3_1':0.0,'4_1':0.0},(245,324):{'3_1':0.0,'6_2':0.0},(245,323):{'3_1':0.0},(245,322):{'3_1':0.0},(245,321):{'3_1':0.0},(245,320):{'3_1':0.0},(245,319):{'3_1':0.0},(245,318):{'3_1':0.0},(245,317):{'3_1':0.0},(245,316):{'3_1':0.0},(245,315):{'3_1':0.0},(245,314):{'3_1':0.0},(245,313):{'3_1':0.0},(245,312):{'3_1':0.0},(245,310):{'3_1':0.0},(245,309):{'3_1':0.0},(245,308):{'3_1':0.0},(245,307):{'3_1':0.0},(245,306):{'3_1':0.0},(245,305):{'3_1':0.03},(245,304):{'3_1':0.0,'4_1':0.0},(245,303):{'3_1':0.03},(245,302):{'3_1':0.0},(245,301):{'3_1':0.03},(245,300):{'3_1':0.0},(245,299):{'3_1':0.0},(245,298):{'3_1':0.03},(245,297):{'3_1':0.0},(245,296):{'3_1':0.0},(245,295):{'3_1':0.0},(245,293):{'3_1':0.0},(245,292):{'3_1':0.0},(245,291):{'3_1':0.0},(245,290):{'3_1':0.0},(245,289):{'3_1':0.0},(245,288):{'3_1':0.0},(245,287):{'3_1':0.0},(245,286):{'3_1':0.0},(245,285):{'3_1':0.0},(245,279):{'3_1':0.0},(245,278):{'3_1':0.0},(245,277):{'3_1':0.0},(245,275):{'3_1':0.0},(245,274):{'3_1':0.0},(245,273):{'3_1':0.0},(245,272):{'3_1':0.0},(246,459):{'3_1':0.06},(246,458):{'3_1':0.06},(246,457):{'3_1':0.06},(246,456):{'3_1':0.0},(246,455):{'3_1':0.03},(246,454):{'3_1':0.09},(246,453):{'3_1':0.03},(246,452):{'3_1':0.06},(246,451):{'3_1':0.06,'4_1':0.0},(246,450):{'3_1':0.03,'4_1':0.0},(246,449):{'3_1':0.03},(246,448):{'3_1':0.03},(246,447):{'3_1':0.03},(246,446):{'3_1':0.03,'6_1':0.0},(246,445):{'3_1':0.03,'6_1':0.0},(246,444):{'3_1':0.09},(246,443):{'3_1':0.03,'7_6':0.0},(246,442):{'3_1':0.06},(246,441):{'3_1':0.03,'4_1':0.0},(246,440):{'3_1':0.06,'4_1':0.0},(246,439):{'3_1':0.0},(246,438):{'3_1':0.03,'6_1':0.0},(246,437):{'3_1':0.09},(246,436):{'3_1':0.09},(246,435):{'3_1':0.06},(246,434):{'3_1':0.12,'4_1':0.0},(246,433):{'3_1':0.03,'4_1':0.0},(246,432):{'3_1':0.09,'4_1':0.0},(246,431):{'3_1':0.09,'5_2':0.0},(246,430):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(246,429):{'3_1':0.03,'4_1':0.0},(246,428):{'3_1':0.03},(246,427):{'3_1':0.09,'6_1':0.0},(246,426):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(246,425):{'3_1':0.03,'4_1':0.0},(246,424):{'3_1':0.06},(246,423):{'3_1':0.09,'4_1':0.0},(246,422):{'3_1':0.0},(246,421):{'3_1':0.06,'6_1':0.0},(246,420):{'3_1':0.03,'4_1':0.03,'7_6':0.0},(246,419):{'3_1':0.03,'4_1':0.0},(246,418):{'3_1':0.03,'4_1':0.0},(246,417):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(246,416):{'3_1':0.0,'4_1':0.0},(246,415):{'3_1':0.06,'4_1':0.03},(246,414):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(246,413):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(246,412):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(246,411):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(246,410):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(246,409):{'3_1':0.12,'4_1':0.0},(246,408):{'3_1':0.12,'4_1':0.0},(246,407):{'3_1':0.06,'4_1':0.0},(246,406):{'3_1':0.06},(246,405):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(246,404):{'3_1':0.03},(246,403):{'3_1':0.12,'5_2':0.0},(246,402):{'3_1':0.06},(246,401):{'3_1':0.06},(246,400):{'3_1':0.06},(246,399):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(246,398):{'3_1':0.06,'5_2':0.0},(246,397):{'3_1':0.03,'5_1':0.0},(246,396):{'3_1':0.09,'4_1':0.0},(246,395):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(246,394):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(246,393):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(246,392):{'3_1':0.12,'6_3':0.0,'4_1':0.0},(246,391):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(246,390):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_19':0.0},(246,389):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(246,388):{'3_1':0.06,'4_1':0.0},(246,387):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(246,386):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(246,385):{'3_1':0.09,'5_2':0.0},(246,384):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(246,383):{'3_1':0.15},(246,382):{'3_1':0.09,'6_3':0.0},(246,381):{'3_1':0.15,'4_1':0.0},(246,380):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(246,379):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(246,378):{'3_1':0.12,'4_1':0.0},(246,377):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(246,376):{'3_1':0.12},(246,375):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(246,374):{'3_1':0.03,'4_1':0.0},(246,373):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(246,372):{'3_1':0.06,'4_1':0.0},(246,371):{'3_1':0.12,'5_2':0.0},(246,370):{'3_1':0.06,'6_2':0.0},(246,369):{'3_1':0.09,'4_1':0.0},(246,368):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(246,367):{'3_1':0.03},(246,366):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(246,365):{'3_1':0.09,'4_1':0.0},(246,364):{'3_1':0.09},(246,363):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(246,362):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(246,361):{'3_1':0.09},(246,360):{'3_1':0.09,'4_1':0.0},(246,359):{'3_1':0.06},(246,358):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(246,357):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(246,356):{'3_1':0.15,'5_1':0.0},(246,355):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(246,354):{'3_1':0.09,'4_1':0.0},(246,353):{'3_1':0.03,'5_2':0.0},(246,352):{'3_1':0.06,'5_2':0.0},(246,351):{'3_1':0.09,'5_2':0.0},(246,350):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(246,349):{'3_1':0.03},(246,348):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(246,347):{'3_1':0.0,'4_1':0.0},(246,346):{'4_1':0.0},(246,345):{'3_1':0.0},(246,344):{'3_1':0.03},(246,342):{'3_1':0.0,'5_1':0.0},(246,341):{'3_1':0.0,'4_1':0.0},(246,340):{'3_1':0.0,'4_1':0.0},(246,339):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(246,338):{'3_1':0.03},(246,337):{'3_1':0.03,'5_2':0.0},(246,336):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(246,335):{'3_1':0.0},(246,334):{'3_1':0.06},(246,333):{'3_1':0.06,'4_1':0.0},(246,332):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(246,331):{'3_1':0.03,'4_1':0.0},(246,330):{'3_1':0.03},(246,329):{'3_1':0.0,'4_1':0.0},(246,328):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(246,327):{'3_1':0.0,'4_1':0.0},(246,326):{'3_1':0.0,'4_1':0.0},(246,325):{'3_1':0.0},(246,324):{'3_1':0.0},(246,323):{'5_2':0.0},(246,322):{'3_1':0.0},(246,321):{'3_1':0.0},(246,319):{'3_1':0.0},(246,318):{'3_1':0.0},(246,317):{'3_1':0.03},(246,316):{'3_1':0.0},(246,315):{'3_1':0.03},(246,314):{'3_1':0.03},(246,313):{'3_1':0.0},(246,312):{'3_1':0.0},(246,310):{'3_1':0.0},(246,309):{'3_1':0.0},(246,308):{'3_1':0.0},(246,307):{'3_1':0.0},(246,306):{'3_1':0.0},(246,305):{'3_1':0.0},(246,303):{'3_1':0.0},(246,302):{'3_1':0.0},(246,301):{'3_1':0.0},(246,299):{'3_1':0.0},(246,298):{'3_1':0.03},(246,297):{'3_1':0.0},(246,296):{'3_1':0.0},(246,295):{'3_1':0.0},(246,294):{'3_1':0.0,'5_2':0.0},(246,293):{'3_1':0.0},(246,292):{'3_1':0.0},(246,291):{'3_1':0.0},(246,290):{'3_1':0.0},(246,289):{'3_1':0.0},(246,287):{'3_1':0.0},(246,286):{'3_1':0.0},(246,285):{'3_1':0.0},(246,283):{'3_1':0.0},(246,282):{'3_1':0.0},(246,281):{'3_1':0.0},(246,279):{'3_1':0.03},(246,276):{'3_1':0.0},(246,275):{'3_1':0.0},(247,459):{'3_1':0.03},(247,458):{'3_1':0.06,'5_1':0.0},(247,457):{'3_1':0.03},(247,456):{'3_1':0.09,'5_1':0.0},(247,455):{'3_1':0.0,'5_1':0.0},(247,454):{'3_1':0.03,'4_1':0.0},(247,453):{'3_1':0.09,'4_1':0.0},(247,452):{'3_1':0.03,'7_6':0.0},(247,451):{'3_1':0.12},(247,450):{'3_1':0.03},(247,449):{'3_1':0.09},(247,448):{'3_1':0.03},(247,447):{'3_1':0.06},(247,446):{'3_1':0.09,'4_1':0.0},(247,445):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(247,444):{'3_1':0.0,'4_1':0.0},(247,443):{'3_1':0.09},(247,442):{'3_1':0.06,'4_1':0.0},(247,441):{'3_1':0.06,'4_1':0.0},(247,440):{'3_1':0.06},(247,439):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(247,438):{'3_1':0.06,'4_1':0.0},(247,437):{'3_1':0.06,'4_1':0.0},(247,436):{'3_1':0.03},(247,435):{'3_1':0.03},(247,434):{'3_1':0.09},(247,433):{'3_1':0.06,'4_1':0.0},(247,432):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(247,431):{'3_1':0.03,'4_1':0.0},(247,430):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(247,429):{'3_1':0.12,'4_1':0.0},(247,428):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(247,427):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(247,426):{'3_1':0.03,'4_1':0.0},(247,425):{'3_1':0.09,'4_1':0.03},(247,424):{'3_1':0.03,'4_1':0.0},(247,423):{'3_1':0.18,'4_1':0.0},(247,422):{'3_1':0.06,'4_1':0.0},(247,421):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(247,420):{'3_1':0.06,'4_1':0.0},(247,419):{'3_1':0.06},(247,418):{'3_1':0.09,'4_1':0.03},(247,417):{'3_1':0.09,'4_1':0.0},(247,416):{'3_1':0.03,'4_1':0.0},(247,415):{'3_1':0.03,'4_1':0.0},(247,414):{'3_1':0.09,'4_1':0.03},(247,413):{'3_1':0.03,'4_1':0.03},(247,412):{'3_1':0.12,'4_1':0.0},(247,411):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(247,410):{'3_1':0.06,'6_1':0.0},(247,409):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(247,408):{'3_1':0.09,'6_3':0.0,'8_21|3_1#4_1':0.0},(247,407):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(247,406):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(247,405):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(247,404):{'3_1':0.12,'6_2':0.0,'6_3':0.0},(247,403):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(247,402):{'3_1':0.09,'4_1':0.0},(247,401):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(247,400):{'3_1':0.06},(247,399):{'3_1':0.09,'5_2':0.0},(247,398):{'3_1':0.09,'4_1':0.0},(247,397):{'3_1':0.06,'5_2':0.0},(247,396):{'3_1':0.09,'4_1':0.0},(247,395):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(247,394):{'3_1':0.06},(247,393):{'3_1':0.15,'4_1':0.0},(247,392):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(247,391):{'3_1':0.03,'5_1':0.0},(247,390):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(247,389):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(247,388):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(247,387):{'3_1':0.12,'4_1':0.0},(247,386):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_6':0.0},(247,385):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_7':0.0},(247,384):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0,'5_1':0.0,'6_2':0.0},(247,383):{'3_1':0.18,'4_1':0.0},(247,382):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(247,381):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(247,380):{'3_1':0.18,'4_1':0.0},(247,379):{'3_1':0.12,'5_2':0.0,'6_3':0.0,'4_1':0.0},(247,378):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(247,377):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(247,376):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0},(247,375):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(247,374):{'3_1':0.12,'4_1':0.0},(247,373):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(247,372):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(247,371):{'3_1':0.12,'4_1':0.0},(247,370):{'3_1':0.09,'4_1':0.0},(247,369):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(247,368):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(247,367):{'3_1':0.09,'4_1':0.0},(247,366):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(247,365):{'3_1':0.12,'5_2':0.0},(247,364):{'3_1':0.09},(247,363):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(247,362):{'3_1':0.09,'6_3':0.0},(247,361):{'3_1':0.03,'4_1':0.03},(247,360):{'3_1':0.12,'4_1':0.0},(247,359):{'3_1':0.06,'5_2':0.0},(247,358):{'3_1':0.06,'4_1':0.0},(247,357):{'3_1':0.09},(247,356):{'3_1':0.09,'4_1':0.0},(247,355):{'3_1':0.03,'5_1':0.0},(247,354):{'3_1':0.03},(247,353):{'3_1':0.12},(247,352):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(247,351):{'3_1':0.06},(247,350):{'3_1':0.06,'5_2':0.0},(247,349):{'3_1':0.03,'4_1':0.0},(247,348):{'3_1':0.0},(247,347):{'3_1':0.0},(247,346):{'3_1':0.03},(247,345):{'3_1':0.03},(247,344):{'3_1':0.03},(247,343):{'3_1':0.0},(247,342):{'3_1':0.0},(247,340):{'3_1':0.06,'4_1':0.0},(247,339):{'3_1':0.0,'4_1':0.0},(247,338):{'3_1':0.03},(247,337):{'3_1':0.06,'4_1':0.0},(247,336):{'4_1':0.0,'3_1':0.0},(247,335):{'3_1':0.0},(247,334):{'3_1':0.0,'4_1':0.0},(247,333):{'3_1':0.03,'4_1':0.0},(247,332):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(247,331):{'3_1':0.03},(247,330):{'3_1':0.03,'4_1':0.0},(247,329):{'3_1':0.03,'4_1':0.0},(247,328):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(247,327):{'4_1':0.0,'3_1':0.0},(247,326):{'3_1':0.03,'4_1':0.0},(247,325):{'3_1':0.0,'4_1':0.0},(247,324):{'3_1':0.0},(247,323):{'4_1':0.0},(247,322):{'3_1':0.0},(247,321):{'3_1':0.03},(247,320):{'3_1':0.0},(247,319):{'3_1':0.0},(247,318):{'3_1':0.03},(247,317):{'3_1':0.0},(247,316):{'3_1':0.03},(247,315):{'3_1':0.0},(247,314):{'3_1':0.0},(247,313):{'3_1':0.0},(247,311):{'3_1':0.03},(247,310):{'3_1':0.0},(247,309):{'3_1':0.03},(247,308):{'3_1':0.0},(247,306):{'3_1':0.0},(247,305):{'3_1':0.0},(247,304):{'3_1':0.0},(247,303):{'3_1':0.0},(247,302):{'3_1':0.0},(247,301):{'3_1':0.0},(247,300):{'3_1':0.0},(247,299):{'3_1':0.0},(247,297):{'3_1':0.0},(247,295):{'3_1':0.0},(247,294):{'3_1':0.0,'5_2':0.0},(247,293):{'3_1':0.0},(247,292):{'3_1':0.03},(247,290):{'3_1':0.03},(247,288):{'3_1':0.0},(247,285):{'3_1':0.0},(247,282):{'3_1':0.0},(247,279):{'3_1':0.0},(248,459):{'3_1':0.12},(248,458):{'3_1':0.06},(248,457):{'3_1':0.06},(248,456):{'3_1':0.09},(248,455):{'3_1':0.09},(248,454):{'3_1':0.03},(248,453):{'3_1':0.03,'4_1':0.0},(248,452):{'3_1':0.09,'4_1':0.0},(248,451):{'3_1':0.09,'5_1':0.0},(248,450):{'3_1':0.12,'4_1':0.0},(248,449):{'3_1':0.06},(248,448):{'3_1':0.09,'4_1':0.0},(248,447):{'3_1':0.15},(248,446):{'3_1':0.09,'5_1':0.0},(248,445):{'3_1':0.09},(248,444):{'3_1':0.09,'4_1':0.0},(248,443):{'3_1':0.06,'4_1':0.0},(248,442):{'3_1':0.09},(248,441):{'3_1':0.09,'4_1':0.0},(248,440):{'3_1':0.09},(248,439):{'3_1':0.12,'6_1':0.0},(248,438):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(248,437):{'3_1':0.12,'4_1':0.0},(248,436):{'3_1':0.06},(248,435):{'3_1':0.12,'4_1':0.0},(248,434):{'3_1':0.09,'4_1':0.0},(248,433):{'3_1':0.06},(248,432):{'3_1':0.06,'4_1':0.0},(248,431):{'3_1':0.09,'4_1':0.0},(248,430):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(248,429):{'3_1':0.06,'5_2':0.0},(248,428):{'3_1':0.09,'4_1':0.0},(248,427):{'3_1':0.09,'6_1':0.0},(248,426):{'3_1':0.12,'5_2':0.0},(248,425):{'3_1':0.12,'4_1':0.0},(248,424):{'3_1':0.12,'4_1':0.0},(248,423):{'3_1':0.06,'5_2':0.0},(248,422):{'3_1':0.09,'4_1':0.03},(248,421):{'3_1':0.12,'4_1':0.0},(248,420):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(248,419):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(248,418):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(248,417):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(248,416):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(248,415):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(248,414):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(248,413):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(248,412):{'3_1':0.09,'4_1':0.0},(248,411):{'3_1':0.12,'6_3':0.0,'5_2':0.0,'6_1':0.0},(248,410):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(248,409):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(248,408):{'3_1':0.03,'5_2':0.0},(248,407):{'3_1':0.15,'8_21|3_1#4_1':0.0},(248,406):{'3_1':0.18,'4_1':0.0},(248,405):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(248,404):{'3_1':0.09},(248,403):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(248,402):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(248,401):{'3_1':0.09},(248,400):{'3_1':0.09,'8_9':0.0},(248,399):{'3_1':0.06,'4_1':0.0},(248,398):{'3_1':0.15,'4_1':0.0},(248,397):{'3_1':0.09,'4_1':0.0},(248,396):{'3_1':0.12},(248,395):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(248,394):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(248,393):{'3_1':0.15,'4_1':0.0},(248,392):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0},(248,391):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(248,390):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(248,389):{'3_1':0.12,'4_1':0.0},(248,388):{'3_1':0.06,'4_1':0.0},(248,387):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(248,386):{'3_1':0.12,'4_1':0.03},(248,385):{'3_1':0.09,'4_1':0.0},(248,384):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(248,383):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(248,382):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(248,381):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(248,380):{'3_1':0.12,'4_1':0.0,'-3':0.0,'5_2':0.0},(248,379):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(248,378):{'3_1':0.06,'4_1':0.0,'8_10':0.0,'-3':0.0},(248,377):{'3_1':0.12,'5_2':0.0},(248,376):{'3_1':0.12,'4_1':0.0},(248,375):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(248,374):{'3_1':0.12,'4_1':0.0},(248,373):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(248,372):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(248,371):{'3_1':0.18},(248,370):{'3_1':0.06,'5_1':0.0},(248,369):{'3_1':0.06,'4_1':0.0},(248,368):{'3_1':0.12,'5_1':0.0},(248,367):{'3_1':0.12},(248,366):{'3_1':0.12},(248,365):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(248,364):{'3_1':0.12,'5_1':0.0},(248,363):{'3_1':0.12},(248,362):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(248,361):{'3_1':0.12,'4_1':0.0},(248,360):{'3_1':0.12},(248,359):{'3_1':0.12},(248,358):{'3_1':0.12},(248,357):{'3_1':0.09},(248,356):{'3_1':0.12},(248,355):{'3_1':0.09,'4_1':0.0},(248,354):{'3_1':0.12},(248,353):{'3_1':0.09,'4_1':0.0},(248,352):{'3_1':0.06,'5_2':0.0},(248,351):{'3_1':0.09},(248,350):{'3_1':0.06,'5_1':0.0},(248,349):{'3_1':0.06},(248,348):{'3_1':0.03},(248,347):{'3_1':0.0},(248,344):{'3_1':0.03,'4_1':0.0},(248,343):{'3_1':0.0,'4_1':0.0},(248,342):{'3_1':0.03},(248,341):{'3_1':0.0,'4_1':0.0},(248,340):{'3_1':0.03,'4_1':0.0},(248,339):{'3_1':0.03,'5_2':0.0},(248,338):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(248,337):{'3_1':0.0,'4_1':0.0},(248,336):{'3_1':0.03,'4_1':0.0},(248,335):{'3_1':0.03},(248,334):{'3_1':0.03},(248,333):{'3_1':0.0},(248,332):{'3_1':0.03,'4_1':0.0},(248,331):{'4_1':0.0,'3_1':0.0},(248,330):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(248,329):{'3_1':0.0,'4_1':0.0},(248,328):{'3_1':0.0,'4_1':0.0},(248,327):{'3_1':0.0},(248,326):{'3_1':0.0},(248,323):{'3_1':0.0},(248,322):{'3_1':0.0},(248,321):{'3_1':0.0},(248,320):{'3_1':0.0,'4_1':0.0},(248,319):{'3_1':0.0},(248,318):{'3_1':0.0},(248,317):{'3_1':0.0},(248,316):{'3_1':0.0},(248,315):{'3_1':0.0},(248,314):{'3_1':0.03},(248,313):{'3_1':0.0},(248,312):{'3_1':0.03},(248,311):{'3_1':0.0},(248,310):{'3_1':0.03,'5_2':0.0},(248,309):{'3_1':0.0},(248,308):{'3_1':0.0},(248,307):{'3_1':0.0},(248,306):{'3_1':0.0},(248,305):{'3_1':0.0},(248,304):{'3_1':0.03},(248,303):{'3_1':0.0},(248,302):{'3_1':0.0},(248,301):{'3_1':0.0},(248,299):{'3_1':0.0},(248,298):{'3_1':0.0},(248,297):{'3_1':0.03},(248,293):{'3_1':0.0},(248,292):{'3_1':0.0,'4_1':0.0},(248,290):{'3_1':0.0},(248,289):{'3_1':0.0,'4_1':0.0},(248,288):{'3_1':0.03,'4_1':0.0},(248,284):{'3_1':0.0},(248,281):{'3_1':0.0},(248,278):{'3_1':0.0},(249,459):{'3_1':0.06},(249,458):{'3_1':0.06,'4_1':0.0},(249,457):{'3_1':0.09},(249,456):{'3_1':0.15},(249,455):{'3_1':0.12},(249,454):{'3_1':0.15},(249,453):{'3_1':0.06},(249,452):{'3_1':0.06},(249,451):{'3_1':0.06,'4_1':0.0},(249,450):{'3_1':0.12},(249,449):{'3_1':0.06},(249,448):{'3_1':0.12,'4_1':0.0},(249,447):{'3_1':0.18,'4_1':0.0},(249,446):{'3_1':0.09,'6_1':0.0},(249,445):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(249,444):{'3_1':0.15,'6_1':0.0},(249,443):{'3_1':0.12,'4_1':0.0},(249,442):{'3_1':0.09,'4_1':0.0},(249,441):{'3_1':0.12,'4_1':0.0},(249,440):{'3_1':0.06,'6_3':0.0},(249,439):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(249,438):{'3_1':0.09,'6_1':0.0},(249,437):{'3_1':0.09},(249,436):{'3_1':0.09,'6_1':0.0,'4_1':0.0,'5_2':0.0},(249,435):{'3_1':0.15,'4_1':0.0},(249,434):{'3_1':0.09,'4_1':0.0,'7_6':0.0},(249,433):{'3_1':0.09,'4_1':0.0},(249,432):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(249,431):{'3_1':0.12,'4_1':0.0},(249,430):{'3_1':0.15,'4_1':0.0},(249,429):{'3_1':0.12,'4_1':0.0},(249,428):{'3_1':0.15},(249,427):{'3_1':0.12,'4_1':0.0},(249,426):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(249,425):{'3_1':0.09,'4_1':0.0},(249,424):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(249,423):{'3_1':0.12,'4_1':0.0},(249,422):{'3_1':0.09,'4_1':0.0},(249,421):{'3_1':0.03,'6_1':0.0,'6_3':0.0},(249,420):{'3_1':0.06},(249,419):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(249,418):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(249,417):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(249,416):{'3_1':0.03,'4_1':0.03},(249,415):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(249,414):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(249,413):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(249,412):{'3_1':0.12,'4_1':0.0},(249,411):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(249,410):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(249,409):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(249,408):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(249,407):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(249,406):{'3_1':0.06,'4_1':0.0},(249,405):{'3_1':0.15},(249,404):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(249,403):{'3_1':0.06,'6_3':0.0},(249,402):{'3_1':0.12},(249,401):{'3_1':0.15,'4_1':0.0},(249,400):{'3_1':0.09,'5_2':0.0},(249,399):{'3_1':0.15,'4_1':0.0},(249,398):{'3_1':0.12,'5_2':0.0},(249,397):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(249,396):{'3_1':0.15,'5_2':0.0},(249,395):{'3_1':0.15},(249,394):{'3_1':0.15,'5_2':0.0},(249,393):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(249,392):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(249,391):{'3_1':0.18,'5_2':0.0,'6_3':0.0},(249,390):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_3':0.0},(249,389):{'3_1':0.15,'4_1':0.03},(249,388):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(249,387):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(249,386):{'3_1':0.15,'4_1':0.0},(249,385):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(249,384):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0},(249,383):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0},(249,382):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(249,381):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(249,380):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_6':0.0},(249,379):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(249,378):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(249,377):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(249,376):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(249,375):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(249,374):{'3_1':0.09},(249,373):{'3_1':0.09,'5_2':0.0},(249,372):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(249,371):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(249,370):{'3_1':0.18},(249,369):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(249,368):{'3_1':0.09,'4_1':0.0},(249,367):{'3_1':0.18},(249,366):{'3_1':0.09},(249,365):{'3_1':0.09,'4_1':0.0},(249,364):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(249,363):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(249,362):{'3_1':0.12},(249,361):{'3_1':0.09,'4_1':0.0},(249,360):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(249,359):{'3_1':0.09,'4_1':0.0},(249,358):{'3_1':0.15,'4_1':0.0},(249,357):{'3_1':0.09,'4_1':0.0},(249,356):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(249,355):{'3_1':0.12},(249,354):{'3_1':0.06,'4_1':0.0},(249,353):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(249,352):{'3_1':0.09,'5_2':0.0},(249,351):{'3_1':0.06},(249,350):{'3_1':0.09},(249,349):{'3_1':0.03},(249,348):{'3_1':0.03},(249,347):{'3_1':0.03},(249,346):{'3_1':0.0},(249,345):{'3_1':0.0,'4_1':0.0},(249,344):{'3_1':0.0},(249,343):{'3_1':0.03},(249,342):{'3_1':0.03},(249,341):{'3_1':0.0},(249,340):{'3_1':0.06,'4_1':0.03},(249,339):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(249,338):{'3_1':0.03},(249,337):{'3_1':0.06,'4_1':0.0},(249,336):{'3_1':0.03},(249,335):{'3_1':0.03,'4_1':0.0},(249,334):{'3_1':0.0,'5_1':0.0},(249,333):{'3_1':0.03,'4_1':0.0},(249,332):{'3_1':0.03,'4_1':0.0},(249,331):{'3_1':0.0},(249,330):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(249,329):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(249,328):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(249,327):{'3_1':0.0,'5_2':0.0},(249,326):{'3_1':0.0},(249,325):{'3_1':0.0},(249,324):{'3_1':0.03},(249,323):{'3_1':0.0},(249,322):{'3_1':0.0,'4_1':0.0},(249,321):{'3_1':0.0},(249,320):{'3_1':0.0},(249,319):{'3_1':0.03},(249,318):{'3_1':0.03},(249,317):{'3_1':0.0},(249,316):{'3_1':0.03},(249,315):{'3_1':0.0},(249,314):{'3_1':0.03},(249,313):{'3_1':0.0},(249,312):{'3_1':0.0},(249,311):{'3_1':0.0},(249,309):{'3_1':0.0},(249,308):{'3_1':0.0},(249,306):{'3_1':0.03},(249,305):{'3_1':0.03},(249,304):{'3_1':0.0},(249,303):{'3_1':0.0},(249,302):{'3_1':0.03},(249,300):{'3_1':0.0},(249,299):{'3_1':0.0},(249,298):{'3_1':0.0},(249,297):{'3_1':0.0},(249,296):{'3_1':0.0},(249,295):{'3_1':0.0},(249,294):{'3_1':0.0},(249,293):{'3_1':0.0},(249,292):{'3_1':0.0},(249,291):{'3_1':0.0},(249,289):{'3_1':0.0},(249,285):{'3_1':0.0},(249,281):{'3_1':0.0},(249,277):{'3_1':0.0},(249,276):{'3_1':0.0},(250,459):{'3_1':0.15},(250,458):{'3_1':0.15},(250,457):{'3_1':0.09,'5_2':0.0},(250,456):{'3_1':0.15},(250,455):{'3_1':0.12,'4_1':0.0},(250,454):{'3_1':0.15},(250,453):{'3_1':0.12},(250,452):{'3_1':0.15},(250,451):{'3_1':0.15},(250,450):{'3_1':0.09},(250,449):{'3_1':0.12},(250,448):{'3_1':0.12},(250,447):{'3_1':0.09,'4_1':0.0},(250,446):{'3_1':0.12,'6_2':0.0},(250,445):{'3_1':0.09,'4_1':0.0},(250,444):{'3_1':0.12},(250,443):{'3_1':0.15},(250,442):{'3_1':0.12,'6_1':0.0},(250,441):{'3_1':0.12,'4_1':0.0},(250,440):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(250,439):{'3_1':0.12,'4_1':0.0},(250,438):{'3_1':0.12},(250,437):{'3_1':0.15,'4_1':0.03},(250,436):{'3_1':0.12,'4_1':0.0},(250,435):{'3_1':0.12},(250,434):{'3_1':0.15},(250,433):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(250,432):{'3_1':0.09,'4_1':0.0},(250,431):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(250,430):{'3_1':0.12,'4_1':0.0},(250,429):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_6':0.0},(250,428):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(250,427):{'3_1':0.12,'4_1':0.0},(250,426):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(250,425):{'3_1':0.09,'4_1':0.03},(250,424):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(250,423):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(250,422):{'3_1':0.15,'4_1':0.0},(250,421):{'3_1':0.09,'4_1':0.03},(250,420):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(250,419):{'3_1':0.09,'6_3':0.0},(250,418):{'3_1':0.15,'4_1':0.0},(250,417):{'3_1':0.09,'5_2':0.0,'-3':0.0},(250,416):{'3_1':0.06,'4_1':0.0},(250,415):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(250,414):{'3_1':0.12,'4_1':0.03},(250,413):{'3_1':0.15,'4_1':0.0},(250,412):{'3_1':0.15,'4_1':0.03},(250,411):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(250,410):{'3_1':0.06,'4_1':0.03},(250,409):{'3_1':0.12},(250,408):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(250,407):{'3_1':0.12},(250,406):{'3_1':0.12,'4_1':0.0},(250,405):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(250,404):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(250,403):{'3_1':0.06,'4_1':0.0},(250,402):{'3_1':0.15,'5_2':0.0},(250,401):{'3_1':0.09,'4_1':0.0},(250,400):{'3_1':0.12,'5_1':0.0,'6_3':0.0},(250,399):{'3_1':0.15},(250,398):{'3_1':0.15},(250,397):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(250,396):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(250,395):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(250,394):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(250,393):{'3_1':0.15,'5_2':0.0},(250,392):{'3_1':0.21,'5_2':0.0},(250,391):{'3_1':0.24},(250,390):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(250,389):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(250,388):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_3':0.0},(250,387):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(250,386):{'3_1':0.15},(250,385):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(250,384):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(250,383):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(250,382):{'3_1':0.18,'4_1':0.03},(250,381):{'3_1':0.18,'5_2':0.0},(250,380):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(250,379):{'3_1':0.12,'5_2':0.03,'6_1':0.0},(250,378):{'3_1':0.18,'6_3':0.0,'5_2':0.0},(250,377):{'3_1':0.18,'4_1':0.0,'7_6':0.0},(250,376):{'3_1':0.15,'4_1':0.0},(250,375):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(250,374):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(250,373):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(250,372):{'3_1':0.18,'5_2':0.0},(250,371):{'3_1':0.12,'4_1':0.0},(250,370):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(250,369):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(250,368):{'3_1':0.12,'5_2':0.0},(250,367):{'3_1':0.06},(250,366):{'3_1':0.15,'5_2':0.0},(250,365):{'3_1':0.12,'4_1':0.0},(250,364):{'3_1':0.12,'4_1':0.0},(250,363):{'3_1':0.18},(250,362):{'3_1':0.15,'5_2':0.0},(250,361):{'3_1':0.06,'4_1':0.0},(250,360):{'3_1':0.12,'5_2':0.0},(250,359):{'3_1':0.15,'5_2':0.0},(250,358):{'3_1':0.12},(250,357):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(250,356):{'3_1':0.12},(250,355):{'3_1':0.12},(250,354):{'3_1':0.09,'5_2':0.0},(250,353):{'3_1':0.12},(250,352):{'3_1':0.06,'5_1':0.0},(250,351):{'3_1':0.09},(250,350):{'3_1':0.09},(250,349):{'3_1':0.09},(250,348):{'3_1':0.03},(250,347):{'3_1':0.0},(250,346):{'3_1':0.0,'4_1':0.0},(250,345):{'3_1':0.06},(250,344):{'3_1':0.06},(250,343):{'3_1':0.06},(250,342):{'3_1':0.03,'4_1':0.0},(250,341):{'3_1':0.0,'4_1':0.0},(250,340):{'3_1':0.0,'4_1':0.0},(250,339):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(250,338):{'3_1':0.03},(250,337):{'3_1':0.0,'4_1':0.0},(250,336):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(250,335):{'3_1':0.0,'4_1':0.0},(250,334):{'3_1':0.03,'4_1':0.0},(250,333):{'3_1':0.03,'4_1':0.0},(250,332):{'3_1':0.06,'4_1':0.0},(250,331):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(250,330):{'3_1':0.06,'4_1':0.0},(250,329):{'3_1':0.0,'4_1':0.0},(250,328):{'3_1':0.0,'5_2':0.0},(250,327):{'3_1':0.0},(250,326):{'3_1':0.0,'5_2':0.0},(250,325):{'3_1':0.0},(250,324):{'3_1':0.0},(250,323):{'3_1':0.0},(250,322):{'3_1':0.03},(250,321):{'3_1':0.0},(250,318):{'3_1':0.03},(250,317):{'3_1':0.06},(250,316):{'3_1':0.03},(250,315):{'3_1':0.0},(250,314):{'3_1':0.03},(250,313):{'3_1':0.0},(250,312):{'3_1':0.0},(250,311):{'3_1':0.03,'4_1':0.0},(250,309):{'3_1':0.0},(250,308):{'3_1':0.0},(250,307):{'3_1':0.0},(250,306):{'3_1':0.0},(250,305):{'3_1':0.03},(250,304):{'3_1':0.0},(250,303):{'3_1':0.0},(250,302):{'3_1':0.03},(250,301):{'3_1':0.0},(250,300):{'3_1':0.0},(250,299):{'3_1':0.0},(250,298):{'3_1':0.0},(250,296):{'3_1':0.0},(250,295):{'3_1':0.0},(250,294):{'3_1':0.0},(250,293):{'3_1':0.0},(250,292):{'3_1':0.03},(250,290):{'3_1':0.0},(250,288):{'3_1':0.0},(250,283):{'3_1':0.0},(250,278):{'3_1':0.0},(250,276):{'3_1':0.0},(251,459):{'3_1':0.15},(251,458):{'3_1':0.12},(251,457):{'3_1':0.12},(251,456):{'3_1':0.12},(251,455):{'3_1':0.06},(251,454):{'3_1':0.18,'4_1':0.0},(251,453):{'3_1':0.09},(251,452):{'3_1':0.18},(251,451):{'3_1':0.09},(251,450):{'3_1':0.06},(251,449):{'3_1':0.15},(251,448):{'3_1':0.12},(251,447):{'3_1':0.15,'4_1':0.0},(251,446):{'3_1':0.09},(251,445):{'3_1':0.06,'6_1':0.0},(251,444):{'3_1':0.12},(251,443):{'3_1':0.09},(251,442):{'3_1':0.09,'4_1':0.0},(251,441):{'3_1':0.09},(251,440):{'3_1':0.09},(251,439):{'3_1':0.09},(251,438):{'3_1':0.15,'4_1':0.0},(251,437):{'3_1':0.09},(251,436):{'3_1':0.06,'4_1':0.0},(251,435):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(251,434):{'3_1':0.09,'4_1':0.0},(251,433):{'3_1':0.12},(251,432):{'3_1':0.09,'4_1':0.0},(251,431):{'3_1':0.15,'5_2':0.0},(251,430):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(251,429):{'3_1':0.15,'5_2':0.0},(251,428):{'3_1':0.15},(251,427):{'3_1':0.06,'4_1':0.0},(251,426):{'3_1':0.12,'4_1':0.0},(251,425):{'3_1':0.09,'5_2':0.0,'7_6':0.0},(251,424):{'3_1':0.12,'5_2':0.0},(251,423):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(251,422):{'3_1':0.09},(251,421):{'3_1':0.12,'4_1':0.0},(251,420):{'3_1':0.15,'4_1':0.0},(251,419):{'3_1':0.12,'4_1':0.0},(251,418):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(251,417):{'3_1':0.18,'4_1':0.03,'7_6':0.0},(251,416):{'3_1':0.15,'4_1':0.03,'6_3':0.0},(251,415):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(251,414):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0},(251,413):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(251,412):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(251,411):{'3_1':0.12,'4_1':0.0},(251,410):{'3_1':0.03,'6_1':0.0},(251,409):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(251,408):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(251,407):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(251,406):{'3_1':0.12,'4_1':0.0},(251,405):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(251,404):{'3_1':0.03,'4_1':0.03},(251,403):{'3_1':0.24},(251,402):{'3_1':0.09},(251,401):{'3_1':0.12,'6_3':0.0},(251,400):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(251,399):{'3_1':0.12,'5_2':0.0},(251,398):{'3_1':0.12,'5_2':0.0},(251,397):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(251,396):{'3_1':0.09,'5_2':0.0},(251,395):{'3_1':0.06,'5_2':0.0},(251,394):{'3_1':0.15,'5_2':0.0},(251,393):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(251,392):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(251,391):{'3_1':0.12,'5_2':0.0},(251,390):{'3_1':0.18,'4_1':0.0},(251,389):{'3_1':0.09,'4_1':0.0},(251,388):{'3_1':0.15,'4_1':0.0},(251,387):{'3_1':0.15,'4_1':0.0},(251,386):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(251,385):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(251,384):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(251,383):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(251,382):{'3_1':0.09,'4_1':0.0},(251,381):{'3_1':0.12,'5_2':0.0,'6_3':0.0,'-3':0.0},(251,380):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(251,379):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'-3':0.0},(251,378):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'-3':0.0},(251,377):{'3_1':0.12,'5_2':0.03},(251,376):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(251,375):{'3_1':0.12,'4_1':0.0},(251,374):{'3_1':0.18,'4_1':0.0},(251,373):{'3_1':0.09,'4_1':0.0},(251,372):{'3_1':0.18,'5_2':0.0},(251,371):{'3_1':0.09,'4_1':0.0},(251,370):{'3_1':0.15,'5_2':0.0},(251,369):{'3_1':0.15},(251,368):{'3_1':0.15,'7_2':0.0},(251,367):{'3_1':0.12,'6_1':0.0},(251,366):{'3_1':0.15,'4_1':0.0},(251,365):{'3_1':0.15},(251,364):{'3_1':0.12},(251,363):{'3_1':0.12,'5_2':0.0},(251,362):{'3_1':0.09},(251,361):{'3_1':0.15,'5_2':0.0},(251,360):{'3_1':0.12,'4_1':0.0},(251,359):{'3_1':0.09},(251,358):{'3_1':0.15,'5_2':0.0},(251,357):{'3_1':0.15},(251,356):{'3_1':0.06},(251,355):{'3_1':0.09,'5_2':0.0},(251,354):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(251,353):{'3_1':0.12,'4_1':0.0},(251,352):{'3_1':0.15},(251,351):{'3_1':0.12},(251,350):{'3_1':0.09},(251,349):{'3_1':0.03},(251,348):{'3_1':0.06},(251,347):{'3_1':0.03},(251,346):{'3_1':0.0},(251,345):{'3_1':0.03},(251,344):{'3_1':0.0},(251,343):{'3_1':0.0},(251,342):{'3_1':0.03},(251,341):{'3_1':0.03,'4_1':0.0},(251,340):{'3_1':0.0},(251,339):{'3_1':0.03,'4_1':0.0},(251,338):{'3_1':0.06,'4_1':0.0},(251,337):{'3_1':0.0},(251,336):{'3_1':0.03},(251,335):{'3_1':0.03},(251,334):{'3_1':0.03,'4_1':0.0},(251,333):{'3_1':0.06,'4_1':0.0},(251,332):{'3_1':0.06},(251,331):{'4_1':0.03,'3_1':0.0},(251,330):{'3_1':0.03},(251,329):{'3_1':0.03,'4_1':0.0},(251,328):{'3_1':0.0,'4_1':0.0},(251,327):{'4_1':0.0},(251,326):{'3_1':0.0},(251,325):{'3_1':0.0},(251,324):{'3_1':0.0},(251,323):{'3_1':0.0,'4_1':0.0},(251,322):{'3_1':0.0},(251,321):{'3_1':0.0},(251,320):{'3_1':0.0},(251,319):{'3_1':0.0},(251,318):{'3_1':0.0,'4_1':0.0},(251,317):{'3_1':0.0},(251,316):{'3_1':0.0},(251,315):{'3_1':0.0},(251,314):{'3_1':0.0},(251,313):{'3_1':0.03},(251,312):{'3_1':0.03},(251,311):{'3_1':0.0},(251,310):{'3_1':0.0},(251,309):{'3_1':0.0},(251,306):{'3_1':0.0},(251,305):{'3_1':0.0},(251,304):{'3_1':0.0},(251,303):{'3_1':0.0},(251,302):{'3_1':0.03},(251,301):{'3_1':0.0},(251,300):{'3_1':0.0},(251,299):{'3_1':0.0},(251,298):{'3_1':0.0},(251,296):{'3_1':0.0},(251,295):{'3_1':0.03},(251,294):{'3_1':0.0},(251,293):{'3_1':0.0},(251,292):{'3_1':0.0},(251,291):{'3_1':0.0},(251,289):{'3_1':0.0},(251,288):{'3_1':0.0},(251,287):{'3_1':0.0},(251,286):{'3_1':0.0},(251,284):{'3_1':0.0},(251,280):{'3_1':0.0},(252,459):{'3_1':0.06},(252,458):{'3_1':0.12,'4_1':0.0},(252,457):{'3_1':0.09},(252,456):{'3_1':0.12},(252,455):{'3_1':0.12},(252,454):{'3_1':0.09},(252,453):{'3_1':0.09},(252,452):{'3_1':0.15},(252,451):{'3_1':0.15,'4_1':0.0},(252,450):{'3_1':0.09},(252,449):{'3_1':0.09},(252,448):{'3_1':0.09},(252,447):{'3_1':0.09,'4_1':0.0},(252,446):{'3_1':0.12},(252,445):{'3_1':0.15},(252,444):{'3_1':0.09},(252,443):{'3_1':0.09},(252,442):{'3_1':0.12,'4_1':0.0},(252,441):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(252,440):{'3_1':0.12},(252,439):{'3_1':0.12,'6_1':0.0},(252,438):{'3_1':0.09,'4_1':0.0},(252,437):{'3_1':0.09,'4_1':0.0},(252,436):{'3_1':0.18,'4_1':0.0},(252,435):{'3_1':0.12,'4_1':0.0},(252,434):{'3_1':0.09,'4_1':0.0},(252,433):{'3_1':0.09,'5_1':0.0},(252,432):{'3_1':0.06},(252,431):{'3_1':0.12,'4_1':0.0},(252,430):{'3_1':0.09,'4_1':0.0},(252,429):{'3_1':0.15,'5_2':0.0},(252,428):{'3_1':0.12,'4_1':0.0},(252,427):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(252,426):{'3_1':0.12,'4_1':0.0,'8_7':0.0},(252,425):{'3_1':0.06,'4_1':0.0},(252,424):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(252,423):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(252,422):{'3_1':0.12,'4_1':0.0},(252,421):{'3_1':0.09,'4_1':0.0},(252,420):{'3_1':0.15,'4_1':0.0},(252,419):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(252,418):{'3_1':0.15,'4_1':0.03},(252,417):{'3_1':0.09,'4_1':0.03},(252,416):{'3_1':0.09,'4_1':0.0},(252,415):{'3_1':0.15,'4_1':0.0},(252,414):{'3_1':0.09,'4_1':0.0},(252,413):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(252,412):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(252,411):{'3_1':0.12,'4_1':0.03},(252,410):{'3_1':0.12,'4_1':0.0},(252,409):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(252,408):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(252,407):{'3_1':0.09,'4_1':0.0},(252,406):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(252,405):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(252,404):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(252,403):{'3_1':0.09,'5_2':0.0},(252,402):{'3_1':0.06},(252,401):{'3_1':0.15,'5_2':0.0},(252,400):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(252,399):{'3_1':0.09,'5_2':0.0},(252,398):{'3_1':0.15},(252,397):{'3_1':0.15,'5_2':0.0,'6_2':0.0},(252,396):{'3_1':0.15},(252,395):{'3_1':0.06,'4_1':0.0},(252,394):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(252,393):{'3_1':0.12,'5_1':0.0,'6_3':0.0},(252,392):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(252,391):{'3_1':0.15,'4_1':0.0},(252,390):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(252,389):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(252,388):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(252,387):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(252,386):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(252,385):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(252,384):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(252,383):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(252,382):{'3_1':0.12,'6_3':0.0,'4_1':0.0},(252,381):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(252,380):{'3_1':0.12,'4_1':0.0,'-3':0.0},(252,379):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(252,378):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(252,377):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(252,376):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'7_6':0.0},(252,375):{'3_1':0.09,'5_2':0.0},(252,374):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(252,373):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(252,372):{'3_1':0.12},(252,371):{'3_1':0.15,'5_2':0.0},(252,370):{'3_1':0.12,'5_2':0.0},(252,369):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(252,368):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(252,367):{'3_1':0.15},(252,366):{'3_1':0.06,'4_1':0.0},(252,365):{'3_1':0.09,'4_1':0.0},(252,364):{'3_1':0.06,'5_2':0.0},(252,363):{'3_1':0.09},(252,362):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(252,361):{'3_1':0.15,'5_2':0.0},(252,360):{'3_1':0.12},(252,359):{'3_1':0.06,'4_1':0.0},(252,358):{'3_1':0.12},(252,357):{'3_1':0.09,'5_2':0.0},(252,356):{'3_1':0.09},(252,355):{'3_1':0.09,'5_1':0.0},(252,354):{'3_1':0.06},(252,353):{'3_1':0.12},(252,352):{'3_1':0.09},(252,351):{'3_1':0.06},(252,350):{'3_1':0.03},(252,349):{'3_1':0.03},(252,348):{'3_1':0.03},(252,347):{'3_1':0.03},(252,346):{'3_1':0.03},(252,345):{'3_1':0.0,'4_1':0.0},(252,344):{'3_1':0.0},(252,343):{'3_1':0.0},(252,341):{'3_1':0.0,'5_2':0.0},(252,340):{'4_1':0.0,'3_1':0.0},(252,339):{'3_1':0.0,'4_1':0.0},(252,338):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(252,337):{'3_1':0.0,'4_1':0.0},(252,336):{'3_1':0.03,'4_1':0.0},(252,335):{'3_1':0.03},(252,334):{'3_1':0.03,'4_1':0.0},(252,333):{'3_1':0.06,'4_1':0.0},(252,332):{'3_1':0.03,'4_1':0.0},(252,331):{'3_1':0.06,'4_1':0.0},(252,330):{'3_1':0.03,'4_1':0.0},(252,329):{'4_1':0.0,'3_1':0.0},(252,328):{'3_1':0.03},(252,326):{'3_1':0.0},(252,325):{'3_1':0.0},(252,323):{'3_1':0.0},(252,322):{'3_1':0.0},(252,321):{'3_1':0.0},(252,320):{'3_1':0.0},(252,319):{'3_1':0.0},(252,318):{'3_1':0.03},(252,317):{'3_1':0.06},(252,316):{'3_1':0.06},(252,315):{'3_1':0.03,'5_1':0.0},(252,314):{'3_1':0.03},(252,313):{'3_1':0.03},(252,312):{'3_1':0.03},(252,311):{'3_1':0.0},(252,310):{'3_1':0.0},(252,309):{'3_1':0.0},(252,308):{'3_1':0.0},(252,305):{'3_1':0.03},(252,304):{'3_1':0.03},(252,303):{'3_1':0.0},(252,302):{'3_1':0.0},(252,301):{'3_1':0.0},(252,299):{'3_1':0.0},(252,298):{'3_1':0.0},(252,297):{'3_1':0.0},(252,296):{'3_1':0.0},(252,295):{'3_1':0.0},(252,293):{'3_1':0.0},(252,292):{'3_1':0.0},(252,291):{'3_1':0.0},(252,288):{'4_1':0.0},(252,287):{'3_1':0.0},(252,278):{'3_1':0.0},(252,271):{'3_1':0.03},(252,270):{'3_1':0.0},(252,268):{'3_1':0.0},(252,267):{'3_1':0.0},(252,265):{'3_1':0.0},(252,264):{'3_1':0.0},(253,459):{'3_1':0.09},(253,458):{'3_1':0.06,'4_1':0.0},(253,457):{'3_1':0.06,'4_1':0.0},(253,456):{'3_1':0.06},(253,455):{'3_1':0.06},(253,454):{'3_1':0.06,'4_1':0.0},(253,453):{'3_1':0.06},(253,452):{'3_1':0.09},(253,451):{'3_1':0.06},(253,450):{'3_1':0.06},(253,449):{'3_1':0.09,'4_1':0.0},(253,448):{'3_1':0.12},(253,447):{'3_1':0.06,'6_2':0.0},(253,446):{'3_1':0.12,'6_1':0.0},(253,445):{'3_1':0.06},(253,444):{'3_1':0.03,'4_1':0.0},(253,443):{'3_1':0.12,'5_2':0.0},(253,442):{'3_1':0.09,'4_1':0.0},(253,441):{'3_1':0.06},(253,440):{'3_1':0.06},(253,439):{'3_1':0.09},(253,438):{'3_1':0.12,'4_1':0.0},(253,437):{'3_1':0.09},(253,436):{'3_1':0.03,'6_1':0.0},(253,435):{'3_1':0.06,'4_1':0.03},(253,434):{'3_1':0.06,'4_1':0.0},(253,433):{'3_1':0.09},(253,432):{'3_1':0.09,'4_1':0.0},(253,431):{'3_1':0.09,'4_1':0.0},(253,430):{'3_1':0.09,'4_1':0.0},(253,429):{'3_1':0.09,'4_1':0.0},(253,428):{'3_1':0.06,'5_2':0.0},(253,427):{'3_1':0.06,'5_2':0.0},(253,426):{'3_1':0.03},(253,425):{'3_1':0.06,'4_1':0.0},(253,424):{'3_1':0.09,'4_1':0.0},(253,423):{'3_1':0.09,'4_1':0.0},(253,422):{'3_1':0.09,'4_1':0.0},(253,421):{'3_1':0.09,'4_1':0.0},(253,420):{'3_1':0.06,'4_1':0.0},(253,419):{'3_1':0.03,'5_2':0.0},(253,418):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(253,417):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(253,416):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(253,415):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(253,414):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(253,413):{'3_1':0.12,'4_1':0.0},(253,412):{'3_1':0.06},(253,411):{'3_1':0.06,'4_1':0.03},(253,410):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(253,409):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(253,408):{'3_1':0.21,'4_1':0.0},(253,407):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(253,406):{'3_1':0.06},(253,405):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(253,404):{'3_1':0.12},(253,403):{'3_1':0.06},(253,402):{'3_1':0.12},(253,401):{'3_1':0.03},(253,400):{'3_1':0.06,'8_10':0.0},(253,399):{'3_1':0.09,'5_2':0.0},(253,398):{'3_1':0.12,'4_1':0.0},(253,397):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(253,396):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(253,395):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_6':0.0},(253,394):{'3_1':0.09,'4_1':0.0},(253,393):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(253,392):{'3_1':0.09,'4_1':0.0},(253,391):{'3_1':0.09},(253,390):{'3_1':0.12,'5_2':0.0},(253,389):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(253,388):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(253,387):{'3_1':0.15,'4_1':0.03},(253,386):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(253,385):{'3_1':0.12,'4_1':0.0},(253,384):{'3_1':0.12,'4_1':0.0},(253,383):{'3_1':0.09,'6_3':0.0,'4_1':0.0,'5_2':0.0},(253,382):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(253,381):{'3_1':0.12,'4_1':0.0},(253,380):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(253,379):{'3_1':0.12,'4_1':0.0},(253,378):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0,'-3':0.0},(253,377):{'3_1':0.15,'4_1':0.0},(253,376):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(253,375):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(253,374):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(253,373):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(253,372):{'3_1':0.12,'4_1':0.0},(253,371):{'3_1':0.15,'5_2':0.0},(253,370):{'3_1':0.12,'5_2':0.0},(253,369):{'3_1':0.12},(253,368):{'3_1':0.09},(253,367):{'3_1':0.09},(253,366):{'3_1':0.12,'5_2':0.0},(253,365):{'3_1':0.15},(253,364):{'3_1':0.12},(253,363):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(253,362):{'3_1':0.09,'4_1':0.0},(253,361):{'3_1':0.09},(253,360):{'3_1':0.09,'4_1':0.0},(253,359):{'3_1':0.06,'5_2':0.0},(253,358):{'3_1':0.09},(253,357):{'3_1':0.06},(253,356):{'3_1':0.09,'4_1':0.0},(253,355):{'3_1':0.09,'5_2':0.0},(253,354):{'3_1':0.12},(253,353):{'3_1':0.09},(253,352):{'3_1':0.06,'4_1':0.0},(253,351):{'3_1':0.06},(253,350):{'3_1':0.09},(253,349):{'3_1':0.06,'6_3':0.0},(253,348):{'3_1':0.03,'4_1':0.0},(253,347):{'3_1':0.06},(253,346):{'3_1':0.0},(253,345):{'3_1':0.03},(253,344):{'3_1':0.03},(253,343):{'3_1':0.0},(253,342):{'3_1':0.06},(253,341):{'3_1':0.12},(253,340):{'3_1':0.03,'4_1':0.0},(253,339):{'3_1':0.06,'4_1':0.0},(253,338):{'3_1':0.03,'5_1':0.0},(253,337):{'3_1':0.06},(253,336):{'3_1':0.03,'4_1':0.0},(253,335):{'3_1':0.0},(253,334):{'3_1':0.06,'4_1':0.0},(253,333):{'3_1':0.03,'4_1':0.0},(253,332):{'3_1':0.09,'4_1':0.0},(253,331):{'3_1':0.03},(253,330):{'3_1':0.06},(253,329):{'3_1':0.0,'4_1':0.0},(253,328):{'3_1':0.0},(253,327):{'3_1':0.0,'4_1':0.0},(253,326):{'3_1':0.03,'4_1':0.0},(253,325):{'3_1':0.0},(253,324):{'3_1':0.0},(253,323):{'3_1':0.0},(253,319):{'3_1':0.0,'4_1':0.0},(253,318):{'3_1':0.03},(253,317):{'3_1':0.03,'4_1':0.0},(253,316):{'3_1':0.03},(253,315):{'3_1':0.0},(253,314):{'3_1':0.0},(253,313):{'3_1':0.0},(253,312):{'3_1':0.0},(253,309):{'3_1':0.0},(253,308):{'3_1':0.0},(253,307):{'3_1':0.0},(253,306):{'3_1':0.0},(253,305):{'3_1':0.0},(253,304):{'3_1':0.0},(253,303):{'3_1':0.0},(253,302):{'3_1':0.0},(253,301):{'3_1':0.0},(253,300):{'3_1':0.0},(253,299):{'3_1':0.0},(253,296):{'3_1':0.0},(253,294):{'3_1':0.0},(253,293):{'3_1':0.0},(253,292):{'3_1':0.0},(253,291):{'3_1':0.0},(253,288):{'3_1':0.0},(253,287):{'3_1':0.0},(253,276):{'3_1':0.03},(253,274):{'3_1':0.0},(253,273):{'3_1':0.0},(253,272):{'3_1':0.0},(253,271):{'3_1':0.0},(253,270):{'3_1':0.0},(253,269):{'3_1':0.0},(253,268):{'3_1':0.0},(253,267):{'3_1':0.0},(253,264):{'3_1':0.0},(254,459):{'3_1':0.06},(254,458):{'3_1':0.06,'4_1':0.0},(254,457):{'3_1':0.06,'4_1':0.0},(254,456):{'3_1':0.09},(254,455):{'3_1':0.03},(254,454):{'3_1':0.03},(254,453):{'3_1':0.03,'6_3':0.0},(254,452):{'3_1':0.06,'4_1':0.0},(254,451):{'3_1':0.18},(254,450):{'3_1':0.06},(254,449):{'3_1':0.06,'4_1':0.0},(254,448):{'3_1':0.12,'4_1':0.0},(254,447):{'3_1':0.06,'4_1':0.0,'7_7':0.0},(254,446):{'3_1':0.06,'4_1':0.0},(254,445):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(254,444):{'3_1':0.09,'4_1':0.0},(254,443):{'3_1':0.03},(254,442):{'3_1':0.06,'4_1':0.0},(254,441):{'3_1':0.06,'4_1':0.0},(254,440):{'3_1':0.09},(254,439):{'3_1':0.09},(254,438):{'3_1':0.06,'5_2':0.0},(254,437):{'3_1':0.09},(254,436):{'3_1':0.12},(254,435):{'3_1':0.03,'4_1':0.0},(254,434):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(254,433):{'3_1':0.06},(254,432):{'3_1':0.09,'4_1':0.0},(254,431):{'3_1':0.09,'4_1':0.0},(254,430):{'3_1':0.06,'4_1':0.0},(254,429):{'3_1':0.09,'4_1':0.0},(254,428):{'3_1':0.06,'4_1':0.0},(254,427):{'3_1':0.12},(254,426):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(254,425):{'3_1':0.09,'5_2':0.0},(254,424):{'3_1':0.06,'4_1':0.0},(254,423):{'3_1':0.12,'4_1':0.0},(254,422):{'3_1':0.06,'4_1':0.0},(254,421):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(254,420):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(254,419):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(254,418):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(254,417):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(254,416):{'3_1':0.06,'4_1':0.03},(254,415):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(254,414):{'3_1':0.06,'4_1':0.06},(254,413):{'3_1':0.09,'4_1':0.06},(254,412):{'3_1':0.09,'4_1':0.0},(254,411):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(254,410):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(254,409):{'3_1':0.09,'4_1':0.0},(254,408):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(254,407):{'3_1':0.12,'8_21|3_1#4_1':0.0},(254,406):{'3_1':0.09,'4_1':0.0},(254,405):{'3_1':0.06,'5_2':0.0},(254,404):{'3_1':0.12},(254,403):{'3_1':0.06,'4_1':0.0},(254,402):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(254,401):{'3_1':0.09},(254,400):{'3_1':0.06,'4_1':0.0},(254,399):{'3_1':0.12,'4_1':0.0},(254,398):{'3_1':0.09,'4_1':0.0},(254,397):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(254,396):{'3_1':0.18,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(254,395):{'3_1':0.12},(254,394):{'3_1':0.18,'5_2':0.0},(254,393):{'3_1':0.12,'5_2':0.0},(254,392):{'3_1':0.06},(254,391):{'3_1':0.12,'5_1':0.0},(254,390):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(254,389):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(254,388):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(254,387):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(254,386):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(254,385):{'3_1':0.09,'4_1':0.0},(254,384):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'5_2':0.0},(254,383):{'3_1':0.15,'4_1':0.0},(254,382):{'3_1':0.18,'4_1':0.0},(254,381):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(254,380):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'-3':0.0},(254,379):{'3_1':0.15,'5_2':0.0,'-3':0.0},(254,378):{'3_1':0.15},(254,377):{'3_1':0.06,'6_3':0.0,'4_1':0.0,'5_2':0.0},(254,376):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(254,375):{'3_1':0.09,'4_1':0.0},(254,374):{'3_1':0.09,'4_1':0.0},(254,373):{'3_1':0.06,'5_2':0.0},(254,372):{'3_1':0.06},(254,371):{'3_1':0.09},(254,370):{'3_1':0.09},(254,369):{'3_1':0.15,'6_1':0.0},(254,368):{'3_1':0.03,'4_1':0.0},(254,367):{'3_1':0.15},(254,366):{'3_1':0.03},(254,365):{'3_1':0.09,'5_2':0.0},(254,364):{'3_1':0.03,'4_1':0.0},(254,363):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(254,362):{'3_1':0.12},(254,361):{'3_1':0.09,'4_1':0.0},(254,360):{'3_1':0.06,'4_1':0.0},(254,359):{'3_1':0.15},(254,358):{'3_1':0.06},(254,357):{'3_1':0.09},(254,356):{'3_1':0.06},(254,355):{'3_1':0.12,'5_2':0.0},(254,354):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(254,353):{'3_1':0.06},(254,352):{'3_1':0.06,'8_20|3_1#3_1':0.0},(254,351):{'3_1':0.06},(254,350):{'3_1':0.06},(254,349):{'3_1':0.03,'4_1':0.0},(254,348):{'3_1':0.03},(254,347):{'3_1':0.03},(254,346):{'3_1':0.0},(254,345):{'3_1':0.0},(254,344):{'3_1':0.0,'4_1':0.0},(254,343):{'3_1':0.0,'5_1':0.0},(254,342):{'3_1':0.03,'8_20|3_1#3_1':0.0},(254,341):{'3_1':0.0,'4_1':0.0},(254,340):{'3_1':0.03,'5_1':0.0},(254,339):{'3_1':0.06},(254,338):{'3_1':0.06},(254,337):{'3_1':0.0,'4_1':0.0},(254,336):{'3_1':0.06,'4_1':0.0},(254,335):{'3_1':0.03,'4_1':0.0},(254,334):{'3_1':0.06,'4_1':0.0},(254,333):{'3_1':0.09,'4_1':0.0},(254,332):{'3_1':0.09,'4_1':0.0},(254,331):{'3_1':0.03,'4_1':0.0},(254,330):{'3_1':0.0,'4_1':0.0},(254,329):{'3_1':0.09},(254,328):{'3_1':0.03},(254,327):{'3_1':0.0},(254,325):{'3_1':0.0},(254,324):{'3_1':0.0},(254,323):{'3_1':0.0},(254,322):{'3_1':0.0},(254,321):{'3_1':0.0},(254,320):{'3_1':0.0},(254,318):{'3_1':0.0},(254,317):{'3_1':0.03,'5_1':0.0},(254,316):{'3_1':0.03},(254,315):{'3_1':0.03},(254,314):{'3_1':0.0},(254,313):{'3_1':0.0},(254,312):{'3_1':0.0},(254,311):{'3_1':0.0},(254,310):{'3_1':0.0},(254,308):{'3_1':0.03},(254,303):{'3_1':0.0},(254,302):{'3_1':0.0},(254,301):{'3_1':0.0},(254,299):{'3_1':0.0},(254,297):{'3_1':0.0,'4_1':0.0},(254,295):{'3_1':0.0},(254,293):{'3_1':0.0},(254,291):{'3_1':0.0},(254,290):{'3_1':0.0},(254,289):{'3_1':0.0},(254,288):{'3_1':0.0,'4_1':0.0},(254,285):{'3_1':0.0},(254,282):{'3_1':0.0},(254,281):{'3_1':0.0},(254,280):{'3_1':0.0},(254,278):{'3_1':0.0},(254,277):{'3_1':0.0},(254,275):{'3_1':0.0,'4_1':0.0},(254,274):{'3_1':0.0},(254,273):{'3_1':0.0},(254,272):{'3_1':0.0},(254,270):{'3_1':0.0},(254,268):{'3_1':0.0},(254,265):{'3_1':0.0},(254,264):{'3_1':0.0},(255,459):{'3_1':0.06},(255,458):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(255,457):{'3_1':0.03},(255,456):{'3_1':0.0,'4_1':0.0},(255,455):{'3_1':0.06},(255,454):{'3_1':0.06},(255,453):{'3_1':0.06},(255,452):{'3_1':0.06},(255,451):{'3_1':0.09},(255,450):{'3_1':0.06},(255,449):{'3_1':0.06,'4_1':0.0},(255,448):{'3_1':0.03,'5_2':0.0},(255,447):{'3_1':0.03,'4_1':0.0},(255,446):{'3_1':0.09},(255,445):{'3_1':0.09},(255,444):{'3_1':0.03},(255,443):{'3_1':0.06,'4_1':0.0},(255,442):{'3_1':0.06},(255,441):{'3_1':0.03},(255,440):{'3_1':0.06,'4_1':0.0},(255,439):{'3_1':0.03,'4_1':0.0},(255,438):{'3_1':0.09},(255,437):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(255,436):{'3_1':0.0,'4_1':0.0},(255,435):{'3_1':0.09,'4_1':0.0},(255,434):{'3_1':0.06,'4_1':0.0},(255,433):{'3_1':0.03,'4_1':0.0},(255,432):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(255,431):{'3_1':0.03},(255,430):{'3_1':0.06,'4_1':0.0},(255,429):{'3_1':0.06,'4_1':0.03},(255,428):{'3_1':0.09,'4_1':0.0},(255,427):{'3_1':0.09,'4_1':0.0},(255,426):{'3_1':0.09,'4_1':0.0},(255,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(255,424):{'3_1':0.0,'4_1':0.0},(255,423):{'3_1':0.09},(255,422):{'3_1':0.06},(255,421):{'3_1':0.09,'4_1':0.0},(255,420):{'3_1':0.03,'4_1':0.0},(255,419):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(255,418):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(255,417):{'3_1':0.06,'4_1':0.03},(255,416):{'3_1':0.06,'4_1':0.0},(255,415):{'3_1':0.06,'4_1':0.03},(255,414):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(255,413):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(255,412):{'4_1':0.09,'3_1':0.06},(255,411):{'4_1':0.09,'3_1':0.0,'5_2':0.0},(255,410):{'3_1':0.09,'4_1':0.0},(255,409):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(255,408):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(255,407):{'3_1':0.12,'4_1':0.0},(255,406):{'3_1':0.18,'4_1':0.0},(255,405):{'3_1':0.03,'4_1':0.0},(255,404):{'3_1':0.06,'4_1':0.0},(255,403):{'3_1':0.09},(255,402):{'3_1':0.03},(255,401):{'3_1':0.12,'4_1':0.0},(255,400):{'3_1':0.09,'5_2':0.0},(255,399):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(255,398):{'3_1':0.09,'4_1':0.0},(255,397):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_10':0.0},(255,396):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(255,395):{'3_1':0.06,'4_1':0.0},(255,394):{'3_1':0.12},(255,393):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(255,392):{'3_1':0.18,'6_3':0.0},(255,391):{'3_1':0.12},(255,390):{'3_1':0.12,'5_1':0.0},(255,389):{'3_1':0.09,'4_1':0.03},(255,388):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(255,387):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(255,386):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(255,385):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'-3':0.0},(255,384):{'3_1':0.09,'4_1':0.0},(255,383):{'3_1':0.09},(255,382):{'3_1':0.12},(255,381):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(255,380):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(255,379):{'3_1':0.15},(255,378):{'3_1':0.12,'4_1':0.0},(255,377):{'3_1':0.09,'5_2':0.0},(255,376):{'3_1':0.09},(255,375):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(255,374):{'3_1':0.06},(255,373):{'3_1':0.09,'4_1':0.0},(255,372):{'3_1':0.09},(255,371):{'3_1':0.09,'5_2':0.0},(255,370):{'3_1':0.12},(255,369):{'3_1':0.12},(255,368):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(255,367):{'3_1':0.09,'4_1':0.0},(255,366):{'3_1':0.06},(255,365):{'3_1':0.09},(255,364):{'3_1':0.12},(255,363):{'3_1':0.09,'4_1':0.0},(255,362):{'3_1':0.09,'4_1':0.0},(255,361):{'3_1':0.09},(255,360):{'3_1':0.09},(255,359):{'3_1':0.09},(255,358):{'3_1':0.09,'4_1':0.0},(255,357):{'3_1':0.06,'4_1':0.0},(255,356):{'3_1':0.09},(255,355):{'3_1':0.09,'5_1':0.0},(255,354):{'3_1':0.06,'4_1':0.0},(255,353):{'3_1':0.09},(255,352):{'3_1':0.09},(255,351):{'3_1':0.06},(255,350):{'3_1':0.0},(255,349):{'3_1':0.03},(255,348):{'3_1':0.0,'4_1':0.0},(255,347):{'3_1':0.0},(255,346):{'3_1':0.0},(255,345):{'3_1':0.03},(255,344):{'3_1':0.03,'5_2':0.0},(255,343):{'3_1':0.03},(255,342):{'3_1':0.03},(255,341):{'3_1':0.0},(255,340):{'3_1':0.06,'4_1':0.0},(255,339):{'3_1':0.03,'4_1':0.0},(255,338):{'3_1':0.03,'4_1':0.0},(255,337):{'3_1':0.03,'4_1':0.0},(255,336):{'3_1':0.06,'4_1':0.0},(255,335):{'3_1':0.06,'4_1':0.0},(255,334):{'3_1':0.03},(255,333):{'3_1':0.06,'4_1':0.0},(255,332):{'3_1':0.06,'4_1':0.0},(255,331):{'3_1':0.09},(255,330):{'3_1':0.06,'4_1':0.0},(255,329):{'3_1':0.06,'4_1':0.0},(255,328):{'3_1':0.03},(255,327):{'3_1':0.0},(255,326):{'3_1':0.0},(255,325):{'3_1':0.0},(255,322):{'3_1':0.0},(255,321):{'3_1':0.0},(255,320):{'3_1':0.0},(255,319):{'3_1':0.0},(255,318):{'3_1':0.0},(255,317):{'3_1':0.03},(255,316):{'3_1':0.03},(255,315):{'3_1':0.03},(255,314):{'3_1':0.0},(255,312):{'3_1':0.0},(255,308):{'3_1':0.0},(255,306):{'3_1':0.0},(255,304):{'3_1':0.0},(255,302):{'3_1':0.0},(255,301):{'3_1':0.0},(255,300):{'3_1':0.0},(255,298):{'3_1':0.0},(255,297):{'3_1':0.0},(255,296):{'3_1':0.0},(255,295):{'3_1':0.0},(255,288):{'3_1':0.0},(255,287):{'3_1':0.0},(255,286):{'3_1':0.0},(255,284):{'3_1':0.0},(255,281):{'3_1':0.0},(255,278):{'3_1':0.0},(255,277):{'3_1':0.0},(255,276):{'3_1':0.0},(255,274):{'3_1':0.0},(255,273):{'3_1':0.0},(255,272):{'3_1':0.0},(255,271):{'3_1':0.0},(255,270):{'3_1':0.03},(255,269):{'3_1':0.0},(255,268):{'3_1':0.0},(255,267):{'3_1':0.0},(255,265):{'3_1':0.0},(255,263):{'3_1':0.0},(256,459):{'3_1':0.0,'4_1':0.0},(256,458):{'3_1':0.03,'4_1':0.0},(256,457):{'3_1':0.03,'4_1':0.0},(256,456):{'3_1':0.09,'4_1':0.0},(256,455):{'3_1':0.03,'4_1':0.0},(256,454):{'3_1':0.0},(256,453):{'3_1':0.06},(256,452):{'3_1':0.0},(256,451):{'3_1':0.06},(256,450):{'3_1':0.03},(256,449):{'3_1':0.0},(256,448):{'3_1':0.03},(256,447):{'3_1':0.03},(256,446):{'3_1':0.0,'5_2':0.0},(256,445):{'3_1':0.03,'4_1':0.0},(256,444):{'3_1':0.06,'4_1':0.0},(256,443):{'3_1':0.0},(256,442):{'3_1':0.09,'4_1':0.0},(256,441):{'3_1':0.06,'4_1':0.0},(256,440):{'3_1':0.06},(256,439):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(256,438):{'3_1':0.06},(256,437):{'3_1':0.03},(256,436):{'3_1':0.03,'4_1':0.0},(256,435):{'3_1':0.06,'4_1':0.0},(256,434):{'3_1':0.06,'4_1':0.0},(256,433):{'3_1':0.09},(256,432):{'3_1':0.03,'4_1':0.0},(256,431):{'3_1':0.09},(256,430):{'3_1':0.03,'4_1':0.0},(256,429):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(256,428):{'3_1':0.06,'5_2':0.0},(256,427):{'3_1':0.03},(256,426):{'3_1':0.06,'4_1':0.0},(256,425):{'3_1':0.03,'6_3':0.0},(256,424):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(256,423):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(256,422):{'3_1':0.09,'4_1':0.0},(256,421):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(256,420):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(256,419):{'3_1':0.09,'4_1':0.0},(256,418):{'3_1':0.12,'4_1':0.0},(256,417):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(256,416):{'3_1':0.12,'4_1':0.06},(256,415):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(256,414):{'4_1':0.06,'3_1':0.06,'6_3':0.0},(256,413):{'4_1':0.06,'3_1':0.03},(256,412):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(256,411):{'3_1':0.06,'4_1':0.06,'6_3':0.0},(256,410):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(256,409):{'3_1':0.09,'4_1':0.0},(256,408):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(256,407):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(256,406):{'3_1':0.09,'4_1':0.0},(256,405):{'3_1':0.09},(256,404):{'3_1':0.12,'4_1':0.0},(256,403):{'3_1':0.06},(256,402):{'3_1':0.06},(256,401):{'3_1':0.12},(256,400):{'3_1':0.12,'5_2':0.0},(256,399):{'3_1':0.09,'5_2':0.0},(256,398):{'3_1':0.03},(256,397):{'3_1':0.06},(256,396):{'3_1':0.06,'8_20|3_1#3_1':0.0},(256,395):{'3_1':0.12},(256,394):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(256,393):{'3_1':0.18,'4_1':0.0},(256,392):{'3_1':0.12,'8_20|3_1#3_1':0.0},(256,391):{'3_1':0.09},(256,390):{'3_1':0.12,'5_1':0.0},(256,389):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(256,388):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(256,387):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(256,386):{'3_1':0.09,'4_1':0.06},(256,385):{'3_1':0.12,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(256,384):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(256,383):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(256,382):{'3_1':0.12,'4_1':0.0},(256,381):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(256,380):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(256,379):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(256,378):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_6':0.0},(256,377):{'3_1':0.12},(256,376):{'3_1':0.09,'4_1':0.0},(256,375):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(256,374):{'3_1':0.06},(256,373):{'3_1':0.09,'5_2':0.0},(256,372):{'3_1':0.03,'4_1':0.0},(256,371):{'3_1':0.06},(256,370):{'3_1':0.03},(256,369):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(256,368):{'3_1':0.06,'5_1':0.0},(256,367):{'3_1':0.06},(256,366):{'3_1':0.12,'4_1':0.0},(256,365):{'3_1':0.09,'4_1':0.0},(256,364):{'3_1':0.09},(256,363):{'3_1':0.06,'4_1':0.0},(256,362):{'3_1':0.06,'5_2':0.0},(256,361):{'3_1':0.06,'5_2':0.0},(256,360):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(256,359):{'3_1':0.09},(256,358):{'3_1':0.09},(256,357):{'3_1':0.06},(256,356):{'3_1':0.12},(256,355):{'3_1':0.12,'5_2':0.0},(256,354):{'3_1':0.09,'5_2':0.0},(256,353):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(256,352):{'3_1':0.09},(256,351):{'3_1':0.0,'4_1':0.0},(256,350):{'3_1':0.0},(256,349):{'3_1':0.06,'5_2':0.0},(256,348):{'3_1':0.0},(256,347):{'3_1':0.0,'5_1':0.0},(256,346):{'3_1':0.0},(256,345):{'3_1':0.03},(256,344):{'3_1':0.03},(256,343):{'3_1':0.03},(256,342):{'3_1':0.06},(256,341):{'3_1':0.0},(256,340):{'3_1':0.03},(256,339):{'3_1':0.03,'4_1':0.0},(256,338):{'3_1':0.06,'5_2':0.0},(256,337):{'3_1':0.03,'4_1':0.03},(256,336):{'3_1':0.03,'4_1':0.0},(256,335):{'3_1':0.03},(256,334):{'3_1':0.0,'4_1':0.0},(256,333):{'3_1':0.06,'4_1':0.0},(256,332):{'3_1':0.06,'4_1':0.0},(256,331):{'3_1':0.0,'4_1':0.0},(256,330):{'3_1':0.06},(256,329):{'3_1':0.06,'4_1':0.0},(256,328):{'3_1':0.06,'4_1':0.0},(256,327):{'3_1':0.0},(256,326):{'3_1':0.03,'4_1':0.0},(256,325):{'3_1':0.0},(256,323):{'3_1':0.0,'4_1':0.0},(256,322):{'3_1':0.0},(256,319):{'3_1':0.0},(256,318):{'3_1':0.0},(256,316):{'3_1':0.0},(256,315):{'3_1':0.03},(256,314):{'3_1':0.0},(256,312):{'3_1':0.03},(256,311):{'3_1':0.0},(256,310):{'3_1':0.0},(256,309):{'3_1':0.0},(256,308):{'3_1':0.0},(256,307):{'3_1':0.0},(256,306):{'3_1':0.0},(256,305):{'3_1':0.0},(256,303):{'3_1':0.0},(256,301):{'3_1':0.0},(256,299):{'3_1':0.0},(256,298):{'3_1':0.0},(256,297):{'3_1':0.0},(256,296):{'3_1':0.0},(256,295):{'3_1':0.0},(256,275):{'3_1':0.0},(256,274):{'3_1':0.0},(256,272):{'3_1':0.0},(256,271):{'3_1':0.0},(256,270):{'3_1':0.0},(256,269):{'3_1':0.0},(256,268):{'3_1':0.0},(256,264):{'3_1':0.0},(256,262):{'3_1':0.0},(257,459):{'3_1':0.03,'4_1':0.0},(257,458):{'3_1':0.03},(257,457):{'3_1':0.0},(257,456):{'3_1':0.03,'4_1':0.0},(257,455):{'3_1':0.0},(257,454):{'3_1':0.03},(257,453):{'3_1':0.06},(257,452):{'3_1':0.06,'5_2':0.0},(257,451):{'3_1':0.03},(257,450):{'3_1':0.0},(257,449):{'3_1':0.06,'5_2':0.0},(257,448):{'3_1':0.06,'6_2':0.0},(257,447):{'3_1':0.0},(257,446):{'3_1':0.06},(257,445):{'3_1':0.03},(257,444):{'3_1':0.03,'6_1':0.0},(257,443):{'3_1':0.06},(257,442):{'3_1':0.03,'4_1':0.0},(257,441):{'3_1':0.03,'4_1':0.0},(257,440):{'3_1':0.0},(257,439):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(257,438):{'3_1':0.0,'4_1':0.0},(257,437):{'3_1':0.06,'4_1':0.0},(257,436):{'3_1':0.06,'4_1':0.0},(257,435):{'3_1':0.06},(257,434):{'3_1':0.06},(257,433):{'3_1':0.06},(257,432):{'3_1':0.06},(257,431):{'3_1':0.03,'4_1':0.0},(257,430):{'3_1':0.03,'4_1':0.0},(257,429):{'3_1':0.09,'6_1':0.0},(257,428):{'3_1':0.06},(257,427):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(257,426):{'3_1':0.09,'4_1':0.0},(257,425):{'3_1':0.09,'5_2':0.0},(257,424):{'3_1':0.06,'4_1':0.0},(257,423):{'3_1':0.15,'4_1':0.0},(257,422):{'3_1':0.06,'4_1':0.03},(257,421):{'3_1':0.03,'4_1':0.0},(257,420):{'3_1':0.06,'4_1':0.03},(257,419):{'3_1':0.06,'4_1':0.0},(257,418):{'3_1':0.09,'4_1':0.0},(257,417):{'3_1':0.03,'4_1':0.03},(257,416):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(257,415):{'3_1':0.06,'4_1':0.0},(257,414):{'3_1':0.06,'4_1':0.03},(257,413):{'3_1':0.03,'4_1':0.0},(257,412):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(257,411):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(257,410):{'3_1':0.06,'4_1':0.0},(257,409):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(257,408):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_3':0.0},(257,407):{'3_1':0.03,'4_1':0.0},(257,406):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(257,405):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(257,404):{'3_1':0.06,'4_1':0.0},(257,403):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(257,402):{'3_1':0.03},(257,401):{'3_1':0.12},(257,400):{'3_1':0.06},(257,399):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(257,398):{'3_1':0.12,'5_2':0.0},(257,397):{'3_1':0.09},(257,396):{'3_1':0.09,'5_2':0.0},(257,395):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(257,394):{'3_1':0.15,'8_20|3_1#3_1':0.0},(257,393):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(257,392):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(257,391):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_3':0.0},(257,390):{'3_1':0.06,'4_1':0.0},(257,389):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(257,388):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(257,387):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(257,386):{'3_1':0.12,'8_20|3_1#3_1':0.0},(257,385):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(257,384):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(257,383):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(257,382):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(257,381):{'3_1':0.12,'4_1':0.0},(257,380):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'5_1':0.0,'5_2':0.0},(257,379):{'3_1':0.12,'5_2':0.0},(257,378):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(257,377):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(257,376):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(257,375):{'3_1':0.09},(257,374):{'3_1':0.15,'4_1':0.0},(257,373):{'3_1':0.12},(257,372):{'3_1':0.09,'5_2':0.0},(257,371):{'3_1':0.09,'4_1':0.0},(257,370):{'3_1':0.09},(257,369):{'3_1':0.09,'4_1':0.0},(257,368):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(257,367):{'3_1':0.12,'4_1':0.0},(257,366):{'3_1':0.09},(257,365):{'3_1':0.09,'4_1':0.0},(257,364):{'3_1':0.09,'4_1':0.0},(257,363):{'3_1':0.06},(257,362):{'3_1':0.09,'5_2':0.0},(257,361):{'3_1':0.09,'6_1':0.0},(257,360):{'3_1':0.09,'4_1':0.0},(257,359):{'3_1':0.09},(257,358):{'3_1':0.12},(257,357):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(257,356):{'3_1':0.06,'5_1':0.0},(257,355):{'3_1':0.06},(257,354):{'3_1':0.03,'5_2':0.0},(257,353):{'3_1':0.09,'4_1':0.0},(257,352):{'3_1':0.12},(257,351):{'3_1':0.03,'4_1':0.0},(257,350):{'3_1':0.09},(257,349):{'3_1':0.03},(257,348):{'3_1':0.03},(257,347):{'3_1':0.0,'4_1':0.0},(257,346):{'3_1':0.03},(257,345):{'3_1':0.03,'4_1':0.0},(257,344):{'3_1':0.0},(257,343):{'3_1':0.0},(257,342):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(257,341):{'3_1':0.0,'4_1':0.0},(257,340):{'3_1':0.09},(257,339):{'3_1':0.06,'4_1':0.0},(257,338):{'3_1':0.06},(257,337):{'3_1':0.0,'4_1':0.0},(257,336):{'3_1':0.03},(257,335):{'3_1':0.03},(257,334):{'3_1':0.03},(257,333):{'3_1':0.06,'4_1':0.0},(257,332):{'3_1':0.03,'4_1':0.0},(257,331):{'3_1':0.0},(257,330):{'3_1':0.09,'4_1':0.0},(257,329):{'3_1':0.03,'4_1':0.0},(257,328):{'3_1':0.0,'4_1':0.0},(257,327):{'3_1':0.0},(257,326):{'3_1':0.03},(257,325):{'3_1':0.0},(257,324):{'3_1':0.0},(257,323):{'3_1':0.0},(257,322):{'3_1':0.0,'4_1':0.0},(257,321):{'3_1':0.0},(257,320):{'3_1':0.0},(257,319):{'3_1':0.0},(257,318):{'3_1':0.0},(257,316):{'3_1':0.0},(257,315):{'3_1':0.0},(257,314):{'3_1':0.0},(257,313):{'3_1':0.03},(257,312):{'3_1':0.0},(257,310):{'3_1':0.0},(257,308):{'3_1':0.0},(257,297):{'3_1':0.0},(257,296):{'3_1':0.0},(257,295):{'3_1':0.0},(257,276):{'3_1':0.0},(257,275):{'3_1':0.0},(257,272):{'3_1':0.03},(257,271):{'3_1':0.0},(257,269):{'3_1':0.0},(257,265):{'3_1':0.0},(258,459):{'3_1':0.0},(258,458):{'3_1':0.03},(258,457):{'3_1':0.0},(258,456):{'3_1':0.03},(258,455):{'3_1':0.03,'4_1':0.0},(258,454):{'3_1':0.06,'4_1':0.0},(258,453):{'3_1':0.03},(258,452):{'3_1':0.03},(258,451):{'3_1':0.03},(258,450):{'3_1':0.03},(258,449):{'3_1':0.06},(258,448):{'3_1':0.03,'4_1':0.0},(258,447):{'3_1':0.03,'4_1':0.0},(258,446):{'3_1':0.03},(258,445):{'3_1':0.03,'4_1':0.0},(258,444):{'3_1':0.0,'4_1':0.0},(258,443):{'3_1':0.06},(258,442):{'3_1':0.0,'4_1':0.0},(258,441):{'3_1':0.0},(258,440):{'3_1':0.03},(258,439):{'3_1':0.0,'5_2':0.0},(258,438):{'3_1':0.0,'4_1':0.0},(258,437):{'3_1':0.06,'4_1':0.03},(258,436):{'3_1':0.06,'4_1':0.0},(258,435):{'3_1':0.03,'4_1':0.0},(258,434):{'3_1':0.03,'4_1':0.0},(258,433):{'3_1':0.03,'4_1':0.0},(258,432):{'3_1':0.06,'4_1':0.0},(258,431):{'3_1':0.03,'4_1':0.0},(258,430):{'3_1':0.03,'4_1':0.0},(258,429):{'3_1':0.06},(258,428):{'3_1':0.03},(258,427):{'3_1':0.03,'4_1':0.0},(258,426):{'3_1':0.06,'4_1':0.0},(258,425):{'3_1':0.09,'4_1':0.0},(258,424):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(258,423):{'3_1':0.0,'6_3':0.0},(258,422):{'3_1':0.06,'4_1':0.0},(258,421):{'3_1':0.09,'4_1':0.03},(258,420):{'3_1':0.03,'5_2':0.0},(258,419):{'3_1':0.09,'4_1':0.03},(258,418):{'3_1':0.06,'4_1':0.03},(258,417):{'4_1':0.06,'3_1':0.0},(258,416):{'3_1':0.09,'4_1':0.0},(258,415):{'3_1':0.06,'4_1':0.06},(258,414):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(258,413):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(258,412):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(258,411):{'3_1':0.06,'4_1':0.06},(258,410):{'3_1':0.03,'4_1':0.03},(258,409):{'3_1':0.09,'4_1':0.03},(258,408):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(258,407):{'3_1':0.12,'5_2':0.0},(258,406):{'3_1':0.09,'4_1':0.0},(258,405):{'3_1':0.06,'4_1':0.0},(258,404):{'3_1':0.15,'4_1':0.0},(258,403):{'3_1':0.06,'6_3':0.0},(258,402):{'3_1':0.03,'5_2':0.0},(258,401):{'3_1':0.06},(258,400):{'3_1':0.12},(258,399):{'3_1':0.06,'4_1':0.0},(258,398):{'3_1':0.09},(258,397):{'3_1':0.09,'5_1':0.0},(258,396):{'3_1':0.09},(258,395):{'3_1':0.06,'4_1':0.0},(258,394):{'3_1':0.09,'4_1':0.0},(258,393):{'3_1':0.15},(258,392):{'3_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0},(258,391):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(258,390):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(258,389):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(258,388):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(258,387):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(258,386):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(258,385):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(258,384):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(258,383):{'3_1':0.06,'4_1':0.0},(258,382):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(258,381):{'3_1':0.09,'5_2':0.0},(258,380):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(258,379):{'3_1':0.12,'4_1':0.0},(258,378):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(258,377):{'3_1':0.0,'5_2':0.0},(258,376):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(258,375):{'3_1':0.09},(258,374):{'3_1':0.06},(258,373):{'3_1':0.06,'5_1':0.0},(258,372):{'3_1':0.09},(258,371):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(258,370):{'3_1':0.09,'4_1':0.0},(258,369):{'3_1':0.12,'4_1':0.0},(258,368):{'3_1':0.09,'5_2':0.0},(258,367):{'3_1':0.09},(258,366):{'3_1':0.12,'4_1':0.0},(258,365):{'3_1':0.03},(258,364):{'3_1':0.06,'5_2':0.0},(258,363):{'3_1':0.06},(258,362):{'3_1':0.09},(258,361):{'3_1':0.06},(258,360):{'3_1':0.09},(258,359):{'3_1':0.03},(258,358):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(258,357):{'3_1':0.06},(258,356):{'3_1':0.03},(258,355):{'3_1':0.03},(258,354):{'3_1':0.06},(258,353):{'3_1':0.09},(258,352):{'3_1':0.12},(258,351):{'3_1':0.06},(258,350):{'3_1':0.06,'5_2':0.0},(258,349):{'3_1':0.03},(258,348):{'3_1':0.03,'4_1':0.0},(258,347):{'3_1':0.03},(258,346):{'3_1':0.03},(258,345):{'3_1':0.03},(258,344):{'3_1':0.0,'4_1':0.0},(258,343):{'3_1':0.03},(258,342):{'3_1':0.06,'5_1':0.0},(258,341):{'3_1':0.0},(258,340):{'3_1':0.06},(258,339):{'3_1':0.09,'5_1':0.0},(258,338):{'3_1':0.09,'4_1':0.0},(258,337):{'3_1':0.12,'4_1':0.0},(258,336):{'3_1':0.09,'4_1':0.0},(258,335):{'3_1':0.06},(258,334):{'3_1':0.12,'4_1':0.0},(258,333):{'3_1':0.03,'4_1':0.0},(258,332):{'3_1':0.03},(258,331):{'3_1':0.03},(258,330):{'3_1':0.0},(258,329):{'3_1':0.0,'4_1':0.0},(258,328):{'3_1':0.0},(258,327):{'3_1':0.0},(258,326):{'3_1':0.0},(258,325):{'3_1':0.0},(258,323):{'3_1':0.0},(258,322):{'3_1':0.0},(258,321):{'3_1':0.0},(258,320):{'3_1':0.0},(258,319):{'3_1':0.0},(258,318):{'3_1':0.0,'4_1':0.0},(258,317):{'3_1':0.0},(258,316):{'3_1':0.0},(258,314):{'3_1':0.0},(258,313):{'3_1':0.03},(258,312):{'3_1':0.0},(258,311):{'3_1':0.0},(258,310):{'3_1':0.0},(258,309):{'3_1':0.0},(258,306):{'3_1':0.0},(258,299):{'3_1':0.0},(258,295):{'3_1':0.0},(258,276):{'3_1':0.0,'4_1':0.0},(258,275):{'3_1':0.0,'4_1':0.0},(258,273):{'3_1':0.0},(258,272):{'3_1':0.03},(258,271):{'3_1':0.0},(258,270):{'3_1':0.0},(259,459):{'3_1':0.03},(259,458):{'3_1':0.0},(259,457):{'3_1':0.03},(259,456):{'3_1':0.0,'4_1':0.0},(259,455):{'3_1':0.03},(259,454):{'3_1':0.0},(259,453):{'3_1':0.03},(259,452):{'3_1':0.0},(259,451):{'3_1':0.03},(259,450):{'3_1':0.09},(259,449):{'3_1':0.0},(259,448):{'3_1':0.0},(259,447):{'3_1':0.03},(259,446):{'3_1':0.03,'5_2':0.0},(259,445):{'3_1':0.03},(259,444):{'3_1':0.06},(259,443):{'3_1':0.03},(259,442):{'3_1':0.06},(259,441):{'3_1':0.06},(259,440):{'3_1':0.06},(259,439):{'3_1':0.03},(259,438):{'3_1':0.03,'4_1':0.0},(259,437):{'3_1':0.0},(259,436):{'3_1':0.0,'4_1':0.0},(259,435):{'3_1':0.06},(259,434):{'3_1':0.03,'4_1':0.0},(259,433):{'3_1':0.06},(259,432):{'3_1':0.06},(259,431):{'3_1':0.06,'5_2':0.0},(259,430):{'3_1':0.03,'4_1':0.0},(259,429):{'3_1':0.0,'4_1':0.0},(259,428):{'3_1':0.0,'4_1':0.0},(259,427):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(259,426):{'3_1':0.03,'4_1':0.0},(259,425):{'3_1':0.06,'5_2':0.0},(259,424):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(259,423):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(259,422):{'3_1':0.06,'4_1':0.0},(259,421):{'3_1':0.06,'4_1':0.0},(259,420):{'3_1':0.03,'4_1':0.0},(259,419):{'3_1':0.03,'4_1':0.0},(259,418):{'4_1':0.03,'3_1':0.0},(259,417):{'3_1':0.06,'4_1':0.03},(259,416):{'3_1':0.06,'4_1':0.0},(259,415):{'4_1':0.06,'3_1':0.03},(259,414):{'3_1':0.06,'4_1':0.03},(259,413):{'3_1':0.06,'4_1':0.03},(259,412):{'3_1':0.06,'4_1':0.0},(259,411):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(259,410):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(259,409):{'3_1':0.06,'4_1':0.0},(259,408):{'3_1':0.06},(259,407):{'3_1':0.03},(259,406):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(259,405):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(259,404):{'3_1':0.03,'5_2':0.0},(259,403):{'3_1':0.06},(259,402):{'3_1':0.09,'6_3':0.0},(259,401):{'3_1':0.15,'4_1':0.0},(259,400):{'3_1':0.06},(259,399):{'3_1':0.06,'4_1':0.0},(259,398):{'3_1':0.03,'4_1':0.0},(259,397):{'3_1':0.03},(259,396):{'3_1':0.06},(259,395):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'7_6':0.0},(259,394):{'3_1':0.06,'5_1':0.0},(259,393):{'3_1':0.15},(259,392):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(259,391):{'3_1':0.12,'5_2':0.0},(259,390):{'3_1':0.15,'5_2':0.0},(259,389):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(259,388):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(259,387):{'3_1':0.09,'4_1':0.0},(259,386):{'3_1':0.15,'5_2':0.0},(259,385):{'3_1':0.06,'4_1':0.03},(259,384):{'3_1':0.12,'4_1':0.0},(259,383):{'3_1':0.06,'5_2':0.0},(259,382):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(259,381):{'3_1':0.09},(259,380):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(259,379):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(259,378):{'3_1':0.18,'5_1':0.0},(259,377):{'3_1':0.09,'4_1':0.0},(259,376):{'3_1':0.06,'5_2':0.0},(259,375):{'3_1':0.12},(259,374):{'3_1':0.12},(259,373):{'3_1':0.09,'5_2':0.0},(259,372):{'3_1':0.12},(259,371):{'3_1':0.09},(259,370):{'3_1':0.12},(259,369):{'3_1':0.03},(259,368):{'3_1':0.09,'4_1':0.0},(259,367):{'3_1':0.03,'4_1':0.0},(259,366):{'3_1':0.06,'4_1':0.0},(259,365):{'3_1':0.03,'4_1':0.0},(259,364):{'3_1':0.03,'4_1':0.0},(259,363):{'3_1':0.06},(259,362):{'3_1':0.09},(259,361):{'3_1':0.06},(259,360):{'3_1':0.09},(259,359):{'3_1':0.06,'5_2':0.0},(259,358):{'3_1':0.09,'4_1':0.0},(259,357):{'3_1':0.03},(259,356):{'3_1':0.09,'5_2':0.0},(259,355):{'3_1':0.03},(259,354):{'3_1':0.12},(259,353):{'3_1':0.06},(259,352):{'3_1':0.12},(259,351):{'3_1':0.06},(259,350):{'3_1':0.06},(259,349):{'3_1':0.06,'5_1':0.0},(259,348):{'3_1':0.06,'4_1':0.0},(259,347):{'3_1':0.0},(259,346):{'3_1':0.0},(259,345):{'3_1':0.0,'5_1':0.0},(259,344):{'3_1':0.03,'4_1':0.0},(259,343):{'3_1':0.0},(259,342):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(259,341):{'3_1':0.06,'4_1':0.0},(259,340):{'3_1':0.09,'4_1':0.0},(259,339):{'3_1':0.06},(259,338):{'3_1':0.09,'5_1':0.0},(259,337):{'3_1':0.06},(259,336):{'3_1':0.06,'4_1':0.0},(259,335):{'3_1':0.03,'4_1':0.0},(259,334):{'3_1':0.09},(259,333):{'3_1':0.06},(259,332):{'3_1':0.06,'4_1':0.0},(259,331):{'3_1':0.03,'4_1':0.0},(259,330):{'3_1':0.03,'4_1':0.0},(259,329):{'3_1':0.03,'4_1':0.0},(259,328):{'3_1':0.03},(259,327):{'3_1':0.0},(259,326):{'3_1':0.03},(259,325):{'3_1':0.0},(259,323):{'3_1':0.0},(259,322):{'3_1':0.0},(259,321):{'3_1':0.0},(259,320):{'3_1':0.0},(259,319):{'3_1':0.0},(259,318):{'3_1':0.0,'5_1':0.0},(259,317):{'3_1':0.03},(259,316):{'3_1':0.0},(259,315):{'3_1':0.0},(259,314):{'3_1':0.0},(259,313):{'3_1':0.0},(259,312):{'3_1':0.0},(259,311):{'3_1':0.0},(259,310):{'3_1':0.0},(259,309):{'3_1':0.03},(259,308):{'3_1':0.0},(259,306):{'3_1':0.0},(259,305):{'3_1':0.0},(259,303):{'3_1':0.0},(259,302):{'3_1':0.0},(259,300):{'3_1':0.0},(259,299):{'3_1':0.0},(259,297):{'3_1':0.0},(259,285):{'3_1':0.0},(259,273):{'3_1':0.0},(259,271):{'3_1':0.0},(259,270):{'3_1':0.0},(259,264):{'3_1':0.0},(260,459):{'3_1':0.03},(260,458):{'3_1':0.0},(260,457):{'3_1':0.06,'4_1':0.0},(260,456):{'3_1':0.03,'4_1':0.0},(260,455):{'3_1':0.03},(260,453):{'3_1':0.06},(260,452):{'3_1':0.03},(260,451):{'3_1':0.03},(260,450):{'3_1':0.03,'4_1':0.0},(260,449):{'3_1':0.06},(260,448):{'3_1':0.0},(260,447):{'3_1':0.0},(260,446):{'3_1':0.03,'5_2':0.0},(260,445):{'3_1':0.03},(260,444):{'3_1':0.03},(260,443):{'3_1':0.06},(260,442):{'3_1':0.03},(260,441):{'3_1':0.03},(260,440):{'3_1':0.03,'4_1':0.0},(260,439):{'3_1':0.03},(260,438):{'3_1':0.09,'4_1':0.0},(260,437):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(260,436):{'3_1':0.06},(260,435):{'3_1':0.09,'4_1':0.0},(260,434):{'3_1':0.0},(260,433):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(260,432):{'3_1':0.0},(260,431):{'3_1':0.06,'4_1':0.0},(260,430):{'3_1':0.12,'4_1':0.03},(260,429):{'3_1':0.03,'4_1':0.0},(260,428):{'3_1':0.06,'4_1':0.0},(260,427):{'3_1':0.06},(260,426):{'3_1':0.03,'4_1':0.0},(260,425):{'3_1':0.06,'4_1':0.0},(260,424):{'3_1':0.0,'4_1':0.0},(260,423):{'3_1':0.03,'4_1':0.0},(260,422):{'3_1':0.0,'4_1':0.0},(260,421):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(260,420):{'3_1':0.0,'4_1':0.0},(260,419):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(260,418):{'3_1':0.06,'4_1':0.0},(260,417):{'3_1':0.09,'4_1':0.03},(260,416):{'3_1':0.06,'4_1':0.03},(260,415):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(260,414):{'3_1':0.0,'4_1':0.0},(260,413):{'3_1':0.06,'4_1':0.03},(260,412):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(260,411):{'4_1':0.03,'3_1':0.0},(260,410):{'3_1':0.12,'4_1':0.0},(260,409):{'4_1':0.03,'3_1':0.0,'6_3':0.0},(260,408):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(260,407):{'3_1':0.06,'8_20|3_1#3_1':0.0},(260,406):{'3_1':0.09,'4_1':0.0},(260,405):{'3_1':0.09,'4_1':0.0},(260,404):{'3_1':0.09,'4_1':0.0},(260,403):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(260,402):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(260,401):{'3_1':0.15,'5_2':0.0},(260,400):{'3_1':0.03,'5_2':0.0},(260,399):{'3_1':0.06},(260,398):{'3_1':0.06,'5_1':0.0},(260,397):{'3_1':0.06,'4_1':0.0},(260,396):{'3_1':0.09,'5_1':0.0},(260,395):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(260,394):{'3_1':0.09,'4_1':0.0},(260,393):{'3_1':0.18,'4_1':0.0},(260,392):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(260,391):{'3_1':0.12,'4_1':0.0},(260,390):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(260,389):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_3':0.0},(260,388):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(260,387):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(260,386):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(260,385):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(260,384):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(260,383):{'3_1':0.12,'4_1':0.0},(260,382):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(260,381):{'3_1':0.15,'4_1':0.0},(260,380):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(260,379):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(260,378):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(260,377):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(260,376):{'3_1':0.09,'7_6':0.0},(260,375):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(260,374):{'3_1':0.12},(260,373):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(260,372):{'3_1':0.12,'4_1':0.0},(260,371):{'3_1':0.06,'4_1':0.0},(260,370):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(260,369):{'3_1':0.06},(260,368):{'3_1':0.03},(260,367):{'3_1':0.15,'5_2':0.0},(260,366):{'3_1':0.09},(260,365):{'3_1':0.03},(260,364):{'3_1':0.06},(260,363):{'3_1':0.09,'4_1':0.0},(260,362):{'3_1':0.03},(260,361):{'3_1':0.09,'5_2':0.0},(260,360):{'3_1':0.06,'4_1':0.0},(260,359):{'3_1':0.03,'5_2':0.0},(260,358):{'3_1':0.06},(260,357):{'3_1':0.09},(260,356):{'3_1':0.09},(260,355):{'3_1':0.09},(260,354):{'3_1':0.09},(260,353):{'3_1':0.12,'5_2':0.0},(260,352):{'3_1':0.06},(260,351):{'3_1':0.03,'5_2':0.0},(260,350):{'3_1':0.03},(260,349):{'3_1':0.03},(260,348):{'3_1':0.03},(260,347):{'3_1':0.0},(260,346):{'3_1':0.03},(260,345):{'3_1':0.0,'5_1':0.0},(260,344):{'3_1':0.03},(260,343):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(260,342):{'3_1':0.0},(260,341):{'3_1':0.03},(260,340):{'3_1':0.03,'5_1':0.0},(260,339):{'3_1':0.03,'4_1':0.0},(260,338):{'3_1':0.03,'4_1':0.0},(260,337):{'3_1':0.03},(260,336):{'3_1':0.03,'4_1':0.0},(260,335):{'3_1':0.06,'4_1':0.0},(260,334):{'3_1':0.09},(260,333):{'3_1':0.06,'4_1':0.0},(260,332):{'3_1':0.06,'4_1':0.0},(260,331):{'3_1':0.03},(260,330):{'3_1':0.06},(260,329):{'3_1':0.06},(260,328):{'3_1':0.03,'4_1':0.0},(260,327):{'3_1':0.0},(260,326):{'3_1':0.0},(260,325):{'3_1':0.03},(260,324):{'3_1':0.0},(260,323):{'3_1':0.0},(260,322):{'3_1':0.03},(260,319):{'3_1':0.0},(260,318):{'3_1':0.0},(260,317):{'3_1':0.0},(260,315):{'3_1':0.0},(260,314):{'3_1':0.0},(260,313):{'3_1':0.03},(260,311):{'3_1':0.0},(260,310):{'3_1':0.0},(260,309):{'3_1':0.0},(260,308):{'3_1':0.0},(260,307):{'3_1':0.0},(260,306):{'3_1':0.0},(260,302):{'3_1':0.0},(260,300):{'3_1':0.0},(260,299):{'3_1':0.0},(260,280):{'3_1':0.0},(261,459):{'3_1':0.06},(261,458):{'3_1':0.06,'4_1':0.0},(261,457):{'3_1':0.03,'4_1':0.0},(261,456):{'3_1':0.0,'4_1':0.0},(261,455):{'3_1':0.0,'4_1':0.0},(261,454):{'3_1':0.03},(261,453):{'3_1':0.0},(261,452):{'3_1':0.03},(261,451):{'3_1':0.03},(261,450):{'3_1':0.06},(261,449):{'3_1':0.03,'4_1':0.0},(261,448):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(261,447):{'3_1':0.03},(261,446):{'3_1':0.06},(261,445):{'3_1':0.06,'4_1':0.0},(261,444):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(261,443):{'3_1':0.03,'4_1':0.0},(261,442):{'3_1':0.03},(261,441):{'3_1':0.0,'4_1':0.0},(261,440):{'3_1':0.03},(261,439):{'3_1':0.03},(261,438):{'3_1':0.0,'4_1':0.0},(261,437):{'3_1':0.03,'4_1':0.0},(261,436):{'3_1':0.06,'4_1':0.0},(261,435):{'3_1':0.03,'4_1':0.0},(261,434):{'3_1':0.06,'4_1':0.0},(261,433):{'3_1':0.03,'4_1':0.0},(261,432):{'3_1':0.06},(261,431):{'3_1':0.06},(261,430):{'3_1':0.03,'4_1':0.03},(261,429):{'3_1':0.03,'4_1':0.0},(261,428):{'3_1':0.06,'4_1':0.0},(261,427):{'3_1':0.03,'5_2':0.0},(261,426):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(261,425):{'3_1':0.03,'4_1':0.0},(261,424):{'3_1':0.03,'5_2':0.0},(261,423):{'3_1':0.06,'4_1':0.0},(261,422):{'3_1':0.03,'4_1':0.03},(261,421):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(261,420):{'4_1':0.0,'3_1':0.0},(261,419):{'3_1':0.03,'4_1':0.03},(261,418):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(261,417):{'3_1':0.0,'4_1':0.0},(261,416):{'3_1':0.06,'4_1':0.03},(261,415):{'3_1':0.06,'4_1':0.0},(261,414):{'3_1':0.06,'4_1':0.0},(261,413):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(261,412):{'3_1':0.09,'4_1':0.0},(261,411):{'3_1':0.06,'4_1':0.0},(261,410):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_3':0.0},(261,409):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(261,408):{'3_1':0.09,'4_1':0.0},(261,407):{'3_1':0.06,'8_19':0.0},(261,406):{'3_1':0.03,'4_1':0.0},(261,405):{'3_1':0.06,'4_1':0.0},(261,404):{'3_1':0.09,'4_1':0.0},(261,403):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(261,402):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(261,401):{'3_1':0.06},(261,400):{'3_1':0.09},(261,399):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(261,398):{'3_1':0.09,'4_1':0.0},(261,397):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(261,396):{'3_1':0.06,'4_1':0.0},(261,395):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(261,394):{'3_1':0.09,'5_2':0.0},(261,393):{'3_1':0.15},(261,392):{'3_1':0.12,'4_1':0.0},(261,391):{'3_1':0.12,'6_3':0.0},(261,390):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(261,389):{'3_1':0.09,'8_19':0.0,'8_20|3_1#3_1':0.0},(261,388):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(261,387):{'3_1':0.09,'4_1':0.03},(261,386):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(261,385):{'3_1':0.09,'4_1':0.0},(261,384):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(261,383):{'3_1':0.06,'4_1':0.0},(261,382):{'3_1':0.06,'5_2':0.0,'-3':0.0},(261,381):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(261,380):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0},(261,379):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(261,378):{'3_1':0.09,'4_1':0.0},(261,377):{'3_1':0.09,'5_2':0.0},(261,376):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(261,375):{'3_1':0.12,'4_1':0.0},(261,374):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(261,373):{'3_1':0.12,'4_1':0.0},(261,372):{'3_1':0.09,'4_1':0.0},(261,371):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(261,370):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(261,369):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(261,368):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(261,367):{'3_1':0.12},(261,366):{'3_1':0.15},(261,365):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(261,364):{'3_1':0.06,'5_2':0.0},(261,363):{'3_1':0.12},(261,362):{'3_1':0.03},(261,361):{'3_1':0.06},(261,360):{'3_1':0.12},(261,359):{'3_1':0.06},(261,358):{'3_1':0.03},(261,357):{'3_1':0.03,'5_2':0.0},(261,356):{'3_1':0.09,'4_1':0.0},(261,355):{'3_1':0.06},(261,354):{'3_1':0.09},(261,353):{'3_1':0.12},(261,352):{'3_1':0.09},(261,351):{'3_1':0.09},(261,350):{'3_1':0.06},(261,349):{'3_1':0.03,'5_1':0.0},(261,348):{'3_1':0.06},(261,347):{'3_1':0.03,'4_1':0.0},(261,346):{'3_1':0.0},(261,345):{'3_1':0.0},(261,344):{'3_1':0.0},(261,343):{'3_1':0.0},(261,342):{'3_1':0.06,'4_1':0.0},(261,341):{'3_1':0.0},(261,340):{'3_1':0.06,'4_1':0.0},(261,339):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(261,338):{'3_1':0.03,'4_1':0.0},(261,337):{'3_1':0.03,'4_1':0.0},(261,336):{'3_1':0.09,'4_1':0.0},(261,335):{'3_1':0.0,'4_1':0.0},(261,334):{'3_1':0.0,'4_1':0.0},(261,333):{'3_1':0.03,'4_1':0.0},(261,332):{'3_1':0.09},(261,331):{'3_1':0.12,'4_1':0.0},(261,330):{'3_1':0.06,'4_1':0.0},(261,329):{'3_1':0.0},(261,328):{'3_1':0.0,'4_1':0.0},(261,327):{'3_1':0.0},(261,326):{'3_1':0.03},(261,324):{'3_1':0.0},(261,323):{'3_1':0.0},(261,322):{'3_1':0.0},(261,319):{'3_1':0.0},(261,318):{'3_1':0.0},(261,317):{'3_1':0.0},(261,316):{'3_1':0.03,'5_1':0.0},(261,315):{'3_1':0.0},(261,314):{'3_1':0.0},(261,313):{'3_1':0.0},(261,312):{'3_1':0.0},(261,311):{'3_1':0.0},(261,310):{'3_1':0.0},(261,309):{'3_1':0.0},(261,307):{'3_1':0.0},(261,303):{'3_1':0.0},(261,302):{'3_1':0.0},(261,300):{'3_1':0.0},(261,299):{'3_1':0.0},(261,287):{'3_1':0.0},(261,284):{'3_1':0.0},(261,272):{'3_1':0.0},(261,269):{'3_1':0.0},(261,265):{'3_1':0.0},(262,459):{'3_1':0.03},(262,458):{'3_1':0.09},(262,457):{'3_1':0.03},(262,456):{'3_1':0.0,'4_1':0.0},(262,455):{'3_1':0.03},(262,454):{'3_1':0.0},(262,453):{'3_1':0.03},(262,452):{'3_1':0.03,'4_1':0.0},(262,451):{'3_1':0.03},(262,450):{'3_1':0.0},(262,449):{'3_1':0.06},(262,448):{'3_1':0.0},(262,447):{'3_1':0.03,'4_1':0.0},(262,446):{'3_1':0.03,'4_1':0.0},(262,445):{'3_1':0.06,'4_1':0.0},(262,444):{'3_1':0.0,'4_1':0.0},(262,443):{'3_1':0.09,'4_1':0.0},(262,442):{'3_1':0.06},(262,441):{'3_1':0.03,'4_1':0.0},(262,440):{'3_1':0.03,'4_1':0.0},(262,439):{'3_1':0.03,'4_1':0.0},(262,438):{'3_1':0.03,'5_1':0.0},(262,437):{'3_1':0.0},(262,436):{'3_1':0.03},(262,435):{'3_1':0.03},(262,434):{'3_1':0.0,'4_1':0.0},(262,433):{'3_1':0.03,'4_1':0.0},(262,432):{'3_1':0.03,'4_1':0.0},(262,431):{'3_1':0.06,'4_1':0.0},(262,430):{'3_1':0.09,'4_1':0.0},(262,429):{'3_1':0.03},(262,428):{'3_1':0.09,'4_1':0.0},(262,427):{'3_1':0.03,'4_1':0.0},(262,426):{'3_1':0.06,'4_1':0.0},(262,425):{'3_1':0.03,'4_1':0.0},(262,424):{'3_1':0.09},(262,423):{'3_1':0.03,'4_1':0.0},(262,422):{'3_1':0.03},(262,421):{'3_1':0.06,'4_1':0.03},(262,420):{'4_1':0.03,'3_1':0.03},(262,419):{'4_1':0.03,'3_1':0.03},(262,418):{'3_1':0.03,'4_1':0.0},(262,417):{'3_1':0.09,'4_1':0.0},(262,416):{'3_1':0.03,'4_1':0.0},(262,415):{'3_1':0.03,'4_1':0.0},(262,414):{'3_1':0.0,'4_1':0.0},(262,413):{'3_1':0.06,'4_1':0.0},(262,412):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(262,411):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(262,410):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(262,409):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(262,408):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(262,407):{'3_1':0.15,'4_1':0.0},(262,406):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(262,405):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(262,404):{'3_1':0.06},(262,403):{'3_1':0.03},(262,402):{'3_1':0.03,'4_1':0.0},(262,401):{'3_1':0.06},(262,400):{'3_1':0.06,'4_1':0.0},(262,399):{'3_1':0.09},(262,398):{'3_1':0.06,'6_2':0.0},(262,397):{'3_1':0.09,'4_1':0.0},(262,396):{'3_1':0.09},(262,395):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(262,394):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(262,393):{'3_1':0.09,'5_2':0.0},(262,392):{'3_1':0.15,'4_1':0.0},(262,391):{'3_1':0.09,'4_1':0.0},(262,390):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(262,389):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(262,388):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(262,387):{'3_1':0.03,'4_1':0.03},(262,386):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(262,385):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(262,384):{'3_1':0.09,'5_2':0.0},(262,383):{'3_1':0.09,'-3':0.0},(262,382):{'3_1':0.15,'4_1':0.0},(262,381):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(262,380):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(262,379):{'3_1':0.12,'5_2':0.0},(262,378):{'3_1':0.12},(262,377):{'3_1':0.09,'4_1':0.0},(262,376):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(262,375):{'3_1':0.09,'4_1':0.0},(262,374):{'3_1':0.12,'4_1':0.0},(262,373):{'3_1':0.09,'5_2':0.0},(262,372):{'3_1':0.06,'4_1':0.0},(262,371):{'3_1':0.09,'4_1':0.0},(262,370):{'3_1':0.06,'6_2':0.0},(262,369):{'3_1':0.06},(262,368):{'3_1':0.09},(262,367):{'3_1':0.09,'4_1':0.0},(262,366):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(262,365):{'3_1':0.06,'4_1':0.0},(262,364):{'3_1':0.09},(262,363):{'3_1':0.06,'4_1':0.0},(262,362):{'3_1':0.03,'4_1':0.0},(262,361):{'3_1':0.06,'5_2':0.0},(262,360):{'3_1':0.06,'4_1':0.0},(262,359):{'3_1':0.06},(262,358):{'3_1':0.06},(262,357):{'3_1':0.06},(262,356):{'3_1':0.06},(262,355):{'3_1':0.06,'4_1':0.0},(262,354):{'3_1':0.06},(262,353):{'3_1':0.12},(262,352):{'3_1':0.09},(262,351):{'3_1':0.12},(262,350):{'3_1':0.09},(262,349):{'3_1':0.06},(262,348):{'3_1':0.03},(262,347):{'3_1':0.03},(262,346):{'3_1':0.0},(262,345):{'3_1':0.03},(262,344):{'3_1':0.0,'4_1':0.0},(262,343):{'3_1':0.0},(262,342):{'3_1':0.03,'4_1':0.0},(262,341):{'3_1':0.03,'4_1':0.0},(262,340):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(262,339):{'3_1':0.0,'4_1':0.0},(262,338):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(262,337):{'3_1':0.06,'4_1':0.0},(262,336):{'3_1':0.09,'4_1':0.03},(262,335):{'3_1':0.0,'4_1':0.0},(262,334):{'3_1':0.09},(262,333):{'3_1':0.03},(262,332):{'3_1':0.06,'4_1':0.0},(262,331):{'3_1':0.09,'4_1':0.03},(262,330):{'3_1':0.09,'4_1':0.0},(262,329):{'3_1':0.06,'4_1':0.0},(262,328):{'3_1':0.0,'4_1':0.0},(262,327):{'3_1':0.06},(262,326):{'3_1':0.03},(262,325):{'3_1':0.0},(262,322):{'3_1':0.0},(262,319):{'3_1':0.03},(262,318):{'3_1':0.0},(262,317):{'3_1':0.03},(262,316):{'3_1':0.03},(262,315):{'3_1':0.0},(262,314):{'3_1':0.0},(262,313):{'3_1':0.0},(262,312):{'3_1':0.03},(262,311):{'3_1':0.0},(262,310):{'3_1':0.0},(262,309):{'3_1':0.0},(262,307):{'3_1':0.0},(262,296):{'3_1':0.0},(262,293):{'3_1':0.0},(262,267):{'3_1':0.0},(262,265):{'3_1':0.0},(263,459):{'3_1':0.03},(263,458):{'3_1':0.0},(263,457):{'3_1':0.06,'5_1':0.0},(263,456):{'3_1':0.03,'4_1':0.0},(263,455):{'3_1':0.06},(263,454):{'3_1':0.0},(263,453):{'3_1':0.0},(263,452):{'3_1':0.03},(263,451):{'3_1':0.03},(263,450):{'3_1':0.03},(263,449):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(263,448):{'3_1':0.03,'4_1':0.0},(263,447):{'3_1':0.06,'4_1':0.0},(263,446):{'3_1':0.06,'4_1':0.0},(263,445):{'3_1':0.06},(263,444):{'3_1':0.06},(263,443):{'3_1':0.03},(263,442):{'3_1':0.03},(263,441):{'3_1':0.03},(263,440):{'3_1':0.03,'4_1':0.0},(263,439):{'3_1':0.0,'4_1':0.0},(263,438):{'3_1':0.03,'4_1':0.0},(263,437):{'3_1':0.0},(263,436):{'3_1':0.0,'4_1':0.0},(263,435):{'3_1':0.03,'4_1':0.0},(263,434):{'3_1':0.06,'4_1':0.0},(263,433):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(263,432):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(263,431):{'3_1':0.0,'4_1':0.0},(263,430):{'3_1':0.06},(263,429):{'3_1':0.03,'4_1':0.0},(263,428):{'3_1':0.06,'4_1':0.0},(263,427):{'3_1':0.0,'4_1':0.0},(263,426):{'3_1':0.0,'4_1':0.0},(263,425):{'4_1':0.03,'3_1':0.0},(263,424):{'3_1':0.06,'4_1':0.0},(263,423):{'3_1':0.03,'4_1':0.0},(263,422):{'3_1':0.0,'5_2':0.0},(263,421):{'3_1':0.06,'4_1':0.0},(263,420):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(263,419):{'4_1':0.03,'3_1':0.03},(263,418):{'3_1':0.0,'4_1':0.0},(263,417):{'3_1':0.06,'4_1':0.0},(263,416):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(263,415):{'3_1':0.0,'4_1':0.0},(263,414):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(263,413):{'3_1':0.0,'4_1':0.0},(263,412):{'4_1':0.06,'3_1':0.03,'6_3':0.0},(263,411):{'3_1':0.09,'4_1':0.0},(263,410):{'3_1':0.06,'4_1':0.0},(263,409):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(263,408):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(263,407):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(263,406):{'3_1':0.06,'4_1':0.0},(263,405):{'3_1':0.03},(263,404):{'3_1':0.06,'5_2':0.0},(263,403):{'3_1':0.06},(263,402):{'3_1':0.06},(263,401):{'3_1':0.03,'4_1':0.0},(263,400):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(263,399):{'3_1':0.09,'4_1':0.0},(263,398):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(263,397):{'3_1':0.09,'4_1':0.0},(263,396):{'3_1':0.09,'4_1':0.0},(263,395):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(263,394):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(263,393):{'3_1':0.09,'4_1':0.0},(263,392):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_6':0.0},(263,391):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(263,390):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(263,389):{'3_1':0.09,'4_1':0.03},(263,388):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'8_21|3_1#4_1':0.0},(263,387):{'3_1':0.12,'4_1':0.0},(263,386):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(263,385):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(263,384):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(263,383):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(263,382):{'3_1':0.15,'4_1':0.0},(263,381):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(263,380):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(263,379):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(263,378):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(263,377):{'3_1':0.06,'6_3':0.0},(263,376):{'3_1':0.09,'4_1':0.0},(263,375):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(263,374):{'3_1':0.03,'4_1':0.0},(263,373):{'3_1':0.09,'4_1':0.0},(263,372):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(263,371):{'3_1':0.09},(263,370):{'3_1':0.09,'4_1':0.0},(263,369):{'3_1':0.06,'6_3':0.0},(263,368):{'3_1':0.12},(263,367):{'3_1':0.06,'4_1':0.0},(263,366):{'3_1':0.06,'4_1':0.0},(263,365):{'3_1':0.12,'5_2':0.0},(263,364):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(263,363):{'3_1':0.18,'4_1':0.0},(263,362):{'3_1':0.03,'4_1':0.0},(263,361):{'3_1':0.09,'4_1':0.0},(263,360):{'3_1':0.15},(263,359):{'3_1':0.06,'4_1':0.0},(263,358):{'3_1':0.06},(263,357):{'3_1':0.06},(263,356):{'3_1':0.06,'6_3':0.0},(263,355):{'3_1':0.09,'5_2':0.0},(263,354):{'3_1':0.03},(263,353):{'3_1':0.03},(263,352):{'3_1':0.06,'5_1':0.0},(263,351):{'3_1':0.03},(263,350):{'3_1':0.03},(263,349):{'3_1':0.06},(263,348):{'3_1':0.03},(263,347):{'3_1':0.09},(263,346):{'3_1':0.0},(263,345):{'3_1':0.0},(263,344):{'3_1':0.0},(263,343):{'3_1':0.0,'4_1':0.0},(263,342):{'3_1':0.03,'4_1':0.0},(263,341):{'3_1':0.0,'4_1':0.0},(263,340):{'4_1':0.03,'3_1':0.03},(263,339):{'3_1':0.06,'4_1':0.0},(263,338):{'3_1':0.06,'4_1':0.0},(263,337):{'3_1':0.06,'4_1':0.0},(263,336):{'3_1':0.06},(263,335):{'3_1':0.06,'4_1':0.0},(263,334):{'3_1':0.06},(263,333):{'3_1':0.09,'4_1':0.0},(263,332):{'3_1':0.0},(263,331):{'3_1':0.09,'4_1':0.0},(263,330):{'3_1':0.03,'4_1':0.0},(263,329):{'3_1':0.09,'4_1':0.0},(263,328):{'3_1':0.03,'4_1':0.0},(263,327):{'3_1':0.0},(263,326):{'3_1':0.0},(263,324):{'3_1':0.0,'4_1':0.0},(263,322):{'3_1':0.0},(263,320):{'3_1':0.0},(263,319):{'5_1':0.0},(263,318):{'3_1':0.0},(263,317):{'3_1':0.03},(263,316):{'3_1':0.0},(263,315):{'3_1':0.03},(263,314):{'3_1':0.0},(263,313):{'3_1':0.0},(263,312):{'3_1':0.0},(263,311):{'3_1':0.0},(263,310):{'3_1':0.0},(263,309):{'3_1':0.0},(263,308):{'3_1':0.0},(263,306):{'3_1':0.0},(263,296):{'3_1':0.0},(263,273):{'4_1':0.0},(264,459):{'3_1':0.0,'4_1':0.0},(264,458):{'3_1':0.03},(264,457):{'3_1':0.0,'4_1':0.0},(264,456):{'3_1':0.03},(264,455):{'3_1':0.06},(264,454):{'3_1':0.0},(264,453):{'3_1':0.03},(264,452):{'3_1':0.03},(264,451):{'3_1':0.03},(264,450):{'3_1':0.06},(264,449):{'3_1':0.03},(264,448):{'3_1':0.03,'4_1':0.0},(264,447):{'3_1':0.03,'4_1':0.0},(264,446):{'3_1':0.06},(264,445):{'3_1':0.03},(264,444):{'3_1':0.0},(264,443):{'3_1':0.09},(264,442):{'3_1':0.03,'4_1':0.0},(264,441):{'3_1':0.0,'4_1':0.0},(264,440):{'3_1':0.0,'4_1':0.0},(264,439):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(264,438):{'3_1':0.03},(264,437):{'3_1':0.03,'4_1':0.0},(264,436):{'3_1':0.03},(264,435):{'4_1':0.0,'3_1':0.0},(264,434):{'3_1':0.03},(264,433):{'3_1':0.03},(264,432):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(264,431):{'3_1':0.06,'4_1':0.06},(264,430):{'3_1':0.03,'4_1':0.0},(264,429):{'3_1':0.03},(264,428):{'3_1':0.06,'4_1':0.0},(264,427):{'3_1':0.06,'4_1':0.0},(264,426):{'3_1':0.06,'5_2':0.0,'8_7':0.0},(264,425):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(264,424):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(264,423):{'3_1':0.06,'4_1':0.0},(264,422):{'3_1':0.03,'4_1':0.0},(264,421):{'3_1':0.09,'4_1':0.03},(264,420):{'3_1':0.03,'4_1':0.0},(264,419):{'3_1':0.09,'4_1':0.0},(264,418):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(264,417):{'3_1':0.06,'4_1':0.0},(264,416):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(264,415):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(264,414):{'3_1':0.06,'4_1':0.03},(264,413):{'4_1':0.03,'3_1':0.0,'6_3':0.0},(264,412):{'3_1':0.03,'4_1':0.03},(264,411):{'3_1':0.03,'4_1':0.0},(264,410):{'4_1':0.03,'3_1':0.0},(264,409):{'3_1':0.03,'4_1':0.0},(264,408):{'3_1':0.06},(264,407):{'3_1':0.06,'8_21|3_1#4_1':0.0},(264,406):{'3_1':0.12,'4_1':0.0},(264,405):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(264,404):{'3_1':0.09,'5_1':0.0},(264,403):{'3_1':0.09,'6_3':0.0},(264,402):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(264,401):{'3_1':0.12},(264,400):{'3_1':0.09,'4_1':0.0},(264,399):{'3_1':0.03},(264,398):{'3_1':0.06},(264,397):{'3_1':0.09,'4_1':0.0},(264,396):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(264,395):{'3_1':0.09},(264,394):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(264,393):{'3_1':0.12,'4_1':0.0},(264,392):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(264,391):{'3_1':0.09,'6_2':0.0},(264,390):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(264,389):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(264,388):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(264,387):{'3_1':0.09,'4_1':0.0},(264,386):{'3_1':0.15,'4_1':0.0},(264,385):{'3_1':0.06,'4_1':0.0},(264,384):{'3_1':0.09,'4_1':0.03},(264,383):{'3_1':0.09},(264,382):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(264,381):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(264,380):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(264,379):{'3_1':0.06,'4_1':0.03,'6_3':0.0,'6_2':0.0},(264,378):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(264,377):{'3_1':0.09,'5_2':0.0,'6_3':0.0,'4_1':0.0},(264,376):{'3_1':0.09,'4_1':0.0},(264,375):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(264,374):{'3_1':0.06},(264,373):{'3_1':0.09,'4_1':0.0},(264,372):{'3_1':0.06,'4_1':0.0},(264,371):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(264,370):{'3_1':0.09,'4_1':0.0},(264,369):{'3_1':0.09,'4_1':0.0},(264,368):{'3_1':0.09,'5_2':0.0},(264,367):{'3_1':0.09},(264,366):{'3_1':0.15},(264,365):{'3_1':0.03},(264,364):{'3_1':0.09},(264,363):{'3_1':0.06},(264,362):{'3_1':0.06},(264,361):{'3_1':0.03,'5_2':0.0},(264,360):{'3_1':0.06},(264,359):{'3_1':0.09},(264,358):{'3_1':0.03},(264,357):{'3_1':0.06},(264,356):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(264,355):{'3_1':0.09},(264,354):{'3_1':0.12},(264,353):{'3_1':0.06},(264,352):{'3_1':0.0},(264,351):{'3_1':0.09},(264,350):{'3_1':0.03},(264,349):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(264,348):{'3_1':0.03},(264,347):{'3_1':0.06,'4_1':0.0},(264,346):{'3_1':0.0},(264,345):{'3_1':0.0},(264,344):{'3_1':0.06,'4_1':0.0},(264,343):{'3_1':0.09,'4_1':0.0},(264,342):{'3_1':0.03},(264,341):{'3_1':0.03},(264,340):{'3_1':0.06,'5_1':0.0},(264,339):{'3_1':0.03},(264,338):{'3_1':0.03},(264,337):{'3_1':0.0},(264,336):{'3_1':0.09},(264,335):{'3_1':0.09},(264,334):{'3_1':0.09},(264,333):{'3_1':0.03,'4_1':0.0},(264,332):{'3_1':0.09,'4_1':0.0},(264,331):{'3_1':0.09,'4_1':0.0},(264,330):{'3_1':0.09},(264,329):{'3_1':0.09,'4_1':0.0},(264,328):{'3_1':0.03},(264,327):{'3_1':0.03,'4_1':0.0},(264,326):{'3_1':0.03},(264,325):{'3_1':0.0},(264,324):{'3_1':0.0},(264,323):{'3_1':0.0},(264,322):{'3_1':0.03},(264,321):{'3_1':0.0},(264,319):{'3_1':0.0},(264,318):{'3_1':0.03},(264,317):{'3_1':0.03},(264,316):{'3_1':0.0},(264,315):{'3_1':0.0},(264,313):{'3_1':0.03},(264,312):{'3_1':0.0},(264,311):{'3_1':0.0},(264,310):{'3_1':0.0},(264,308):{'3_1':0.0},(264,302):{'3_1':0.0},(264,297):{'3_1':0.0},(264,293):{'3_1':0.0},(264,273):{'3_1':0.0},(265,459):{'3_1':0.0},(265,458):{'3_1':0.03},(265,457):{'3_1':0.03},(265,456):{'3_1':0.0,'4_1':0.0},(265,454):{'3_1':0.03,'4_1':0.0},(265,453):{'3_1':0.06,'4_1':0.0},(265,452):{'3_1':0.03},(265,451):{'3_1':0.03},(265,450):{'3_1':0.06},(265,449):{'3_1':0.06},(265,448):{'3_1':0.03},(265,447):{'3_1':0.03},(265,446):{'3_1':0.03,'4_1':0.0},(265,445):{'3_1':0.03,'4_1':0.0},(265,444):{'3_1':0.03},(265,443):{'3_1':0.0,'4_1':0.0},(265,442):{'3_1':0.03,'4_1':0.0},(265,441):{'3_1':0.0},(265,440):{'3_1':0.03},(265,439):{'3_1':0.0,'4_1':0.0},(265,438):{'3_1':0.12,'4_1':0.0},(265,437):{'3_1':0.0},(265,436):{'3_1':0.03,'4_1':0.0},(265,435):{'3_1':0.09},(265,434):{'3_1':0.06},(265,433):{'3_1':0.06},(265,432):{'3_1':0.0},(265,431):{'3_1':0.06},(265,430):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(265,429):{'3_1':0.03,'4_1':0.0},(265,428):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(265,427):{'3_1':0.06,'4_1':0.0},(265,426):{'3_1':0.03,'4_1':0.0},(265,425):{'3_1':0.06,'4_1':0.0},(265,424):{'3_1':0.06,'4_1':0.03},(265,423):{'3_1':0.06},(265,422):{'3_1':0.03,'4_1':0.0},(265,421):{'3_1':0.06,'4_1':0.0},(265,420):{'3_1':0.03,'4_1':0.0,'-3':0.0},(265,419):{'3_1':0.03,'4_1':0.0},(265,418):{'3_1':0.0,'4_1':0.0},(265,417):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(265,416):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(265,415):{'3_1':0.03,'4_1':0.0},(265,414):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(265,413):{'3_1':0.09,'4_1':0.03},(265,412):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(265,411):{'3_1':0.0,'4_1':0.0},(265,410):{'3_1':0.06,'4_1':0.03},(265,409):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(265,408):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(265,407):{'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(265,406):{'3_1':0.09,'4_1':0.0},(265,405):{'3_1':0.09,'5_2':0.0},(265,404):{'3_1':0.06,'4_1':0.0},(265,403):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(265,402):{'3_1':0.03,'6_3':0.0,'4_1':0.0},(265,401):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(265,400):{'3_1':0.06},(265,399):{'3_1':0.09,'5_2':0.0},(265,398):{'3_1':0.09},(265,397):{'3_1':0.06},(265,396):{'3_1':0.06},(265,395):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(265,394):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(265,393):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(265,392):{'3_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0},(265,391):{'3_1':0.09,'6_2':0.0},(265,390):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(265,389):{'3_1':0.15,'4_1':0.03,'6_3':0.0},(265,388):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(265,387):{'3_1':0.06,'4_1':0.0},(265,386):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(265,385):{'3_1':0.15},(265,384):{'3_1':0.12,'4_1':0.03},(265,383):{'3_1':0.12,'6_3':0.0,'4_1':0.0},(265,382):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(265,381):{'3_1':0.09,'4_1':0.03},(265,380):{'3_1':0.12,'4_1':0.03},(265,379):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(265,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(265,377):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(265,376):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(265,375):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(265,374):{'3_1':0.09,'5_2':0.0},(265,373):{'3_1':0.12,'4_1':0.0},(265,372):{'3_1':0.09,'5_2':0.0},(265,371):{'3_1':0.06,'4_1':0.0},(265,370):{'3_1':0.06},(265,369):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(265,368):{'3_1':0.12,'4_1':0.0},(265,367):{'3_1':0.15},(265,366):{'3_1':0.12},(265,365):{'3_1':0.06,'4_1':0.0},(265,364):{'3_1':0.12,'4_1':0.0},(265,363):{'3_1':0.06,'4_1':0.0},(265,362):{'3_1':0.09,'5_2':0.0},(265,361):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(265,360):{'3_1':0.09},(265,359):{'3_1':0.03,'4_1':0.0},(265,358):{'3_1':0.09,'4_1':0.0},(265,357):{'3_1':0.12},(265,356):{'3_1':0.09},(265,355):{'3_1':0.03,'5_2':0.0},(265,354):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(265,353):{'3_1':0.06},(265,352):{'3_1':0.09,'5_2':0.0},(265,351):{'3_1':0.06,'4_1':0.0},(265,350):{'3_1':0.03},(265,349):{'3_1':0.06},(265,348):{'3_1':0.0},(265,347):{'3_1':0.03},(265,346):{'3_1':0.03},(265,345):{'3_1':0.03},(265,344):{'3_1':0.0,'5_1':0.0},(265,343):{'3_1':0.03},(265,342):{'3_1':0.09,'5_1':0.0},(265,341):{'3_1':0.03,'4_1':0.0},(265,340):{'3_1':0.06,'4_1':0.0},(265,339):{'3_1':0.03,'4_1':0.0},(265,338):{'3_1':0.06,'4_1':0.0},(265,337):{'3_1':0.06},(265,336):{'3_1':0.06,'4_1':0.0},(265,335):{'3_1':0.03},(265,334):{'3_1':0.09,'4_1':0.0},(265,333):{'3_1':0.09},(265,332):{'3_1':0.06,'4_1':0.0},(265,331):{'3_1':0.09},(265,330):{'3_1':0.06,'4_1':0.0},(265,329):{'3_1':0.03,'4_1':0.0},(265,328):{'3_1':0.06,'4_1':0.0},(265,327):{'3_1':0.03},(265,326):{'3_1':0.0},(265,325):{'3_1':0.0},(265,324):{'3_1':0.0},(265,323):{'3_1':0.0},(265,322):{'3_1':0.0},(265,321):{'3_1':0.0},(265,320):{'3_1':0.0},(265,319):{'3_1':0.03},(265,318):{'3_1':0.0},(265,317):{'3_1':0.0},(265,316):{'3_1':0.0},(265,315):{'3_1':0.03},(265,314):{'3_1':0.0},(265,313):{'3_1':0.0},(265,312):{'3_1':0.0},(265,311):{'3_1':0.0},(265,308):{'3_1':0.0},(265,302):{'3_1':0.0},(265,291):{'3_1':0.0},(265,272):{'3_1':0.0},(265,270):{'3_1':0.0},(266,459):{'3_1':0.0,'4_1':0.0},(266,458):{'3_1':0.03},(266,457):{'3_1':0.03},(266,456):{'3_1':0.0},(266,455):{'3_1':0.0},(266,454):{'3_1':0.0},(266,453):{'3_1':0.0},(266,452):{'3_1':0.03},(266,451):{'3_1':0.0},(266,450):{'3_1':0.03},(266,449):{'3_1':0.06,'4_1':0.0},(266,448):{'3_1':0.06,'4_1':0.0},(266,447):{'3_1':0.03,'4_1':0.0},(266,446):{'3_1':0.0,'4_1':0.0},(266,445):{'3_1':0.03},(266,444):{'3_1':0.03,'4_1':0.0},(266,443):{'3_1':0.06},(266,442):{'3_1':0.06,'4_1':0.0},(266,441):{'3_1':0.03,'4_1':0.0},(266,440):{'3_1':0.03},(266,439):{'3_1':0.03},(266,438):{'3_1':0.06,'4_1':0.0},(266,437):{'3_1':0.0,'4_1':0.0},(266,436):{'3_1':0.0},(266,435):{'3_1':0.03,'4_1':0.0},(266,434):{'3_1':0.03,'4_1':0.0},(266,433):{'3_1':0.03,'4_1':0.03},(266,432):{'3_1':0.06,'4_1':0.0},(266,431):{'3_1':0.09,'4_1':0.0},(266,430):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(266,429):{'4_1':0.0,'3_1':0.0},(266,428):{'3_1':0.03,'4_1':0.0},(266,427):{'3_1':0.03,'4_1':0.0},(266,426):{'3_1':0.06,'4_1':0.0},(266,425):{'3_1':0.06,'4_1':0.0},(266,424):{'3_1':0.03,'4_1':0.0},(266,423):{'3_1':0.0},(266,422):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(266,421):{'3_1':0.06,'4_1':0.03},(266,420):{'3_1':0.12},(266,419):{'3_1':0.03,'4_1':0.03},(266,418):{'3_1':0.03,'4_1':0.03},(266,417):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(266,416):{'3_1':0.03,'4_1':0.0},(266,415):{'3_1':0.03,'4_1':0.0},(266,414):{'3_1':0.06,'4_1':0.03},(266,413):{'3_1':0.06,'4_1':0.06},(266,412):{'3_1':0.09,'4_1':0.03},(266,411):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(266,410):{'3_1':0.09,'4_1':0.0},(266,409):{'3_1':0.06,'4_1':0.0},(266,408):{'3_1':0.09,'5_2':0.0},(266,407):{'3_1':0.09,'5_2':0.0},(266,406):{'3_1':0.09,'5_2':0.0},(266,405):{'3_1':0.03,'5_2':0.0},(266,404):{'3_1':0.06,'4_1':0.0},(266,403):{'3_1':0.09},(266,402):{'3_1':0.09},(266,401):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(266,400):{'3_1':0.06,'4_1':0.0},(266,399):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(266,398):{'3_1':0.03},(266,397):{'3_1':0.06},(266,396):{'3_1':0.09},(266,395):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(266,394):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(266,393):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(266,392):{'3_1':0.15},(266,391):{'3_1':0.18},(266,390):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(266,389):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(266,388):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(266,387):{'3_1':0.12,'4_1':0.0},(266,386):{'3_1':0.18,'4_1':0.0},(266,385):{'3_1':0.12,'4_1':0.0},(266,384):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0},(266,383):{'3_1':0.12,'-3':0.0},(266,382):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(266,381):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(266,380):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(266,379):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(266,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(266,377):{'3_1':0.03,'4_1':0.0},(266,376):{'3_1':0.09,'4_1':0.0},(266,375):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(266,374):{'3_1':0.09,'4_1':0.0},(266,373):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(266,372):{'3_1':0.09},(266,371):{'3_1':0.06,'4_1':0.0},(266,370):{'3_1':0.09},(266,369):{'3_1':0.06,'5_2':0.0},(266,368):{'3_1':0.03,'4_1':0.0},(266,367):{'3_1':0.06,'5_2':0.0},(266,366):{'3_1':0.09},(266,365):{'3_1':0.12},(266,364):{'3_1':0.12},(266,363):{'3_1':0.09},(266,362):{'3_1':0.12,'4_1':0.0},(266,361):{'3_1':0.12},(266,360):{'3_1':0.03},(266,359):{'3_1':0.06,'4_1':0.0},(266,358):{'3_1':0.12},(266,357):{'3_1':0.06,'5_2':0.0},(266,356):{'3_1':0.09},(266,355):{'3_1':0.06},(266,354):{'3_1':0.06},(266,353):{'3_1':0.03,'4_1':0.0},(266,352):{'3_1':0.06},(266,351):{'3_1':0.09},(266,350):{'3_1':0.09},(266,349):{'3_1':0.06},(266,348):{'3_1':0.03},(266,347):{'3_1':0.06},(266,346):{'3_1':0.06},(266,345):{'3_1':0.03},(266,344):{'3_1':0.03},(266,343):{'3_1':0.03},(266,342):{'3_1':0.06,'4_1':0.0},(266,341):{'3_1':0.03},(266,340):{'3_1':0.12},(266,339):{'3_1':0.06,'4_1':0.0},(266,338):{'3_1':0.0,'4_1':0.0},(266,337):{'3_1':0.09},(266,336):{'3_1':0.03,'4_1':0.0},(266,335):{'3_1':0.03,'4_1':0.0},(266,334):{'3_1':0.09},(266,333):{'3_1':0.09},(266,332):{'3_1':0.06},(266,331):{'3_1':0.06,'4_1':0.0},(266,330):{'3_1':0.03},(266,329):{'3_1':0.03,'4_1':0.0},(266,328):{'3_1':0.0,'4_1':0.0},(266,327):{'3_1':0.0},(266,326):{'3_1':0.0},(266,324):{'3_1':0.0},(266,323):{'3_1':0.0},(266,322):{'3_1':0.0},(266,321):{'3_1':0.0},(266,320):{'3_1':0.0},(266,319):{'3_1':0.0},(266,318):{'3_1':0.0},(266,317):{'3_1':0.0},(266,316):{'3_1':0.0},(266,315):{'3_1':0.0},(266,314):{'3_1':0.0},(266,313):{'3_1':0.0},(266,312):{'3_1':0.0},(266,311):{'3_1':0.0},(266,309):{'3_1':0.0},(266,308):{'3_1':0.0},(266,307):{'3_1':0.0},(266,306):{'3_1':0.0},(266,294):{'3_1':0.0},(266,292):{'3_1':0.0},(267,459):{'3_1':0.03,'4_1':0.0},(267,458):{'3_1':0.0,'4_1':0.0},(267,457):{'3_1':0.03,'5_1':0.0},(267,456):{'3_1':0.0,'4_1':0.0},(267,455):{'3_1':0.03},(267,454):{'3_1':0.03,'4_1':0.0},(267,453):{'3_1':0.03,'4_1':0.0},(267,452):{'3_1':0.03},(267,451):{'3_1':0.03},(267,450):{'3_1':0.03},(267,449):{'3_1':0.03,'4_1':0.0},(267,448):{'3_1':0.03},(267,447):{'3_1':0.03,'4_1':0.0},(267,446):{'3_1':0.0},(267,445):{'3_1':0.03},(267,444):{'3_1':0.03,'4_1':0.0},(267,443):{'3_1':0.03},(267,442):{'3_1':0.03},(267,441):{'3_1':0.09,'4_1':0.0},(267,440):{'3_1':0.03},(267,439):{'3_1':0.0,'4_1':0.0},(267,438):{'3_1':0.06,'4_1':0.0},(267,437):{'3_1':0.06,'4_1':0.0},(267,436):{'3_1':0.0},(267,435):{'3_1':0.03,'4_1':0.0},(267,434):{'3_1':0.03},(267,433):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(267,432):{'3_1':0.03},(267,431):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(267,430):{'3_1':0.03,'4_1':0.0},(267,429):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(267,428):{'3_1':0.0},(267,427):{'3_1':0.03},(267,426):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(267,425):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(267,424):{'3_1':0.03,'4_1':0.03},(267,423):{'3_1':0.06,'4_1':0.0},(267,422):{'3_1':0.09},(267,421):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(267,420):{'3_1':0.09,'4_1':0.03},(267,419):{'3_1':0.03},(267,418):{'3_1':0.06,'4_1':0.0},(267,417):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(267,416):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(267,415):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(267,414):{'3_1':0.03,'4_1':0.0},(267,413):{'3_1':0.03,'4_1':0.03},(267,412):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(267,411):{'3_1':0.09,'4_1':0.03},(267,410):{'3_1':0.03,'4_1':0.0},(267,409):{'3_1':0.03,'4_1':0.03},(267,408):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(267,407):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(267,406):{'3_1':0.03,'5_2':0.0},(267,405):{'3_1':0.03},(267,404):{'3_1':0.06},(267,403):{'3_1':0.03,'5_2':0.0},(267,402):{'3_1':0.09,'4_1':0.0},(267,401):{'3_1':0.06,'7_6':0.0},(267,400):{'3_1':0.0},(267,399):{'3_1':0.0,'4_1':0.0},(267,398):{'3_1':0.09},(267,397):{'3_1':0.09,'8_21|3_1#4_1':0.0},(267,396):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(267,395):{'3_1':0.09,'4_1':0.0},(267,394):{'3_1':0.09,'4_1':0.0},(267,393):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(267,392):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(267,391):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(267,390):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(267,389):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(267,388):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'-3':0.0},(267,387):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(267,386):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(267,385):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(267,384):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(267,383):{'3_1':0.06,'4_1':0.0},(267,382):{'3_1':0.09,'4_1':0.0},(267,381):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(267,380):{'3_1':0.06,'4_1':0.0},(267,379):{'3_1':0.15,'6_2':0.0,'6_3':0.0},(267,378):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(267,377):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(267,376):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(267,375):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(267,374):{'3_1':0.09,'5_2':0.0},(267,373):{'3_1':0.06},(267,372):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(267,371):{'3_1':0.09,'5_2':0.0},(267,370):{'3_1':0.09},(267,369):{'3_1':0.09},(267,368):{'3_1':0.09},(267,367):{'3_1':0.06,'5_2':0.03},(267,366):{'3_1':0.09},(267,365):{'3_1':0.09},(267,364):{'3_1':0.06,'4_1':0.0},(267,363):{'3_1':0.09},(267,362):{'3_1':0.03,'5_2':0.0},(267,361):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(267,360):{'3_1':0.06},(267,359):{'3_1':0.09},(267,358):{'3_1':0.06},(267,357):{'3_1':0.06},(267,356):{'3_1':0.09},(267,355):{'3_1':0.09},(267,354):{'3_1':0.12},(267,353):{'3_1':0.09,'5_2':0.0},(267,352):{'3_1':0.09},(267,351):{'3_1':0.06},(267,350):{'3_1':0.03},(267,349):{'3_1':0.09},(267,348):{'3_1':0.06,'5_2':0.0},(267,347):{'3_1':0.03,'4_1':0.0},(267,346):{'3_1':0.12},(267,345):{'3_1':0.03,'5_2':0.0},(267,344):{'3_1':0.03},(267,343):{'3_1':0.0},(267,342):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(267,341):{'3_1':0.06,'4_1':0.0},(267,340):{'3_1':0.06},(267,339):{'3_1':0.09},(267,338):{'3_1':0.06,'5_1':0.0},(267,337):{'3_1':0.09,'4_1':0.0},(267,336):{'3_1':0.09},(267,335):{'3_1':0.06},(267,334):{'3_1':0.03},(267,333):{'3_1':0.06},(267,332):{'3_1':0.06,'4_1':0.0},(267,331):{'3_1':0.12},(267,330):{'3_1':0.06,'4_1':0.0},(267,329):{'3_1':0.06,'4_1':0.0},(267,328):{'3_1':0.0},(267,327):{'3_1':0.0},(267,326):{'3_1':0.03},(267,325):{'3_1':0.0},(267,323):{'3_1':0.0},(267,321):{'3_1':0.0},(267,320):{'3_1':0.0},(267,319):{'3_1':0.03},(267,318):{'3_1':0.0},(267,317):{'3_1':0.0},(267,316):{'3_1':0.03},(267,315):{'3_1':0.0},(267,314):{'3_1':0.0},(267,313):{'3_1':0.0},(267,312):{'3_1':0.0},(267,310):{'3_1':0.0},(267,309):{'3_1':0.0},(267,305):{'3_1':0.0},(268,459):{'3_1':0.06,'4_1':0.0},(268,458):{'3_1':0.03},(268,457):{'3_1':0.0},(268,456):{'3_1':0.0},(268,455):{'3_1':0.0},(268,454):{'3_1':0.06},(268,453):{'3_1':0.03},(268,452):{'3_1':0.03},(268,451):{'3_1':0.03,'4_1':0.0},(268,450):{'3_1':0.0},(268,449):{'3_1':0.0},(268,448):{'3_1':0.06,'4_1':0.0},(268,447):{'3_1':0.0},(268,446):{'3_1':0.03,'4_1':0.0},(268,445):{'3_1':0.0},(268,444):{'3_1':0.06},(268,443):{'3_1':0.06,'4_1':0.0},(268,442):{'3_1':0.0},(268,441):{'3_1':0.03},(268,440):{'3_1':0.09,'6_3':0.0},(268,439):{'3_1':0.09,'4_1':0.0},(268,438):{'3_1':0.03,'4_1':0.0},(268,437):{'3_1':0.0},(268,436):{'3_1':0.06,'4_1':0.0},(268,435):{'3_1':0.03,'4_1':0.0},(268,434):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(268,433):{'3_1':0.03},(268,432):{'3_1':0.03,'4_1':0.0},(268,431):{'3_1':0.06,'4_1':0.0},(268,430):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(268,429):{'3_1':0.03},(268,428):{'3_1':0.06,'4_1':0.0},(268,427):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(268,426):{'3_1':0.03,'4_1':0.0},(268,425):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(268,424):{'3_1':0.06},(268,423):{'3_1':0.06},(268,422):{'3_1':0.06,'6_3':0.0},(268,421):{'3_1':0.09},(268,420):{'3_1':0.03,'4_1':0.03},(268,419):{'3_1':0.09,'4_1':0.0},(268,418):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(268,417):{'3_1':0.06,'4_1':0.0},(268,416):{'3_1':0.06,'4_1':0.03},(268,415):{'3_1':0.09,'4_1':0.03},(268,414):{'3_1':0.03,'4_1':0.0},(268,413):{'3_1':0.06,'4_1':0.0},(268,412):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(268,411):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(268,410):{'4_1':0.06,'3_1':0.03},(268,409):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(268,408):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0},(268,407):{'3_1':0.03},(268,406):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(268,405):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(268,404):{'3_1':0.06},(268,403):{'3_1':0.12},(268,402):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(268,401):{'3_1':0.12,'5_2':0.0},(268,400):{'3_1':0.06,'5_2':0.0},(268,399):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(268,398):{'3_1':0.06,'5_2':0.0},(268,397):{'3_1':0.09},(268,396):{'3_1':0.12,'5_2':0.0},(268,395):{'3_1':0.18,'4_1':0.0},(268,394):{'3_1':0.12,'4_1':0.0},(268,393):{'3_1':0.12},(268,392):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(268,391):{'3_1':0.18},(268,390):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(268,389):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(268,388):{'3_1':0.06,'4_1':0.0},(268,387):{'3_1':0.12,'4_1':0.0},(268,386):{'3_1':0.12},(268,385):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(268,384):{'3_1':0.06,'4_1':0.0},(268,383):{'3_1':0.12},(268,382):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(268,381):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(268,380):{'3_1':0.18,'5_2':0.0,'6_3':0.0},(268,379):{'3_1':0.09,'6_2':0.0},(268,378):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(268,377):{'3_1':0.12,'7_2':0.0},(268,376):{'3_1':0.18,'4_1':0.0},(268,375):{'3_1':0.15,'5_2':0.0},(268,374):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(268,373):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(268,372):{'3_1':0.09},(268,371):{'3_1':0.12},(268,370):{'3_1':0.09,'5_2':0.0},(268,369):{'3_1':0.12,'4_1':0.0},(268,368):{'3_1':0.06},(268,367):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(268,366):{'3_1':0.09,'4_1':0.0},(268,365):{'3_1':0.12,'4_1':0.0},(268,364):{'3_1':0.09},(268,363):{'3_1':0.03,'4_1':0.0},(268,362):{'3_1':0.12,'5_1':0.0},(268,361):{'3_1':0.12,'4_1':0.0},(268,360):{'3_1':0.06,'4_1':0.0},(268,359):{'3_1':0.12},(268,358):{'3_1':0.12},(268,357):{'3_1':0.12,'4_1':0.0},(268,356):{'3_1':0.09,'5_2':0.0},(268,355):{'3_1':0.15},(268,354):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(268,353):{'3_1':0.12},(268,352):{'3_1':0.12},(268,351):{'3_1':0.03},(268,350):{'3_1':0.09},(268,349):{'3_1':0.09,'4_1':0.0},(268,348):{'3_1':0.03,'5_2':0.0},(268,347):{'3_1':0.03},(268,346):{'3_1':0.03},(268,345):{'3_1':0.06},(268,344):{'3_1':0.03},(268,343):{'3_1':0.09},(268,342):{'3_1':0.06,'4_1':0.0},(268,341):{'3_1':0.03,'4_1':0.0},(268,340):{'3_1':0.03,'4_1':0.0},(268,339):{'3_1':0.06},(268,338):{'3_1':0.06},(268,337):{'3_1':0.03},(268,336):{'3_1':0.06},(268,335):{'3_1':0.06,'4_1':0.0},(268,334):{'3_1':0.09,'4_1':0.0},(268,333):{'3_1':0.12},(268,332):{'3_1':0.06,'4_1':0.0},(268,331):{'3_1':0.03},(268,330):{'3_1':0.06},(268,329):{'3_1':0.06,'4_1':0.0},(268,328):{'3_1':0.0},(268,327):{'3_1':0.0},(268,326):{'3_1':0.0},(268,325):{'3_1':0.0},(268,323):{'3_1':0.0},(268,322):{'3_1':0.0},(268,321):{'3_1':0.0},(268,320):{'3_1':0.0},(268,319):{'3_1':0.0},(268,318):{'3_1':0.03},(268,317):{'3_1':0.0},(268,316):{'3_1':0.0},(268,315):{'3_1':0.0},(268,313):{'3_1':0.0},(268,311):{'3_1':0.0},(268,308):{'3_1':0.0},(268,307):{'3_1':0.0},(268,305):{'3_1':0.0},(269,459):{'3_1':0.06},(269,458):{'3_1':0.12},(269,457):{'3_1':0.09},(269,456):{'3_1':0.06},(269,455):{'3_1':0.06},(269,454):{'3_1':0.06},(269,453):{'3_1':0.03,'4_1':0.0},(269,452):{'3_1':0.06,'5_2':0.0},(269,451):{'3_1':0.0},(269,450):{'3_1':0.06},(269,449):{'3_1':0.06},(269,448):{'3_1':0.06},(269,447):{'3_1':0.03,'4_1':0.0},(269,446):{'3_1':0.03},(269,445):{'3_1':0.06,'4_1':0.0},(269,444):{'3_1':0.06},(269,443):{'3_1':0.06},(269,442):{'3_1':0.06},(269,441):{'3_1':0.09,'4_1':0.0},(269,440):{'3_1':0.06,'4_1':0.0},(269,439):{'3_1':0.12},(269,438):{'3_1':0.09,'5_2':0.0},(269,437):{'3_1':0.03},(269,436):{'3_1':0.12,'6_3':0.0},(269,435):{'3_1':0.12,'4_1':0.0},(269,434):{'3_1':0.09,'4_1':0.0},(269,433):{'3_1':0.06,'4_1':0.0},(269,432):{'3_1':0.09,'4_1':0.0},(269,431):{'3_1':0.18},(269,430):{'3_1':0.09},(269,429):{'3_1':0.12},(269,428):{'3_1':0.03,'4_1':0.0},(269,427):{'3_1':0.06,'4_1':0.0},(269,426):{'3_1':0.12},(269,425):{'3_1':0.09,'4_1':0.0},(269,424):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(269,423):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(269,422):{'3_1':0.18,'4_1':0.0},(269,421):{'3_1':0.09,'4_1':0.0},(269,420):{'3_1':0.09,'4_1':0.06,'6_3':0.0},(269,419):{'3_1':0.12,'4_1':0.0},(269,418):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(269,417):{'3_1':0.15,'4_1':0.03},(269,416):{'3_1':0.15,'4_1':0.03},(269,415):{'3_1':0.12,'4_1':0.03},(269,414):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(269,413):{'3_1':0.15,'4_1':0.03},(269,412):{'3_1':0.12,'4_1':0.0},(269,411):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(269,410):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(269,409):{'3_1':0.06,'4_1':0.03},(269,408):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0},(269,407):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(269,406):{'3_1':0.15,'4_1':0.0},(269,405):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(269,404):{'3_1':0.18,'5_2':0.0,'8_20|3_1#3_1':0.0},(269,403):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(269,402):{'3_1':0.21},(269,401):{'3_1':0.15},(269,400):{'3_1':0.18,'5_2':0.0,'8_20|3_1#3_1':0.0},(269,399):{'3_1':0.15,'4_1':0.0},(269,398):{'3_1':0.09,'6_3':0.0},(269,397):{'3_1':0.15,'8_20|3_1#3_1':0.0},(269,396):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(269,395):{'3_1':0.21,'5_1':0.0,'8_20|3_1#3_1':0.0},(269,394):{'3_1':0.18},(269,393):{'3_1':0.24,'4_1':0.0},(269,392):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(269,391):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(269,390):{'3_1':0.12,'6_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(269,389):{'3_1':0.18,'6_3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(269,388):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(269,387):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(269,386):{'3_1':0.18,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0},(269,385):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(269,384):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(269,383):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(269,382):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(269,381):{'3_1':0.18,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0},(269,380):{'3_1':0.18,'6_3':0.0},(269,379):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(269,378):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(269,377):{'3_1':0.18,'5_2':0.0,'6_3':0.0},(269,376):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(269,375):{'3_1':0.15,'5_1':0.0},(269,374):{'3_1':0.18,'4_1':0.0},(269,373):{'3_1':0.15},(269,372):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(269,371):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(269,370):{'3_1':0.15,'7_2':0.0},(269,369):{'3_1':0.12},(269,368):{'3_1':0.21},(269,367):{'3_1':0.15},(269,366):{'3_1':0.15},(269,365):{'3_1':0.12,'4_1':0.0},(269,364):{'3_1':0.15},(269,363):{'3_1':0.24},(269,362):{'3_1':0.15},(269,361):{'3_1':0.15},(269,360):{'3_1':0.15,'5_2':0.0},(269,359):{'3_1':0.24,'5_2':0.0},(269,358):{'3_1':0.18},(269,357):{'3_1':0.12,'5_2':0.0},(269,356):{'3_1':0.09},(269,355):{'3_1':0.15,'5_1':0.0},(269,354):{'3_1':0.15},(269,353):{'3_1':0.12,'5_2':0.0},(269,352):{'3_1':0.12},(269,351):{'3_1':0.12},(269,350):{'3_1':0.09},(269,349):{'3_1':0.06},(269,348):{'3_1':0.09},(269,347):{'3_1':0.03},(269,346):{'3_1':0.06},(269,345):{'3_1':0.03},(269,344):{'3_1':0.06},(269,343):{'3_1':0.06,'4_1':0.0},(269,342):{'3_1':0.03},(269,341):{'3_1':0.03},(269,340):{'3_1':0.06,'4_1':0.0},(269,339):{'3_1':0.03,'5_1':0.0},(269,338):{'3_1':0.06,'5_2':0.0},(269,337):{'3_1':0.06},(269,336):{'3_1':0.06},(269,335):{'3_1':0.06},(269,334):{'3_1':0.09},(269,333):{'3_1':0.06},(269,332):{'3_1':0.09},(269,331):{'3_1':0.06,'4_1':0.0},(269,330):{'3_1':0.09},(269,329):{'3_1':0.06},(269,328):{'3_1':0.0},(269,327):{'3_1':0.0},(269,326):{'3_1':0.03},(269,325):{'3_1':0.0},(269,324):{'3_1':0.0},(269,322):{'3_1':0.0},(269,321):{'3_1':0.0},(269,320):{'3_1':0.0},(269,319):{'3_1':0.0},(269,318):{'3_1':0.03},(269,317):{'3_1':0.0},(269,316):{'3_1':0.0},(269,315):{'3_1':0.06},(269,313):{'3_1':0.0},(269,311):{'3_1':0.0},(269,309):{'3_1':0.0},(269,296):{'3_1':0.0},(269,290):{'3_1':0.0},(270,459):{'3_1':0.06},(270,458):{'3_1':0.06},(270,457):{'3_1':0.06},(270,456):{'3_1':0.06},(270,455):{'3_1':0.03},(270,454):{'3_1':0.03},(270,453):{'3_1':0.03,'4_1':0.0},(270,452):{'3_1':0.06},(270,451):{'3_1':0.09},(270,450):{'3_1':0.12,'4_1':0.0},(270,449):{'3_1':0.06},(270,448):{'3_1':0.03,'4_1':0.0},(270,447):{'3_1':0.03,'4_1':0.0},(270,446):{'3_1':0.06,'4_1':0.0},(270,445):{'3_1':0.03},(270,444):{'3_1':0.12,'4_1':0.0},(270,443):{'3_1':0.06},(270,442):{'3_1':0.09,'4_1':0.0},(270,441):{'3_1':0.09,'4_1':0.0},(270,440):{'3_1':0.15,'4_1':0.0},(270,439):{'3_1':0.09},(270,438):{'3_1':0.06,'4_1':0.0},(270,437):{'3_1':0.12},(270,436):{'3_1':0.06},(270,435):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(270,434):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'6_3':0.0},(270,433):{'3_1':0.06},(270,432):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(270,431):{'3_1':0.06,'4_1':0.0},(270,430):{'3_1':0.12,'4_1':0.0},(270,429):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(270,428):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(270,427):{'3_1':0.06,'5_2':0.0},(270,426):{'3_1':0.12,'4_1':0.0},(270,425):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(270,424):{'3_1':0.06,'4_1':0.0},(270,423):{'3_1':0.09,'4_1':0.03},(270,422):{'3_1':0.15,'4_1':0.0},(270,421):{'3_1':0.09,'4_1':0.03},(270,420):{'3_1':0.03,'4_1':0.0},(270,419):{'3_1':0.12,'4_1':0.03},(270,418):{'3_1':0.12,'4_1':0.0},(270,417):{'3_1':0.18,'6_3':0.0},(270,416):{'3_1':0.12,'4_1':0.0},(270,415):{'3_1':0.09,'4_1':0.0},(270,414):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(270,413):{'3_1':0.12,'4_1':0.0},(270,412):{'3_1':0.15,'4_1':0.0},(270,411):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(270,410):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(270,409):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(270,408):{'3_1':0.15,'4_1':0.0},(270,407):{'3_1':0.12},(270,406):{'3_1':0.12,'4_1':0.0},(270,405):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(270,404):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0},(270,403):{'3_1':0.18},(270,402):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0},(270,401):{'3_1':0.15,'8_20|3_1#3_1':0.0,'6_1':0.0},(270,400):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0},(270,399):{'3_1':0.12,'4_1':0.0},(270,398):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(270,397):{'3_1':0.12,'5_2':0.0},(270,396):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(270,395):{'3_1':0.18,'8_20|3_1#3_1':0.0,'5_1':0.0},(270,394):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(270,393):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(270,392):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(270,391):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(270,390):{'3_1':0.21,'5_2':0.0,'8_20|3_1#3_1':0.0},(270,389):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0},(270,388):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(270,387):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(270,386):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0},(270,385):{'3_1':0.21,'4_1':0.0,'8_20|3_1#3_1':0.0},(270,384):{'3_1':0.21,'4_1':0.0,'6_3':0.0},(270,383):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0},(270,382):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(270,381):{'3_1':0.18,'6_3':0.0,'4_1':0.0,'5_2':0.0},(270,380):{'3_1':0.21,'4_1':0.0,'6_3':0.0,'5_2':0.0},(270,379):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'5_2':0.0},(270,378):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(270,377):{'3_1':0.18,'5_1':0.0},(270,376):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(270,375):{'3_1':0.27,'5_2':0.0},(270,374):{'3_1':0.27,'5_2':0.0},(270,373):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(270,372):{'3_1':0.24,'4_1':0.0},(270,371):{'3_1':0.15,'5_2':0.0},(270,370):{'3_1':0.18},(270,369):{'3_1':0.15,'5_2':0.0},(270,368):{'3_1':0.12,'4_1':0.0},(270,367):{'3_1':0.15},(270,366):{'3_1':0.12,'5_2':0.0},(270,365):{'3_1':0.18,'5_2':0.0},(270,364):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(270,363):{'3_1':0.18,'4_1':0.0},(270,362):{'3_1':0.21,'5_2':0.0},(270,361):{'3_1':0.12},(270,360):{'3_1':0.09},(270,359):{'3_1':0.18,'4_1':0.0},(270,358):{'3_1':0.06},(270,357):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(270,356):{'3_1':0.18,'5_2':0.0},(270,355):{'3_1':0.12},(270,354):{'3_1':0.18},(270,353):{'3_1':0.09,'5_2':0.0},(270,352):{'3_1':0.15},(270,351):{'3_1':0.12},(270,350):{'3_1':0.12},(270,349):{'3_1':0.09},(270,348):{'3_1':0.12},(270,347):{'3_1':0.06},(270,346):{'3_1':0.03,'4_1':0.0},(270,345):{'3_1':0.06},(270,344):{'3_1':0.06},(270,343):{'3_1':0.03},(270,342):{'3_1':0.09},(270,341):{'3_1':0.06},(270,340):{'3_1':0.09},(270,339):{'3_1':0.09},(270,338):{'3_1':0.06},(270,337):{'3_1':0.06},(270,336):{'3_1':0.09},(270,335):{'3_1':0.06},(270,334):{'3_1':0.06,'4_1':0.0},(270,333):{'3_1':0.06},(270,332):{'3_1':0.09},(270,331):{'3_1':0.09},(270,330):{'3_1':0.03},(270,329):{'3_1':0.0,'4_1':0.0},(270,328):{'3_1':0.03,'4_1':0.0},(270,327):{'3_1':0.06},(270,326):{'3_1':0.0},(270,325):{'3_1':0.0},(270,324):{'3_1':0.0},(270,323):{'3_1':0.0},(270,322):{'3_1':0.0},(270,321):{'3_1':0.0},(270,320):{'3_1':0.0},(270,318):{'3_1':0.03},(270,317):{'3_1':0.0},(270,316):{'3_1':0.03},(270,315):{'3_1':0.03},(270,314):{'3_1':0.0},(270,313):{'3_1':0.0},(270,312):{'3_1':0.0},(270,311):{'3_1':0.0},(270,305):{'3_1':0.0},(270,293):{'3_1':0.0},(270,292):{'3_1':0.0},(271,459):{'3_1':0.06},(271,458):{'3_1':0.06},(271,457):{'3_1':0.06},(271,456):{'3_1':0.09},(271,455):{'3_1':0.06},(271,454):{'3_1':0.03},(271,453):{'3_1':0.03,'4_1':0.0},(271,452):{'3_1':0.06},(271,451):{'3_1':0.06},(271,450):{'3_1':0.06},(271,449):{'3_1':0.06},(271,448):{'3_1':0.06,'4_1':0.0},(271,447):{'3_1':0.03,'4_1':0.0},(271,446):{'3_1':0.06,'4_1':0.0},(271,445):{'3_1':0.06},(271,444):{'3_1':0.06},(271,443):{'3_1':0.03},(271,442):{'3_1':0.09,'4_1':0.0},(271,441):{'3_1':0.03},(271,440):{'3_1':0.06,'4_1':0.0},(271,439):{'3_1':0.06,'4_1':0.0},(271,438):{'3_1':0.03,'4_1':0.0},(271,437):{'3_1':0.03,'4_1':0.0},(271,436):{'3_1':0.03,'4_1':0.0},(271,435):{'3_1':0.06,'4_1':0.0},(271,434):{'3_1':0.06,'4_1':0.0},(271,433):{'3_1':0.09},(271,432):{'3_1':0.09,'4_1':0.0},(271,431):{'3_1':0.03,'4_1':0.0},(271,430):{'3_1':0.09,'4_1':0.0},(271,429):{'3_1':0.03},(271,428):{'3_1':0.06,'4_1':0.0},(271,427):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(271,426):{'3_1':0.06},(271,425):{'3_1':0.06},(271,424):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(271,423):{'3_1':0.09,'4_1':0.0},(271,422):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(271,421):{'3_1':0.03,'4_1':0.0},(271,420):{'3_1':0.09,'4_1':0.03},(271,419):{'3_1':0.06,'4_1':0.0},(271,418):{'3_1':0.09,'4_1':0.03},(271,417):{'3_1':0.12,'4_1':0.0},(271,416):{'3_1':0.12,'4_1':0.0},(271,415):{'3_1':0.06,'4_1':0.06},(271,414):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(271,413):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(271,412):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(271,411):{'3_1':0.09,'4_1':0.06,'6_3':0.0},(271,410):{'3_1':0.12,'4_1':0.03},(271,409):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(271,408):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(271,407):{'3_1':0.12,'6_3':0.0},(271,406):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(271,405):{'3_1':0.12,'6_3':0.0},(271,404):{'3_1':0.03,'4_1':0.0},(271,403):{'3_1':0.09},(271,402):{'3_1':0.09},(271,401):{'3_1':0.06},(271,400):{'3_1':0.12},(271,399):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(271,398):{'3_1':0.09},(271,397):{'3_1':0.09},(271,396):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(271,395):{'3_1':0.09},(271,394):{'3_1':0.09,'4_1':0.0},(271,393):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(271,392):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(271,391):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(271,390):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(271,389):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(271,388):{'3_1':0.06,'4_1':0.03},(271,387):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(271,386):{'3_1':0.18,'4_1':0.0},(271,385):{'3_1':0.09},(271,384):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(271,383):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(271,382):{'3_1':0.12,'4_1':0.0},(271,381):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(271,380):{'3_1':0.15,'5_2':0.0,'8_21|3_1#4_1':0.0},(271,379):{'3_1':0.12,'4_1':0.0},(271,378):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(271,377):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(271,376):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(271,375):{'3_1':0.21,'4_1':0.0},(271,374):{'3_1':0.06},(271,373):{'3_1':0.12,'4_1':0.0},(271,372):{'3_1':0.12,'4_1':0.0},(271,371):{'3_1':0.09,'4_1':0.0},(271,370):{'3_1':0.15},(271,369):{'3_1':0.06},(271,368):{'3_1':0.06},(271,367):{'3_1':0.09,'5_2':0.0},(271,366):{'3_1':0.09},(271,365):{'3_1':0.12,'4_1':0.0},(271,364):{'3_1':0.12},(271,363):{'3_1':0.12,'5_2':0.0},(271,362):{'3_1':0.12},(271,361):{'3_1':0.09,'4_1':0.0},(271,360):{'3_1':0.15,'4_1':0.0},(271,359):{'3_1':0.09},(271,358):{'3_1':0.12},(271,357):{'3_1':0.21},(271,356):{'3_1':0.09,'4_1':0.0},(271,355):{'3_1':0.09},(271,354):{'3_1':0.09},(271,353):{'3_1':0.09},(271,352):{'3_1':0.15},(271,351):{'3_1':0.06},(271,350):{'3_1':0.09},(271,349):{'3_1':0.03,'4_1':0.0},(271,348):{'3_1':0.03},(271,347):{'3_1':0.03},(271,346):{'3_1':0.06},(271,345):{'3_1':0.03},(271,344):{'3_1':0.03},(271,343):{'3_1':0.06},(271,342):{'3_1':0.09},(271,341):{'3_1':0.06},(271,340):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(271,339):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(271,338):{'3_1':0.03},(271,337):{'3_1':0.06},(271,336):{'3_1':0.03},(271,335):{'3_1':0.06},(271,334):{'3_1':0.09,'4_1':0.0},(271,333):{'3_1':0.12},(271,332):{'3_1':0.03},(271,331):{'3_1':0.03,'4_1':0.0},(271,330):{'3_1':0.06},(271,329):{'3_1':0.06},(271,328):{'3_1':0.06},(271,327):{'3_1':0.0},(271,326):{'3_1':0.03,'4_1':0.0},(271,325):{'3_1':0.0},(271,324):{'3_1':0.0},(271,323):{'3_1':0.0},(271,322):{'3_1':0.0},(271,321):{'3_1':0.0},(271,320):{'3_1':0.0},(271,319):{'3_1':0.0},(271,318):{'3_1':0.0},(271,317):{'3_1':0.03},(271,316):{'3_1':0.03},(271,315):{'3_1':0.03},(271,314):{'3_1':0.0},(271,313):{'3_1':0.0},(271,312):{'3_1':0.0},(271,311):{'3_1':0.0},(271,310):{'3_1':0.0},(272,459):{'3_1':0.03,'4_1':0.0},(272,458):{'3_1':0.06},(272,457):{'3_1':0.06},(272,456):{'3_1':0.06},(272,455):{'3_1':0.09},(272,454):{'3_1':0.06},(272,453):{'3_1':0.09},(272,452):{'3_1':0.06},(272,451):{'3_1':0.09},(272,450):{'3_1':0.03,'4_1':0.0},(272,449):{'3_1':0.03},(272,448):{'3_1':0.06,'4_1':0.0},(272,447):{'3_1':0.03,'4_1':0.0},(272,446):{'3_1':0.03},(272,445):{'3_1':0.06,'4_1':0.0},(272,444):{'3_1':0.06,'4_1':0.0},(272,443):{'3_1':0.06},(272,442):{'3_1':0.03,'4_1':0.0},(272,441):{'3_1':0.03,'4_1':0.0},(272,440):{'3_1':0.03,'4_1':0.0},(272,439):{'3_1':0.06},(272,438):{'3_1':0.0,'4_1':0.0},(272,437):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(272,436):{'3_1':0.06},(272,435):{'3_1':0.06,'4_1':0.0},(272,434):{'3_1':0.0},(272,433):{'3_1':0.06,'4_1':0.0},(272,432):{'3_1':0.0},(272,431):{'3_1':0.03,'4_1':0.0},(272,430):{'3_1':0.12,'4_1':0.0},(272,429):{'3_1':0.15,'4_1':0.0},(272,428):{'3_1':0.06,'4_1':0.0},(272,427):{'3_1':0.06},(272,426):{'3_1':0.06},(272,425):{'3_1':0.09,'4_1':0.0},(272,424):{'3_1':0.06,'4_1':0.0},(272,423):{'3_1':0.09,'4_1':0.0},(272,422):{'3_1':0.06,'4_1':0.0},(272,421):{'3_1':0.06,'5_2':0.0},(272,420):{'3_1':0.03,'4_1':0.0},(272,419):{'3_1':0.06,'4_1':0.0},(272,418):{'3_1':0.06,'4_1':0.0},(272,417):{'3_1':0.03,'4_1':0.0},(272,416):{'3_1':0.06,'4_1':0.0},(272,415):{'3_1':0.09},(272,414):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(272,413):{'4_1':0.06,'3_1':0.06},(272,412):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(272,411):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(272,410):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(272,409):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(272,408):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(272,407):{'3_1':0.09,'4_1':0.03},(272,406):{'3_1':0.09,'4_1':0.0},(272,405):{'3_1':0.06,'4_1':0.0},(272,404):{'3_1':0.06,'4_1':0.0},(272,403):{'3_1':0.09},(272,402):{'3_1':0.12},(272,401):{'3_1':0.09,'4_1':0.0},(272,400):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(272,399):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(272,398):{'3_1':0.03},(272,397):{'3_1':0.15},(272,396):{'3_1':0.09},(272,395):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(272,394):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(272,393):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(272,392):{'3_1':0.12,'5_2':0.0},(272,391):{'3_1':0.12,'5_2':0.0},(272,390):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(272,389):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(272,388):{'3_1':0.09},(272,387):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(272,386):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(272,385):{'3_1':0.09,'4_1':0.0},(272,384):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(272,383):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(272,382):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(272,381):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(272,380):{'3_1':0.12,'6_3':0.0},(272,379):{'3_1':0.12,'6_3':0.0},(272,378):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(272,377):{'3_1':0.18,'4_1':0.0},(272,376):{'3_1':0.06,'4_1':0.0},(272,375):{'3_1':0.06},(272,374):{'3_1':0.12,'5_2':0.0},(272,373):{'3_1':0.12,'4_1':0.0},(272,372):{'3_1':0.12,'4_1':0.0},(272,371):{'3_1':0.15,'4_1':0.0},(272,370):{'3_1':0.15},(272,369):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(272,368):{'3_1':0.12,'4_1':0.0},(272,367):{'3_1':0.06},(272,366):{'3_1':0.15,'5_2':0.0},(272,365):{'3_1':0.09},(272,364):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(272,363):{'3_1':0.12,'4_1':0.0},(272,362):{'3_1':0.09,'5_2':0.0},(272,361):{'3_1':0.15,'4_1':0.0},(272,360):{'3_1':0.03},(272,359):{'3_1':0.06},(272,358):{'3_1':0.09,'5_2':0.0},(272,357):{'3_1':0.12,'5_2':0.0},(272,356):{'3_1':0.09},(272,355):{'3_1':0.15},(272,354):{'3_1':0.06},(272,353):{'3_1':0.09},(272,352):{'3_1':0.12},(272,351):{'3_1':0.03},(272,350):{'3_1':0.03},(272,349):{'3_1':0.09},(272,348):{'3_1':0.06},(272,347):{'3_1':0.06},(272,346):{'3_1':0.03},(272,345):{'3_1':0.03,'4_1':0.0},(272,344):{'3_1':0.03,'4_1':0.0},(272,343):{'3_1':0.0},(272,342):{'3_1':0.06},(272,341):{'3_1':0.03,'4_1':0.0},(272,340):{'3_1':0.06},(272,339):{'3_1':0.06,'5_1':0.0},(272,338):{'3_1':0.03,'5_1':0.0},(272,337):{'3_1':0.03,'4_1':0.0},(272,336):{'3_1':0.09},(272,335):{'3_1':0.06},(272,334):{'3_1':0.03},(272,333):{'3_1':0.06,'4_1':0.0},(272,332):{'3_1':0.12},(272,331):{'3_1':0.03,'4_1':0.0},(272,330):{'3_1':0.09,'4_1':0.0},(272,329):{'3_1':0.03},(272,328):{'3_1':0.03},(272,327):{'3_1':0.03},(272,326):{'3_1':0.0},(272,324):{'3_1':0.0},(272,323):{'3_1':0.0},(272,322):{'3_1':0.03},(272,321):{'3_1':0.0},(272,320):{'3_1':0.0},(272,319):{'3_1':0.0},(272,317):{'3_1':0.0},(272,316):{'3_1':0.0},(272,315):{'3_1':0.0},(272,314):{'3_1':0.0},(272,313):{'3_1':0.0},(272,312):{'3_1':0.0},(272,311):{'5_1':0.0},(272,310):{'3_1':0.0},(273,459):{'3_1':0.06},(273,458):{'3_1':0.06,'4_1':0.0},(273,457):{'3_1':0.06},(273,456):{'3_1':0.06},(273,455):{'3_1':0.03},(273,454):{'3_1':0.09},(273,453):{'3_1':0.09},(273,452):{'3_1':0.0},(273,451):{'3_1':0.03},(273,450):{'3_1':0.09,'4_1':0.0},(273,449):{'3_1':0.06},(273,448):{'3_1':0.06},(273,447):{'3_1':0.09},(273,446):{'3_1':0.09,'4_1':0.0},(273,445):{'3_1':0.09,'4_1':0.0},(273,444):{'3_1':0.03},(273,443):{'3_1':0.06,'4_1':0.0},(273,442):{'3_1':0.03},(273,441):{'3_1':0.06},(273,440):{'3_1':0.03},(273,439):{'3_1':0.12},(273,438):{'3_1':0.06},(273,437):{'3_1':0.06},(273,436):{'3_1':0.06,'4_1':0.0},(273,435):{'3_1':0.06,'4_1':0.0},(273,434):{'3_1':0.06,'4_1':0.0},(273,433):{'3_1':0.06,'4_1':0.0},(273,432):{'3_1':0.06},(273,431):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(273,430):{'3_1':0.03,'4_1':0.03},(273,429):{'3_1':0.12,'4_1':0.0},(273,428):{'3_1':0.06,'4_1':0.0},(273,427):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(273,426):{'3_1':0.06,'4_1':0.0},(273,425):{'3_1':0.06,'4_1':0.0},(273,424):{'3_1':0.09,'4_1':0.03},(273,423):{'3_1':0.12,'4_1':0.0},(273,422):{'3_1':0.06,'4_1':0.0},(273,421):{'3_1':0.03,'4_1':0.03},(273,420):{'3_1':0.12,'4_1':0.0},(273,419):{'3_1':0.09},(273,418):{'3_1':0.15,'4_1':0.03},(273,417):{'3_1':0.18,'4_1':0.0},(273,416):{'3_1':0.12,'4_1':0.0},(273,415):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'5_2':0.0},(273,414):{'3_1':0.09,'4_1':0.03},(273,413):{'3_1':0.09,'4_1':0.0},(273,412):{'3_1':0.09,'4_1':0.0},(273,411):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(273,410):{'3_1':0.12,'4_1':0.03},(273,409):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(273,408):{'3_1':0.09,'4_1':0.0},(273,407):{'3_1':0.15,'4_1':0.0},(273,406):{'3_1':0.09,'4_1':0.0},(273,405):{'3_1':0.09,'4_1':0.0},(273,404):{'3_1':0.15},(273,403):{'3_1':0.09},(273,402):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(273,401):{'3_1':0.12,'6_3':0.0,'8_20|3_1#3_1':0.0},(273,400):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0},(273,399):{'3_1':0.12},(273,398):{'3_1':0.06,'6_3':0.0},(273,397):{'3_1':0.12},(273,396):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(273,395):{'3_1':0.15,'5_2':0.0},(273,394):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(273,393):{'3_1':0.18,'4_1':0.0},(273,392):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(273,391):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(273,390):{'3_1':0.12,'5_2':0.0},(273,389):{'3_1':0.12,'6_3':0.0,'4_1':0.0},(273,388):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(273,387):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0},(273,386):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(273,385):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(273,384):{'3_1':0.15,'6_3':0.0,'4_1':0.0},(273,383):{'3_1':0.18,'4_1':0.0},(273,382):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(273,381):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(273,380):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(273,379):{'3_1':0.18,'6_3':0.0},(273,378):{'3_1':0.18,'5_2':0.0},(273,377):{'3_1':0.18,'4_1':0.0},(273,376):{'3_1':0.09,'4_1':0.0},(273,375):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(273,374):{'3_1':0.15,'5_2':0.0},(273,373):{'3_1':0.12,'5_2':0.0},(273,372):{'3_1':0.15,'4_1':0.0},(273,371):{'3_1':0.15,'5_2':0.0},(273,370):{'3_1':0.15},(273,369):{'3_1':0.09,'4_1':0.0},(273,368):{'3_1':0.09},(273,367):{'3_1':0.12},(273,366):{'3_1':0.09,'5_2':0.0},(273,365):{'3_1':0.09},(273,364):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(273,363):{'3_1':0.09,'4_1':0.0},(273,362):{'3_1':0.09,'5_2':0.0},(273,361):{'3_1':0.12,'5_2':0.0},(273,360):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(273,359):{'3_1':0.12,'4_1':0.0},(273,358):{'3_1':0.09},(273,357):{'3_1':0.18,'4_1':0.0},(273,356):{'3_1':0.09,'5_2':0.0},(273,355):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(273,354):{'3_1':0.09},(273,353):{'3_1':0.15},(273,352):{'3_1':0.15},(273,351):{'3_1':0.09,'4_1':0.0},(273,350):{'3_1':0.06},(273,349):{'3_1':0.09},(273,348):{'3_1':0.0,'4_1':0.0},(273,347):{'3_1':0.03},(273,346):{'3_1':0.0,'4_1':0.0},(273,345):{'3_1':0.03},(273,344):{'3_1':0.06,'5_1':0.0},(273,343):{'3_1':0.03},(273,342):{'3_1':0.03},(273,340):{'3_1':0.06},(273,339):{'3_1':0.06},(273,338):{'3_1':0.06,'4_1':0.0},(273,337):{'3_1':0.03},(273,336):{'3_1':0.03,'4_1':0.0},(273,335):{'3_1':0.06},(273,334):{'3_1':0.06,'4_1':0.0},(273,333):{'3_1':0.0},(273,332):{'3_1':0.06},(273,331):{'3_1':0.03},(273,330):{'3_1':0.03,'4_1':0.0},(273,329):{'3_1':0.06},(273,328):{'3_1':0.03},(273,327):{'3_1':0.03},(273,325):{'3_1':0.0},(273,324):{'3_1':0.0},(273,323):{'3_1':0.0},(273,322):{'3_1':0.0},(273,321):{'3_1':0.0,'4_1':0.0},(273,320):{'3_1':0.0},(273,319):{'3_1':0.0,'5_1':0.0},(273,318):{'3_1':0.03,'5_1':0.0},(273,317):{'3_1':0.03},(273,315):{'3_1':0.0,'5_1':0.0},(273,314):{'3_1':0.03,'5_1':0.0},(273,313):{'3_1':0.0},(273,312):{'3_1':0.0},(273,311):{'3_1':0.03,'5_1':0.0},(273,310):{'3_1':0.0},(274,459):{'3_1':0.09},(274,458):{'3_1':0.06},(274,457):{'3_1':0.18},(274,456):{'3_1':0.06},(274,455):{'3_1':0.06,'4_1':0.0},(274,454):{'3_1':0.06},(274,453):{'3_1':0.09},(274,452):{'3_1':0.06,'4_1':0.0},(274,451):{'3_1':0.06},(274,450):{'3_1':0.09},(274,449):{'3_1':0.06,'4_1':0.0},(274,448):{'3_1':0.03},(274,447):{'3_1':0.06,'4_1':0.0},(274,446):{'3_1':0.03},(274,445):{'3_1':0.03},(274,444):{'3_1':0.06,'5_2':0.0},(274,443):{'3_1':0.06},(274,442):{'3_1':0.06},(274,441):{'3_1':0.06,'4_1':0.0},(274,440):{'3_1':0.12,'4_1':0.0},(274,439):{'3_1':0.0,'4_1':0.0},(274,438):{'3_1':0.12},(274,437):{'3_1':0.09,'4_1':0.0},(274,436):{'3_1':0.06,'4_1':0.0},(274,435):{'3_1':0.12,'4_1':0.0},(274,434):{'3_1':0.09,'4_1':0.0},(274,433):{'3_1':0.06},(274,432):{'3_1':0.09},(274,431):{'3_1':0.09},(274,430):{'3_1':0.15},(274,429):{'3_1':0.09,'4_1':0.0},(274,428):{'3_1':0.12,'5_1':0.0},(274,427):{'3_1':0.09,'4_1':0.0},(274,426):{'3_1':0.09},(274,425):{'3_1':0.12},(274,424):{'3_1':0.06,'4_1':0.0},(274,423):{'3_1':0.09,'4_1':0.0},(274,422):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(274,421):{'3_1':0.09,'4_1':0.0},(274,420):{'3_1':0.06,'4_1':0.0},(274,419):{'3_1':0.09,'4_1':0.0},(274,418):{'3_1':0.09},(274,417):{'3_1':0.12,'8_7':0.0},(274,416):{'3_1':0.09,'4_1':0.0},(274,415):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(274,414):{'3_1':0.12,'4_1':0.0},(274,413):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(274,412):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(274,411):{'3_1':0.03,'4_1':0.0},(274,410):{'3_1':0.09,'4_1':0.0},(274,409):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(274,408):{'3_1':0.06,'6_3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(274,407):{'3_1':0.09},(274,406):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(274,405):{'3_1':0.09,'6_3':0.0},(274,404):{'3_1':0.09},(274,403):{'3_1':0.15,'4_1':0.0},(274,402):{'3_1':0.09,'4_1':0.0},(274,401):{'3_1':0.09,'4_1':0.0},(274,400):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(274,399):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(274,398):{'3_1':0.06,'6_3':0.0},(274,397):{'3_1':0.09,'5_2':0.0},(274,396):{'3_1':0.06,'5_2':0.0},(274,395):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(274,394):{'3_1':0.09},(274,393):{'3_1':0.21},(274,392):{'3_1':0.12},(274,391):{'3_1':0.12},(274,390):{'3_1':0.12,'6_3':0.0},(274,389):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'5_2':0.0},(274,388):{'3_1':0.09,'4_1':0.0},(274,387):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(274,386):{'3_1':0.18,'4_1':0.0},(274,385):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(274,384):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(274,383):{'3_1':0.09},(274,382):{'3_1':0.18,'6_3':0.03,'5_2':0.0,'4_1':0.0},(274,381):{'3_1':0.12},(274,380):{'3_1':0.06},(274,379):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(274,378):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(274,377):{'3_1':0.15,'4_1':0.0},(274,376):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(274,375):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(274,374):{'3_1':0.09,'4_1':0.0},(274,373):{'3_1':0.15,'4_1':0.0},(274,372):{'3_1':0.03,'4_1':0.0},(274,371):{'3_1':0.12,'4_1':0.0},(274,370):{'3_1':0.15,'4_1':0.0},(274,369):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(274,368):{'3_1':0.09,'4_1':0.0},(274,367):{'3_1':0.18,'5_2':0.0},(274,366):{'3_1':0.18},(274,365):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(274,364):{'3_1':0.09,'4_1':0.0},(274,363):{'3_1':0.12},(274,362):{'3_1':0.12,'4_1':0.0},(274,361):{'3_1':0.12},(274,360):{'3_1':0.15},(274,359):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(274,358):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(274,357):{'3_1':0.15,'4_1':0.0},(274,356):{'3_1':0.09,'5_2':0.0},(274,355):{'3_1':0.15},(274,354):{'3_1':0.12,'4_1':0.0},(274,353):{'3_1':0.09},(274,352):{'3_1':0.15,'5_2':0.0},(274,351):{'3_1':0.12},(274,350):{'3_1':0.06},(274,349):{'3_1':0.06},(274,348):{'3_1':0.06},(274,347):{'3_1':0.03},(274,346):{'3_1':0.03,'4_1':0.0},(274,345):{'3_1':0.0},(274,344):{'3_1':0.0,'4_1':0.0},(274,343):{'3_1':0.0},(274,342):{'3_1':0.03},(274,341):{'3_1':0.06},(274,340):{'3_1':0.0},(274,339):{'3_1':0.03},(274,338):{'3_1':0.03},(274,337):{'3_1':0.06},(274,336):{'3_1':0.06},(274,335):{'3_1':0.0},(274,334):{'3_1':0.06},(274,333):{'3_1':0.03},(274,332):{'3_1':0.09,'4_1':0.0},(274,331):{'3_1':0.06,'4_1':0.0},(274,330):{'3_1':0.03},(274,329):{'3_1':0.0},(274,328):{'3_1':0.0},(274,327):{'3_1':0.03},(274,326):{'3_1':0.0},(274,325):{'3_1':0.0},(274,324):{'3_1':0.0},(274,323):{'3_1':0.03},(274,322):{'3_1':0.0},(274,321):{'3_1':0.03},(274,320):{'3_1':0.0,'4_1':0.0},(274,319):{'3_1':0.03,'4_1':0.0},(274,318):{'3_1':0.03},(274,317):{'3_1':0.03},(274,316):{'3_1':0.0},(274,315):{'3_1':0.03,'5_1':0.0},(274,314):{'3_1':0.0},(274,313):{'3_1':0.0},(274,312):{'3_1':0.0},(274,311):{'3_1':0.0},(275,459):{'3_1':0.06},(275,458):{'3_1':0.09},(275,457):{'3_1':0.12,'4_1':0.0},(275,456):{'3_1':0.12},(275,455):{'3_1':0.09,'4_1':0.0},(275,454):{'3_1':0.09},(275,453):{'3_1':0.12},(275,452):{'3_1':0.15},(275,451):{'3_1':0.12},(275,450):{'3_1':0.09,'4_1':0.0},(275,449):{'3_1':0.15,'6_3':0.0},(275,448):{'3_1':0.12},(275,447):{'3_1':0.12,'4_1':0.0},(275,446):{'3_1':0.12},(275,445):{'3_1':0.09,'4_1':0.0},(275,444):{'3_1':0.09},(275,443):{'3_1':0.09,'5_1':0.0},(275,442):{'3_1':0.09,'4_1':0.0},(275,441):{'3_1':0.12},(275,440):{'3_1':0.15,'4_1':0.0},(275,439):{'3_1':0.21,'6_3':0.0},(275,438):{'3_1':0.12,'4_1':0.0},(275,437):{'3_1':0.12,'4_1':0.0},(275,436):{'3_1':0.06,'4_1':0.0},(275,435):{'3_1':0.06,'6_3':0.0},(275,434):{'3_1':0.06,'4_1':0.0},(275,433):{'3_1':0.09},(275,432):{'3_1':0.15,'4_1':0.0},(275,431):{'3_1':0.12,'4_1':0.0},(275,430):{'3_1':0.06},(275,429):{'3_1':0.06},(275,428):{'3_1':0.15,'4_1':0.0},(275,427):{'3_1':0.06,'4_1':0.0},(275,426):{'3_1':0.21,'4_1':0.0,'8_20|3_1#3_1':0.0},(275,425):{'3_1':0.12,'4_1':0.0},(275,424):{'3_1':0.09,'4_1':0.0},(275,423):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(275,422):{'3_1':0.06},(275,421):{'3_1':0.12},(275,420):{'3_1':0.09,'4_1':0.0},(275,419):{'3_1':0.09,'4_1':0.03},(275,418):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(275,417):{'3_1':0.09,'4_1':0.0},(275,416):{'3_1':0.15,'4_1':0.0},(275,415):{'3_1':0.09,'6_3':0.0,'7_7':0.0},(275,414):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(275,413):{'3_1':0.12,'4_1':0.03},(275,412):{'3_1':0.09,'4_1':0.03},(275,411):{'3_1':0.12,'4_1':0.03,'-3':0.0},(275,410):{'3_1':0.12,'6_3':0.0,'4_1':0.0,'5_2':0.0},(275,409):{'3_1':0.09,'6_3':0.0,'4_1':0.0},(275,408):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(275,407):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(275,406):{'3_1':0.09,'4_1':0.0},(275,405):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(275,404):{'3_1':0.15,'4_1':0.0},(275,403):{'3_1':0.15},(275,402):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(275,401):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(275,400):{'3_1':0.15},(275,399):{'3_1':0.15},(275,398):{'3_1':0.15,'4_1':0.0},(275,397):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(275,396):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(275,395):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_10':0.0},(275,394):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(275,393):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(275,392):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(275,391):{'3_1':0.18,'5_1':0.0},(275,390):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(275,389):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(275,388):{'3_1':0.12,'4_1':0.0},(275,387):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(275,386):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(275,385):{'3_1':0.12,'4_1':0.0},(275,384):{'3_1':0.15,'4_1':0.0},(275,383):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(275,382):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(275,381):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(275,380):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(275,379):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'6_3':0.0},(275,378):{'3_1':0.15,'5_2':0.0},(275,377):{'3_1':0.18,'5_2':0.0,'6_3':0.0},(275,376):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(275,375):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(275,374):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(275,373):{'3_1':0.09,'4_1':0.0},(275,372):{'3_1':0.21,'4_1':0.0},(275,371):{'3_1':0.12,'4_1':0.0},(275,370):{'3_1':0.15,'5_2':0.0},(275,369):{'3_1':0.09,'4_1':0.0},(275,368):{'3_1':0.09,'5_2':0.0},(275,367):{'3_1':0.12,'4_1':0.0},(275,366):{'3_1':0.12},(275,365):{'3_1':0.12},(275,364):{'3_1':0.15},(275,363):{'3_1':0.12},(275,362):{'3_1':0.12},(275,361):{'3_1':0.21},(275,360):{'3_1':0.15},(275,359):{'3_1':0.15},(275,358):{'3_1':0.15,'5_2':0.0},(275,357):{'3_1':0.15},(275,356):{'3_1':0.12,'4_1':0.0},(275,355):{'3_1':0.12},(275,354):{'3_1':0.09},(275,353):{'3_1':0.06,'5_2':0.0},(275,352):{'3_1':0.09},(275,351):{'3_1':0.09,'5_2':0.0},(275,350):{'3_1':0.12},(275,349):{'3_1':0.03,'5_2':0.0},(275,348):{'3_1':0.03},(275,347):{'3_1':0.0},(275,346):{'3_1':0.03},(275,345):{'3_1':0.0,'4_1':0.0},(275,344):{'3_1':0.0},(275,343):{'3_1':0.0},(275,342):{'3_1':0.03,'5_1':0.0},(275,341):{'3_1':0.03},(275,339):{'3_1':0.0},(275,338):{'3_1':0.03},(275,337):{'3_1':0.0},(275,336):{'3_1':0.06},(275,335):{'3_1':0.06},(275,334):{'3_1':0.0},(275,333):{'3_1':0.09},(275,332):{'3_1':0.09},(275,331):{'3_1':0.03},(275,330):{'3_1':0.0},(275,329):{'3_1':0.0},(275,328):{'3_1':0.0},(275,327):{'3_1':0.0},(275,326):{'3_1':0.0},(275,325):{'3_1':0.0},(275,324):{'3_1':0.0},(275,323):{'3_1':0.0},(275,322):{'4_1':0.0},(275,321):{'3_1':0.03},(275,320):{'3_1':0.03},(275,319):{'3_1':0.03,'5_1':0.0},(275,318):{'3_1':0.03},(275,317):{'3_1':0.06},(275,316):{'3_1':0.06},(275,315):{'3_1':0.03},(275,314):{'3_1':0.0},(275,313):{'3_1':0.03},(275,312):{'3_1':0.0},(275,311):{'3_1':0.0},(275,310):{'3_1':0.0},(276,459):{'3_1':0.18},(276,458):{'3_1':0.12},(276,457):{'3_1':0.06},(276,456):{'3_1':0.12},(276,455):{'3_1':0.12},(276,454):{'3_1':0.12},(276,453):{'3_1':0.09},(276,452):{'3_1':0.09},(276,451):{'3_1':0.18},(276,450):{'3_1':0.15,'5_1':0.0},(276,449):{'3_1':0.15},(276,448):{'3_1':0.12},(276,447):{'3_1':0.12,'4_1':0.0},(276,446):{'3_1':0.09,'4_1':0.0},(276,445):{'3_1':0.12,'4_1':0.0},(276,444):{'3_1':0.09},(276,443):{'3_1':0.15},(276,442):{'3_1':0.06},(276,441):{'3_1':0.12},(276,440):{'3_1':0.12,'4_1':0.0},(276,439):{'3_1':0.15,'4_1':0.0},(276,438):{'3_1':0.06},(276,437):{'3_1':0.09},(276,436):{'3_1':0.09,'4_1':0.0},(276,435):{'3_1':0.12},(276,434):{'3_1':0.18,'4_1':0.0},(276,433):{'3_1':0.09},(276,432):{'3_1':0.09,'4_1':0.0},(276,431):{'3_1':0.09},(276,430):{'3_1':0.06},(276,429):{'3_1':0.15,'4_1':0.0},(276,428):{'3_1':0.18,'4_1':0.0},(276,427):{'3_1':0.09},(276,426):{'3_1':0.09,'4_1':0.0},(276,425):{'3_1':0.09},(276,424):{'3_1':0.09,'4_1':0.0},(276,423):{'3_1':0.12,'4_1':0.0},(276,422):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(276,421):{'3_1':0.09,'4_1':0.0},(276,420):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(276,419):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(276,418):{'3_1':0.12,'4_1':0.0},(276,417):{'3_1':0.09,'4_1':0.0},(276,416):{'3_1':0.09,'4_1':0.0},(276,415):{'3_1':0.18,'6_3':0.0},(276,414):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(276,413):{'3_1':0.15,'4_1':0.0},(276,412):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(276,411):{'3_1':0.09,'4_1':0.0,'8_7':0.0},(276,410):{'3_1':0.09},(276,409):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(276,408):{'3_1':0.12},(276,407):{'3_1':0.15,'4_1':0.0},(276,406):{'3_1':0.12,'4_1':0.0},(276,405):{'3_1':0.15,'4_1':0.0},(276,404):{'3_1':0.12},(276,403):{'3_1':0.15},(276,402):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(276,401):{'3_1':0.15},(276,400):{'3_1':0.12},(276,399):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(276,398):{'3_1':0.12,'4_1':0.0},(276,397):{'3_1':0.15,'6_3':0.0},(276,396):{'3_1':0.15,'5_2':0.0},(276,395):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(276,394):{'3_1':0.21,'4_1':0.0},(276,393):{'3_1':0.12,'5_2':0.0},(276,392):{'3_1':0.15},(276,391):{'3_1':0.18,'4_1':0.0},(276,390):{'3_1':0.15},(276,389):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(276,388):{'3_1':0.18,'6_2':0.0},(276,387):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(276,386):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(276,385):{'3_1':0.15,'4_1':0.03},(276,384):{'3_1':0.15,'4_1':0.0},(276,383):{'3_1':0.15,'4_1':0.0},(276,382):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(276,381):{'3_1':0.21,'4_1':0.03},(276,380):{'3_1':0.09,'4_1':0.0},(276,379):{'3_1':0.18,'4_1':0.0},(276,378):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(276,377):{'3_1':0.12},(276,376):{'3_1':0.12,'4_1':0.0},(276,375):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(276,374):{'3_1':0.18},(276,373):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(276,372):{'3_1':0.18},(276,371):{'3_1':0.09,'4_1':0.0},(276,370):{'3_1':0.15},(276,369):{'3_1':0.12,'4_1':0.0},(276,368):{'3_1':0.18,'4_1':0.0},(276,367):{'3_1':0.12},(276,366):{'3_1':0.12,'4_1':0.0},(276,365):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(276,364):{'3_1':0.15,'4_1':0.0},(276,363):{'3_1':0.12},(276,362):{'3_1':0.12},(276,361):{'3_1':0.15},(276,360):{'3_1':0.21,'5_2':0.0},(276,359):{'3_1':0.12,'5_2':0.0},(276,358):{'3_1':0.12},(276,357):{'3_1':0.15,'5_2':0.0},(276,356):{'3_1':0.15},(276,355):{'3_1':0.09,'4_1':0.0},(276,354):{'3_1':0.12,'5_1':0.0},(276,353):{'3_1':0.18},(276,352):{'3_1':0.15},(276,351):{'3_1':0.09},(276,350):{'3_1':0.06},(276,349):{'3_1':0.03},(276,348):{'3_1':0.06},(276,347):{'3_1':0.0,'4_1':0.0},(276,346):{'3_1':0.03,'4_1':0.0},(276,345):{'3_1':0.03},(276,344):{'3_1':0.0},(276,343):{'3_1':0.0},(276,342):{'3_1':0.0},(276,341):{'3_1':0.0},(276,340):{'3_1':0.03},(276,339):{'3_1':0.03},(276,338):{'3_1':0.03},(276,337):{'3_1':0.0},(276,336):{'3_1':0.03},(276,335):{'3_1':0.06},(276,334):{'3_1':0.06},(276,333):{'3_1':0.06},(276,332):{'3_1':0.06},(276,331):{'3_1':0.0},(276,330):{'3_1':0.0},(276,329):{'3_1':0.03},(276,328):{'3_1':0.0},(276,327):{'3_1':0.0},(276,326):{'3_1':0.0,'4_1':0.0},(276,325):{'3_1':0.0},(276,324):{'3_1':0.0},(276,323):{'3_1':0.0},(276,322):{'3_1':0.0},(276,320):{'3_1':0.0},(276,319):{'3_1':0.0},(276,318):{'3_1':0.0},(276,317):{'3_1':0.03},(276,316):{'3_1':0.03},(276,315):{'3_1':0.0},(276,314):{'3_1':0.03},(276,313):{'3_1':0.0},(276,312):{'3_1':0.0},(276,310):{'3_1':0.0},(277,459):{'3_1':0.15},(277,458):{'3_1':0.18},(277,457):{'3_1':0.21},(277,456):{'3_1':0.15,'5_1':0.0},(277,455):{'3_1':0.12},(277,454):{'3_1':0.15},(277,453):{'3_1':0.15},(277,452):{'3_1':0.12},(277,451):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(277,450):{'3_1':0.15},(277,449):{'3_1':0.12},(277,448):{'3_1':0.12},(277,447):{'3_1':0.09,'4_1':0.0},(277,446):{'3_1':0.18},(277,445):{'3_1':0.09,'4_1':0.0},(277,444):{'3_1':0.15},(277,443):{'3_1':0.09},(277,442):{'3_1':0.12,'4_1':0.0},(277,441):{'3_1':0.24},(277,440):{'3_1':0.24,'4_1':0.0},(277,439):{'3_1':0.12,'4_1':0.0},(277,438):{'3_1':0.18},(277,437):{'3_1':0.18,'4_1':0.0},(277,436):{'3_1':0.18},(277,435):{'3_1':0.15,'4_1':0.0},(277,434):{'3_1':0.09},(277,433):{'3_1':0.18,'4_1':0.0},(277,432):{'3_1':0.06,'4_1':0.0},(277,431):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(277,430):{'3_1':0.09},(277,429):{'3_1':0.12},(277,428):{'3_1':0.12,'4_1':0.0,'8_14':0.0},(277,427):{'3_1':0.24,'5_1':0.0},(277,426):{'3_1':0.15},(277,425):{'3_1':0.12,'4_1':0.0},(277,424):{'3_1':0.12,'4_1':0.0},(277,423):{'3_1':0.15,'4_1':0.0},(277,422):{'3_1':0.09,'4_1':0.0},(277,421):{'3_1':0.15,'4_1':0.03},(277,420):{'3_1':0.15,'4_1':0.0},(277,419):{'3_1':0.09,'6_3':0.0},(277,418):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(277,417):{'3_1':0.12,'4_1':0.0},(277,416):{'3_1':0.21,'4_1':0.0},(277,415):{'3_1':0.18,'4_1':0.0},(277,414):{'3_1':0.18},(277,413):{'3_1':0.12,'4_1':0.0},(277,412):{'3_1':0.18,'4_1':0.0},(277,411):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(277,410):{'3_1':0.12,'4_1':0.0},(277,409):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(277,408):{'3_1':0.12},(277,407):{'3_1':0.18,'5_1':0.0},(277,406):{'3_1':0.12,'4_1':0.0},(277,405):{'3_1':0.12,'6_3':0.0,'4_1':0.0},(277,404):{'3_1':0.15},(277,403):{'3_1':0.12,'6_3':0.0},(277,402):{'3_1':0.12},(277,401):{'3_1':0.18,'5_2':0.0},(277,400):{'3_1':0.21},(277,399):{'3_1':0.18},(277,398):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(277,397):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(277,396):{'3_1':0.15,'5_1':0.0},(277,395):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(277,394):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(277,393):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(277,392):{'3_1':0.21,'5_2':0.03,'6_2':0.0},(277,391):{'3_1':0.15,'5_1':0.0},(277,390):{'3_1':0.15,'5_1':0.0,'6_3':0.0},(277,389):{'3_1':0.24,'6_3':0.03},(277,388):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(277,387):{'3_1':0.18,'4_1':0.0},(277,386):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(277,385):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(277,384):{'3_1':0.21,'4_1':0.03},(277,383):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(277,382):{'3_1':0.12},(277,381):{'3_1':0.24,'6_3':0.0,'5_2':0.0,'4_1':0.0},(277,380):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(277,379):{'3_1':0.15,'4_1':0.0},(277,378):{'3_1':0.09,'6_3':0.0},(277,377):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(277,376):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(277,375):{'3_1':0.21,'5_2':0.0},(277,374):{'3_1':0.12},(277,373):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(277,372):{'3_1':0.12,'5_2':0.0},(277,371):{'3_1':0.12,'4_1':0.0},(277,370):{'3_1':0.18,'4_1':0.0},(277,369):{'3_1':0.12},(277,368):{'3_1':0.18,'4_1':0.0},(277,367):{'3_1':0.12},(277,366):{'3_1':0.15,'4_1':0.0},(277,365):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(277,364):{'3_1':0.06,'4_1':0.0},(277,363):{'3_1':0.18,'4_1':0.03},(277,362):{'3_1':0.12,'4_1':0.0},(277,361):{'3_1':0.12,'4_1':0.0},(277,360):{'3_1':0.15},(277,359):{'3_1':0.12},(277,358):{'3_1':0.12,'5_2':0.0},(277,357):{'3_1':0.12},(277,356):{'3_1':0.18},(277,355):{'3_1':0.12,'4_1':0.0},(277,354):{'3_1':0.24},(277,353):{'3_1':0.15},(277,352):{'3_1':0.15},(277,351):{'3_1':0.06},(277,350):{'3_1':0.12},(277,349):{'3_1':0.09},(277,348):{'3_1':0.09},(277,347):{'3_1':0.0},(277,346):{'3_1':0.03},(277,345):{'3_1':0.0},(277,344):{'3_1':0.03},(277,343):{'3_1':0.0},(277,341):{'3_1':0.03},(277,340):{'3_1':0.0},(277,339):{'3_1':0.0},(277,338):{'3_1':0.06},(277,337):{'3_1':0.0},(277,336):{'3_1':0.0},(277,335):{'3_1':0.0},(277,334):{'3_1':0.0},(277,333):{'3_1':0.03},(277,332):{'3_1':0.03},(277,331):{'3_1':0.0},(277,330):{'3_1':0.0},(277,329):{'3_1':0.0},(277,328):{'3_1':0.0},(277,327):{'3_1':0.0},(277,326):{'3_1':0.0},(277,325):{'3_1':0.0,'5_1':0.0},(277,324):{'3_1':0.0},(277,323):{'3_1':0.03},(277,322):{'3_1':0.0},(277,321):{'3_1':0.0,'5_1':0.0},(277,320):{'3_1':0.0},(277,319):{'3_1':0.03},(277,318):{'3_1':0.0},(277,317):{'3_1':0.0},(277,316):{'3_1':0.03},(277,315):{'3_1':0.0,'5_1':0.0},(277,313):{'3_1':0.0},(277,312):{'3_1':0.0},(277,311):{'3_1':0.0},(278,459):{'3_1':0.12},(278,458):{'3_1':0.09},(278,457):{'3_1':0.12,'5_1':0.0},(278,456):{'3_1':0.12,'4_1':0.0},(278,455):{'3_1':0.12,'5_1':0.0},(278,454):{'3_1':0.15},(278,453):{'3_1':0.09},(278,452):{'3_1':0.06,'5_1':0.0},(278,451):{'3_1':0.06},(278,450):{'3_1':0.09},(278,449):{'3_1':0.12,'4_1':0.0},(278,448):{'3_1':0.09},(278,447):{'3_1':0.15,'5_1':0.0},(278,446):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(278,445):{'3_1':0.09},(278,444):{'3_1':0.12},(278,443):{'3_1':0.12},(278,442):{'3_1':0.06,'5_2':0.0},(278,441):{'3_1':0.09},(278,440):{'3_1':0.06},(278,439):{'3_1':0.09,'4_1':0.0},(278,438):{'3_1':0.12,'4_1':0.0},(278,437):{'3_1':0.12,'4_1':0.0},(278,436):{'3_1':0.06},(278,435):{'3_1':0.09},(278,434):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(278,433):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(278,432):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(278,431):{'3_1':0.09},(278,430):{'3_1':0.15,'4_1':0.0},(278,429):{'3_1':0.09,'4_1':0.0},(278,428):{'3_1':0.09,'4_1':0.0},(278,427):{'3_1':0.09,'5_1':0.0},(278,426):{'3_1':0.09,'4_1':0.0},(278,425):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(278,424):{'3_1':0.09},(278,423):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(278,422):{'3_1':0.12,'5_2':0.0},(278,421):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(278,420):{'3_1':0.12},(278,419):{'3_1':0.06,'4_1':0.0},(278,418):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(278,417):{'3_1':0.09,'4_1':0.0},(278,416):{'3_1':0.15,'4_1':0.0},(278,415):{'3_1':0.09,'4_1':0.0},(278,414):{'3_1':0.12,'4_1':0.0},(278,413):{'3_1':0.09,'5_1':0.0},(278,412):{'3_1':0.12,'4_1':0.0},(278,411):{'3_1':0.12},(278,410):{'3_1':0.09,'4_1':0.03},(278,409):{'3_1':0.12,'4_1':0.0},(278,408):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(278,407):{'3_1':0.09,'5_1':0.0},(278,406):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(278,405):{'3_1':0.12},(278,404):{'3_1':0.09,'6_3':0.0},(278,403):{'3_1':0.09,'6_3':0.0},(278,402):{'3_1':0.09,'6_3':0.0},(278,401):{'3_1':0.12},(278,400):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(278,399):{'3_1':0.18},(278,398):{'3_1':0.09,'5_2':0.0},(278,397):{'3_1':0.06},(278,396):{'3_1':0.09},(278,395):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_3':0.0},(278,394):{'3_1':0.12,'5_2':0.0},(278,393):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(278,392):{'3_1':0.12,'5_2':0.0},(278,391):{'3_1':0.09,'5_2':0.0},(278,390):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(278,389):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(278,388):{'3_1':0.12,'4_1':0.0},(278,387):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(278,386):{'3_1':0.15,'4_1':0.0},(278,385):{'3_1':0.12,'4_1':0.0},(278,384):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(278,383):{'3_1':0.12,'6_3':0.0},(278,382):{'3_1':0.18,'4_1':0.0},(278,381):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(278,380):{'3_1':0.15,'5_2':0.0},(278,379):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(278,378):{'3_1':0.12,'4_1':0.0},(278,377):{'3_1':0.09},(278,376):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(278,375):{'3_1':0.09,'4_1':0.03},(278,374):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(278,373):{'3_1':0.09},(278,372):{'3_1':0.09,'4_1':0.0},(278,371):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(278,370):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(278,369):{'3_1':0.09,'7_2':0.0},(278,368):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(278,367):{'3_1':0.12,'4_1':0.0},(278,366):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(278,365):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(278,364):{'3_1':0.12,'4_1':0.0},(278,363):{'3_1':0.09,'4_1':0.0},(278,362):{'3_1':0.15},(278,361):{'3_1':0.15},(278,360):{'3_1':0.15,'4_1':0.0},(278,359):{'3_1':0.12,'4_1':0.0},(278,358):{'3_1':0.18},(278,357):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(278,356):{'3_1':0.09,'4_1':0.0},(278,355):{'3_1':0.12,'4_1':0.0},(278,354):{'3_1':0.15},(278,353):{'3_1':0.12,'5_1':0.0},(278,352):{'3_1':0.09},(278,351):{'3_1':0.06},(278,350):{'3_1':0.06},(278,349):{'3_1':0.03},(278,348):{'3_1':0.0},(278,347):{'3_1':0.0},(278,346):{'3_1':0.03},(278,345):{'3_1':0.0},(278,344):{'3_1':0.0},(278,343):{'3_1':0.0},(278,342):{'3_1':0.0},(278,341):{'3_1':0.0},(278,340):{'3_1':0.0},(278,339):{'3_1':0.0},(278,338):{'3_1':0.03},(278,337):{'3_1':0.03},(278,336):{'3_1':0.0},(278,335):{'3_1':0.0},(278,334):{'3_1':0.0},(278,333):{'3_1':0.0},(278,332):{'3_1':0.0},(278,331):{'3_1':0.0},(278,330):{'3_1':0.0},(278,329):{'3_1':0.03},(278,327):{'3_1':0.0},(278,325):{'3_1':0.0},(278,324):{'3_1':0.0},(278,323):{'3_1':0.0},(278,322):{'3_1':0.0},(278,321):{'3_1':0.0},(278,320):{'3_1':0.0},(278,319):{'3_1':0.0},(278,318):{'3_1':0.03},(278,316):{'3_1':0.0},(278,315):{'3_1':0.03},(278,314):{'3_1':0.0},(278,313):{'3_1':0.0},(278,312):{'3_1':0.0},(279,459):{'3_1':0.09},(279,458):{'3_1':0.15,'5_1':0.0},(279,457):{'3_1':0.15},(279,456):{'3_1':0.15,'5_1':0.0},(279,455):{'3_1':0.12},(279,454):{'3_1':0.03},(279,453):{'3_1':0.18},(279,452):{'3_1':0.15},(279,451):{'3_1':0.18,'5_1':0.0},(279,450):{'3_1':0.09,'5_1':0.0},(279,449):{'3_1':0.09,'4_1':0.0},(279,448):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(279,447):{'3_1':0.12,'4_1':0.0},(279,446):{'3_1':0.06},(279,445):{'3_1':0.12,'5_1':0.0},(279,444):{'3_1':0.12,'4_1':0.0},(279,443):{'3_1':0.12},(279,442):{'3_1':0.09},(279,441):{'3_1':0.12},(279,440):{'3_1':0.18,'5_1':0.0},(279,439):{'3_1':0.09},(279,438):{'3_1':0.09,'4_1':0.0},(279,437):{'3_1':0.09,'5_1':0.0},(279,436):{'3_1':0.09},(279,435):{'3_1':0.15,'5_1':0.0},(279,434):{'3_1':0.15,'4_1':0.0},(279,433):{'3_1':0.12,'5_1':0.0,'8_7':0.0},(279,432):{'3_1':0.18,'4_1':0.0},(279,431):{'3_1':0.12},(279,430):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(279,429):{'3_1':0.12},(279,428):{'3_1':0.06},(279,427):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(279,426):{'3_1':0.18,'5_1':0.0,'6_3':0.0},(279,425):{'3_1':0.15,'4_1':0.0},(279,424):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(279,423):{'3_1':0.12},(279,422):{'3_1':0.15,'4_1':0.0},(279,421):{'3_1':0.12,'5_1':0.0},(279,420):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(279,419):{'3_1':0.09},(279,418):{'3_1':0.15,'4_1':0.0},(279,417):{'3_1':0.09,'4_1':0.03},(279,416):{'3_1':0.15,'4_1':0.0},(279,415):{'3_1':0.12,'4_1':0.03},(279,414):{'3_1':0.09,'4_1':0.0},(279,413):{'3_1':0.12,'4_1':0.03},(279,412):{'3_1':0.09,'4_1':0.0},(279,411):{'3_1':0.12,'4_1':0.0},(279,410):{'3_1':0.06,'4_1':0.0},(279,409):{'3_1':0.12,'4_1':0.0},(279,408):{'3_1':0.15},(279,407):{'3_1':0.18,'5_1':0.0,'6_3':0.0},(279,406):{'3_1':0.06,'5_1':0.0},(279,405):{'3_1':0.15,'5_1':0.0},(279,404):{'3_1':0.12,'5_1':0.0},(279,403):{'3_1':0.12},(279,402):{'3_1':0.09},(279,401):{'3_1':0.09},(279,400):{'3_1':0.12,'4_1':0.0},(279,399):{'3_1':0.12},(279,398):{'3_1':0.12,'5_2':0.0},(279,397):{'3_1':0.12,'5_2':0.0},(279,396):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(279,395):{'3_1':0.09,'5_1':0.0},(279,394):{'3_1':0.12},(279,393):{'3_1':0.09,'4_1':0.0},(279,392):{'3_1':0.15,'4_1':0.0},(279,391):{'3_1':0.12},(279,390):{'3_1':0.15},(279,389):{'3_1':0.12,'4_1':0.0},(279,388):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(279,387):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(279,386):{'3_1':0.15,'6_1':0.0},(279,385):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(279,384):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(279,383):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(279,382):{'3_1':0.12,'6_3':0.0,'4_1':0.0},(279,381):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_3':0.0},(279,380):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(279,379):{'3_1':0.15,'4_1':0.0},(279,378):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(279,377):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(279,376):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(279,375):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(279,374):{'3_1':0.09,'4_1':0.0},(279,373):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(279,372):{'3_1':0.15,'4_1':0.03},(279,371):{'3_1':0.09,'5_2':0.0},(279,370):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(279,369):{'3_1':0.18},(279,368):{'3_1':0.18},(279,367):{'3_1':0.15,'4_1':0.0},(279,366):{'3_1':0.12,'4_1':0.0},(279,365):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(279,364):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(279,363):{'3_1':0.15,'4_1':0.0},(279,362):{'3_1':0.12,'5_1':0.0},(279,361):{'3_1':0.18,'4_1':0.0},(279,360):{'3_1':0.15,'4_1':0.0},(279,359):{'3_1':0.09,'4_1':0.0},(279,358):{'3_1':0.12,'4_1':0.0},(279,357):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(279,356):{'3_1':0.12},(279,355):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(279,354):{'3_1':0.18,'5_1':0.0},(279,353):{'3_1':0.06},(279,352):{'3_1':0.09},(279,351):{'3_1':0.12,'4_1':0.0},(279,350):{'3_1':0.09,'4_1':0.0},(279,349):{'3_1':0.0},(279,348):{'3_1':0.0},(279,347):{'3_1':0.0},(279,346):{'3_1':0.0},(279,345):{'3_1':0.03},(279,343):{'3_1':0.0},(279,342):{'3_1':0.0},(279,341):{'3_1':0.0},(279,340):{'3_1':0.03},(279,339):{'3_1':0.0},(279,338):{'3_1':0.0},(279,337):{'3_1':0.0},(279,336):{'3_1':0.03},(279,335):{'3_1':0.0},(279,334):{'3_1':0.0},(279,333):{'3_1':0.03},(279,332):{'3_1':0.03},(279,331):{'3_1':0.0},(279,330):{'3_1':0.0},(279,329):{'3_1':0.03},(279,328):{'3_1':0.0},(279,327):{'3_1':0.0},(279,326):{'3_1':0.03},(279,324):{'3_1':0.0},(279,323):{'3_1':0.0,'4_1':0.0},(279,322):{'3_1':0.0},(279,321):{'3_1':0.0},(279,320):{'3_1':0.0,'5_1':0.0},(279,319):{'3_1':0.03},(279,318):{'3_1':0.0,'5_1':0.0},(279,317):{'3_1':0.0},(279,316):{'3_1':0.06},(279,315):{'3_1':0.0},(279,314):{'3_1':0.0},(279,312):{'3_1':0.03},(279,311):{'3_1':0.0},(279,310):{'3_1':0.0},(280,459):{'3_1':0.06},(280,458):{'3_1':0.0},(280,457):{'3_1':0.03},(280,456):{'3_1':0.09},(280,455):{'3_1':0.03},(280,454):{'3_1':0.06},(280,453):{'3_1':0.06},(280,452):{'3_1':0.0},(280,451):{'3_1':0.03},(280,450):{'3_1':0.0},(280,449):{'3_1':0.0},(280,448):{'3_1':0.09},(280,447):{'3_1':0.06},(280,446):{'3_1':0.0,'4_1':0.0},(280,445):{'3_1':0.06},(280,444):{'3_1':0.0,'4_1':0.0},(280,443):{'3_1':0.03},(280,442):{'3_1':0.03},(280,441):{'3_1':0.03},(280,440):{'3_1':0.06},(280,439):{'3_1':0.03,'4_1':0.0},(280,438):{'3_1':0.03,'4_1':0.0},(280,437):{'3_1':0.0,'4_1':0.0},(280,436):{'3_1':0.03},(280,435):{'3_1':0.0},(280,434):{'3_1':0.03},(280,433):{'3_1':0.03},(280,432):{'3_1':0.0,'5_2':0.0},(280,431):{'3_1':0.0,'4_1':0.0},(280,430):{'3_1':0.03,'4_1':0.0},(280,429):{'3_1':0.06},(280,428):{'3_1':0.06,'4_1':0.0},(280,427):{'3_1':0.0,'5_1':0.0},(280,426):{'3_1':0.09,'4_1':0.0},(280,425):{'3_1':0.0,'5_1':0.0},(280,424):{'3_1':0.09,'4_1':0.03},(280,423):{'3_1':0.06,'5_1':0.0},(280,422):{'3_1':0.03,'4_1':0.0},(280,421):{'3_1':0.09,'4_1':0.0},(280,420):{'3_1':0.03,'4_1':0.0},(280,419):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(280,418):{'3_1':0.03,'4_1':0.0},(280,417):{'3_1':0.03,'4_1':0.0},(280,416):{'3_1':0.06,'4_1':0.0},(280,415):{'3_1':0.03,'5_1':0.0},(280,414):{'3_1':0.06,'4_1':0.0},(280,413):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(280,412):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(280,411):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(280,410):{'3_1':0.03,'5_1':0.0},(280,409):{'3_1':0.09,'5_1':0.0},(280,408):{'3_1':0.06,'5_1':0.0,'8_10':0.0},(280,407):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(280,406):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(280,405):{'3_1':0.03},(280,404):{'3_1':0.06,'5_2':0.0},(280,403):{'3_1':0.09},(280,402):{'3_1':0.03},(280,401):{'3_1':0.03},(280,400):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(280,399):{'3_1':0.09},(280,398):{'3_1':0.06,'4_1':0.0},(280,397):{'3_1':0.03,'5_1':0.0},(280,396):{'3_1':0.06,'5_1':0.0},(280,395):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(280,394):{'3_1':0.06,'5_1':0.0},(280,393):{'3_1':0.03,'4_1':0.0},(280,392):{'3_1':0.09,'5_2':0.0},(280,391):{'3_1':0.12,'5_1':0.0},(280,390):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(280,389):{'3_1':0.06},(280,388):{'3_1':0.06,'5_1':0.0},(280,387):{'3_1':0.06,'6_3':0.0},(280,386):{'3_1':0.06,'5_2':0.0},(280,385):{'3_1':0.12,'4_1':0.0},(280,384):{'3_1':0.06,'4_1':0.0},(280,383):{'3_1':0.06,'4_1':0.0},(280,382):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(280,381):{'3_1':0.12,'4_1':0.0},(280,380):{'3_1':0.09,'5_2':0.0},(280,379):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(280,378):{'4_1':0.06,'3_1':0.06,'5_1':0.0},(280,377):{'3_1':0.03,'6_3':0.0},(280,376):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(280,375):{'3_1':0.03,'4_1':0.0},(280,374):{'3_1':0.0,'5_1':0.0},(280,373):{'3_1':0.03,'4_1':0.0},(280,372):{'3_1':0.06,'4_1':0.0},(280,371):{'3_1':0.09,'4_1':0.0},(280,370):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(280,369):{'3_1':0.09},(280,368):{'3_1':0.06,'4_1':0.0},(280,367):{'3_1':0.06,'4_1':0.0},(280,366):{'3_1':0.09},(280,365):{'3_1':0.03},(280,364):{'3_1':0.06,'4_1':0.0},(280,363):{'3_1':0.06,'4_1':0.0},(280,362):{'3_1':0.03,'4_1':0.0},(280,361):{'3_1':0.06},(280,360):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(280,359):{'3_1':0.06,'4_1':0.0},(280,358):{'3_1':0.12,'4_1':0.0},(280,357):{'3_1':0.09},(280,356):{'3_1':0.06,'5_1':0.0},(280,355):{'3_1':0.09,'4_1':0.0},(280,354):{'3_1':0.09,'5_1':0.0},(280,353):{'3_1':0.09},(280,352):{'3_1':0.09},(280,351):{'3_1':0.03},(280,350):{'3_1':0.06,'5_1':0.0},(280,349):{'3_1':0.0},(280,348):{'3_1':0.0},(280,347):{'3_1':0.0},(280,346):{'3_1':0.0},(280,345):{'3_1':0.0},(280,344):{'3_1':0.0},(280,343):{'3_1':0.0},(280,342):{'3_1':0.0},(280,341):{'3_1':0.0},(280,340):{'3_1':0.0},(280,339):{'3_1':0.0},(280,338):{'3_1':0.0},(280,337):{'3_1':0.0},(280,336):{'3_1':0.03},(280,334):{'3_1':0.0},(280,333):{'3_1':0.03},(280,332):{'3_1':0.03},(280,331):{'3_1':0.0},(280,330):{'3_1':0.0},(280,328):{'3_1':0.0},(280,327):{'3_1':0.0},(280,326):{'3_1':0.0},(280,325):{'3_1':0.0},(280,324):{'3_1':0.0},(280,321):{'3_1':0.0},(280,320):{'3_1':0.0},(280,319):{'3_1':0.0},(280,317):{'3_1':0.0},(280,315):{'3_1':0.0},(280,314):{'3_1':0.0},(280,311):{'3_1':0.0},(281,459):{'3_1':0.0,'5_1':0.0},(281,458):{'3_1':0.0},(281,457):{'3_1':0.0},(281,456):{'3_1':0.0},(281,455):{'3_1':0.0},(281,454):{'3_1':0.0},(281,453):{'3_1':0.0},(281,452):{'3_1':0.03},(281,451):{'3_1':0.0,'5_1':0.0},(281,450):{'3_1':0.06},(281,449):{'3_1':0.06},(281,448):{'3_1':0.06},(281,447):{'3_1':0.0},(281,446):{'3_1':0.0},(281,445):{'3_1':0.0},(281,444):{'3_1':0.06},(281,443):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(281,442):{'3_1':0.0},(281,441):{'3_1':0.0},(281,440):{'3_1':0.0,'5_1':0.0},(281,439):{'3_1':0.0},(281,438):{'3_1':0.0},(281,437):{'3_1':0.0,'4_1':0.0},(281,436):{'3_1':0.03},(281,435):{'3_1':0.03},(281,434):{'3_1':0.03},(281,433):{'3_1':0.0,'5_1':0.0},(281,432):{'3_1':0.0},(281,431):{'3_1':0.03},(281,430):{'3_1':0.0},(281,429):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(281,428):{'3_1':0.03,'4_1':0.0},(281,427):{'3_1':0.0,'4_1':0.0},(281,426):{'3_1':0.03},(281,425):{'3_1':0.03},(281,424):{'3_1':0.0,'4_1':0.0},(281,423):{'3_1':0.03,'5_2':0.0},(281,422):{'3_1':0.03},(281,421):{'3_1':0.0},(281,420):{'3_1':0.0},(281,419):{'3_1':0.03},(281,418):{'3_1':0.03,'4_1':0.0},(281,417):{'3_1':0.0,'4_1':0.0},(281,416):{'3_1':0.03,'4_1':0.0},(281,415):{'3_1':0.06},(281,414):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(281,413):{'3_1':0.09,'4_1':0.0},(281,412):{'3_1':0.06,'4_1':0.0},(281,411):{'3_1':0.0},(281,410):{'3_1':0.03},(281,409):{'3_1':0.06},(281,408):{'3_1':0.06},(281,407):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(281,406):{'3_1':0.0,'5_1':0.0},(281,405):{'3_1':0.0,'5_1':0.0},(281,404):{'3_1':0.0},(281,403):{'3_1':0.06,'4_1':0.0},(281,402):{'3_1':0.03,'6_3':0.0},(281,401):{'3_1':0.03},(281,400):{'3_1':0.03},(281,399):{'3_1':0.03},(281,398):{'3_1':0.0},(281,397):{'3_1':0.06},(281,396):{'3_1':0.03,'5_2':0.0},(281,395):{'3_1':0.03,'5_1':0.0},(281,394):{'3_1':0.03},(281,393):{'3_1':0.06,'6_3':0.0},(281,392):{'3_1':0.06},(281,391):{'3_1':0.03},(281,390):{'3_1':0.09,'4_1':0.0},(281,389):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(281,388):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(281,387):{'3_1':0.06,'4_1':0.0},(281,386):{'3_1':0.03},(281,385):{'3_1':0.0},(281,384):{'3_1':0.09,'4_1':0.0},(281,383):{'3_1':0.06,'4_1':0.0},(281,382):{'3_1':0.12,'4_1':0.0},(281,381):{'3_1':0.06,'4_1':0.0},(281,380):{'3_1':0.15,'5_2':0.0},(281,379):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(281,378):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(281,377):{'3_1':0.0,'4_1':0.0},(281,376):{'3_1':0.03,'4_1':0.0},(281,375):{'4_1':0.03,'3_1':0.0},(281,374):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(281,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(281,372):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(281,371):{'4_1':0.03,'3_1':0.0},(281,370):{'3_1':0.12},(281,369):{'3_1':0.06,'4_1':0.0},(281,368):{'3_1':0.06,'4_1':0.0},(281,367):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(281,366):{'3_1':0.03},(281,365):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(281,364):{'3_1':0.03,'4_1':0.0},(281,363):{'3_1':0.03},(281,362):{'3_1':0.03,'4_1':0.03},(281,361):{'3_1':0.03,'4_1':0.0},(281,360):{'3_1':0.03,'4_1':0.0},(281,359):{'3_1':0.03,'4_1':0.0},(281,358):{'3_1':0.06},(281,357):{'3_1':0.0,'4_1':0.0},(281,356):{'3_1':0.03,'4_1':0.0},(281,355):{'3_1':0.0,'4_1':0.0},(281,354):{'3_1':0.0},(281,353):{'3_1':0.06},(281,352):{'3_1':0.03},(281,351):{'3_1':0.0},(281,350):{'3_1':0.0},(281,349):{'3_1':0.03},(281,348):{'3_1':0.0},(281,347):{'3_1':0.0},(281,346):{'3_1':0.0},(281,345):{'3_1':0.0},(281,344):{'3_1':0.0},(281,341):{'3_1':0.0},(281,340):{'3_1':0.0},(281,339):{'3_1':0.0},(281,338):{'3_1':0.0},(281,337):{'3_1':0.0},(281,336):{'3_1':0.0},(281,335):{'3_1':0.03},(281,333):{'3_1':0.0},(281,332):{'3_1':0.0},(281,331):{'3_1':0.0},(281,329):{'3_1':0.0},(281,328):{'3_1':0.0},(281,324):{'3_1':0.0},(281,321):{'3_1':0.0},(281,319):{'3_1':0.0},(281,318):{'3_1':0.0},(281,316):{'3_1':0.0},(281,314):{'3_1':0.0},(282,459):{'3_1':0.0},(282,458):{'3_1':0.0},(282,456):{'3_1':0.0},(282,454):{'3_1':0.0,'4_1':0.0},(282,453):{'3_1':0.0},(282,452):{'3_1':0.0},(282,451):{'3_1':0.0},(282,450):{'3_1':0.0},(282,449):{'3_1':0.0},(282,447):{'3_1':0.0},(282,446):{'3_1':0.0},(282,444):{'3_1':0.0},(282,442):{'3_1':0.0},(282,440):{'3_1':0.0},(282,439):{'3_1':0.0},(282,438):{'3_1':0.0},(282,437):{'3_1':0.0},(282,432):{'3_1':0.0,'4_1':0.0},(282,431):{'4_1':0.0},(282,429):{'3_1':0.0},(282,428):{'4_1':0.0},(282,427):{'3_1':0.0,'5_2':0.0},(282,426):{'3_1':0.0},(282,424):{'3_1':0.0},(282,423):{'3_1':0.0},(282,422):{'3_1':0.0,'4_1':0.0},(282,421):{'3_1':0.0},(282,420):{'3_1':0.0},(282,419):{'3_1':0.03},(282,418):{'3_1':0.0,'4_1':0.0},(282,417):{'3_1':0.0,'4_1':0.0},(282,416):{'3_1':0.0},(282,415):{'3_1':0.0},(282,414):{'3_1':0.0,'4_1':0.0},(282,413):{'3_1':0.0,'4_1':0.0},(282,412):{'4_1':0.0,'3_1':0.0,'6_3':0.0},(282,411):{'3_1':0.0,'4_1':0.0},(282,410):{'3_1':0.0,'4_1':0.0},(282,409):{'3_1':0.0},(282,408):{'3_1':0.06},(282,407):{'3_1':0.0},(282,406):{'3_1':0.03},(282,405):{'3_1':0.0},(282,404):{'3_1':0.0},(282,403):{'3_1':0.0},(282,402):{'3_1':0.0,'4_1':0.0},(282,401):{'3_1':0.0},(282,400):{'3_1':0.03},(282,399):{'3_1':0.0},(282,398):{'3_1':0.0,'4_1':0.0},(282,397):{'3_1':0.0},(282,396):{'3_1':0.0},(282,395):{'3_1':0.03},(282,394):{'3_1':0.0},(282,393):{'3_1':0.03,'4_1':0.0},(282,392):{'3_1':0.0},(282,391):{'3_1':0.03},(282,390):{'3_1':0.0},(282,389):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(282,388):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(282,387):{'3_1':0.0,'4_1':0.0},(282,386):{'3_1':0.0},(282,385):{'3_1':0.0,'4_1':0.0},(282,384):{'3_1':0.03,'4_1':0.0},(282,383):{'3_1':0.0},(282,382):{'3_1':0.03,'4_1':0.0},(282,381):{'3_1':0.03,'4_1':0.0},(282,380):{'3_1':0.06,'4_1':0.0},(282,379):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(282,378):{'3_1':0.03,'4_1':0.0},(282,377):{'3_1':0.03,'4_1':0.0},(282,376):{'3_1':0.0,'4_1':0.0},(282,375):{'4_1':0.0},(282,374):{'3_1':0.0,'4_1':0.0},(282,373):{'4_1':0.0},(282,372):{'3_1':0.03},(282,371):{'3_1':0.0},(282,370):{'3_1':0.0},(282,369):{'3_1':0.0,'4_1':0.0},(282,368):{'3_1':0.0},(282,367):{'3_1':0.0,'4_1':0.0},(282,366):{'3_1':0.0},(282,365):{'3_1':0.0,'4_1':0.0},(282,364):{'3_1':0.0},(282,362):{'3_1':0.03},(282,361):{'3_1':0.0,'5_2':0.0},(282,360):{'3_1':0.0},(282,359):{'3_1':0.0},(282,358):{'3_1':0.0},(282,357):{'3_1':0.0},(282,356):{'3_1':0.0,'4_1':0.0},(282,355):{'3_1':0.0},(282,354):{'3_1':0.03},(282,353):{'3_1':0.0},(282,352):{'3_1':0.06},(282,350):{'3_1':0.0},(282,349):{'3_1':0.0},(282,348):{'3_1':0.0},(282,346):{'3_1':0.0},(282,344):{'3_1':0.0},(282,343):{'3_1':0.0},(282,342):{'3_1':0.0},(282,341):{'3_1':0.0},(282,340):{'3_1':0.0},(282,339):{'3_1':0.0},(282,337):{'3_1':0.0},(282,336):{'3_1':0.0},(282,335):{'3_1':0.0},(282,333):{'3_1':0.0},(282,332):{'3_1':0.03},(282,331):{'3_1':0.0},(282,329):{'3_1':0.0},(282,328):{'3_1':0.03},(282,327):{'3_1':0.0},(282,326):{'3_1':0.0},(282,324):{'3_1':0.0},(282,321):{'3_1':0.0},(282,320):{'3_1':0.0},(282,319):{'3_1':0.0},(282,318):{'3_1':0.0},(282,315):{'3_1':0.0},(282,310):{'3_1':0.0},(282,294):{'3_1':0.0},(283,458):{'4_1':0.0},(283,457):{'3_1':0.0},(283,451):{'3_1':0.0},(283,448):{'3_1':0.0},(283,447):{'3_1':0.0,'4_1':0.0},(283,446):{'7_2':0.0},(283,444):{'4_1':0.0},(283,443):{'3_1':0.0,'4_1':0.0},(283,439):{'3_1':0.0},(283,433):{'3_1':0.0},(283,432):{'4_1':0.0},(283,429):{'3_1':0.0},(283,428):{'4_1':0.0},(283,427):{'3_1':0.0},(283,426):{'3_1':0.0},(283,424):{'3_1':0.0},(283,422):{'4_1':0.0},(283,420):{'4_1':0.0},(283,419):{'3_1':0.0},(283,418):{'3_1':0.0},(283,417):{'4_1':0.0,'3_1':0.0},(283,416):{'3_1':0.0},(283,415):{'3_1':0.0,'5_2':0.0},(283,413):{'4_1':0.03,'3_1':0.0},(283,412):{'3_1':0.0},(283,411):{'3_1':0.0},(283,410):{'3_1':0.03,'4_1':0.0},(283,409):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(283,408):{'3_1':0.0},(283,407):{'3_1':0.0},(283,404):{'3_1':0.0},(283,402):{'4_1':0.0},(283,401):{'4_1':0.0,'3_1':0.0},(283,399):{'3_1':0.0},(283,398):{'3_1':0.0,'4_1':0.0},(283,397):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(283,396):{'3_1':0.0},(283,394):{'3_1':0.0},(283,393):{'3_1':0.0},(283,392):{'3_1':0.0},(283,391):{'3_1':0.0},(283,389):{'3_1':0.03,'4_1':0.0},(283,388):{'3_1':0.0},(283,387):{'3_1':0.0,'4_1':0.0},(283,386):{'3_1':0.0,'4_1':0.0},(283,385):{'3_1':0.0,'4_1':0.0},(283,384):{'3_1':0.03},(283,383):{'3_1':0.0},(283,382):{'3_1':0.0,'4_1':0.0},(283,381):{'3_1':0.03},(283,380):{'3_1':0.03,'4_1':0.0},(283,379):{'3_1':0.03,'4_1':0.0},(283,378):{'3_1':0.0},(283,377):{'3_1':0.06},(283,376):{'3_1':0.0,'4_1':0.0},(283,375):{'4_1':0.0,'3_1':0.0},(283,374):{'3_1':0.0,'4_1':0.0},(283,373):{'3_1':0.0,'4_1':0.0},(283,372):{'3_1':0.0},(283,371):{'3_1':0.03,'4_1':0.0},(283,370):{'4_1':0.0},(283,369):{'3_1':0.0},(283,368):{'3_1':0.0},(283,367):{'3_1':0.0,'4_1':0.0},(283,366):{'3_1':0.0,'5_2':0.0},(283,365):{'3_1':0.03},(283,364):{'3_1':0.0},(283,362):{'3_1':0.0,'5_2':0.0},(283,361):{'3_1':0.0,'4_1':0.0},(283,360):{'3_1':0.0},(283,359):{'3_1':0.03},(283,358):{'3_1':0.0},(283,357):{'3_1':0.0},(283,356):{'3_1':0.0},(283,355):{'3_1':0.0},(283,352):{'3_1':0.03},(283,351):{'3_1':0.0},(283,350):{'3_1':0.03},(283,347):{'3_1':0.0},(283,346):{'3_1':0.0},(283,345):{'3_1':0.0},(283,344):{'3_1':0.0},(283,343):{'3_1':0.0},(283,341):{'3_1':0.0},(283,340):{'3_1':0.0},(283,339):{'3_1':0.0},(283,338):{'3_1':0.0},(283,336):{'3_1':0.0},(283,335):{'3_1':0.0},(283,333):{'3_1':0.0},(283,332):{'3_1':0.0},(283,331):{'3_1':0.0},(283,329):{'3_1':0.0},(283,328):{'3_1':0.0},(283,324):{'3_1':0.0},(283,321):{'3_1':0.0},(283,319):{'3_1':0.0},(283,317):{'3_1':0.0},(283,316):{'3_1':0.0},(283,315):{'3_1':0.0},(283,313):{'3_1':0.0},(283,297):{'3_1':0.0},(284,456):{'3_1':0.0},(284,451):{'3_1':0.0},(284,449):{'3_1':0.0},(284,445):{'3_1':0.0},(284,444):{'3_1':0.0},(284,443):{'3_1':0.0},(284,441):{'3_1':0.0},(284,440):{'3_1':0.0},(284,438):{'3_1':0.0},(284,436):{'3_1':0.0},(284,435):{'3_1':0.0},(284,434):{'4_1':0.0},(284,433):{'3_1':0.0},(284,432):{'4_1':0.0,'3_1':0.0},(284,430):{'3_1':0.0},(284,429):{'4_1':0.0},(284,428):{'3_1':0.0},(284,427):{'3_1':0.0},(284,426):{'3_1':0.0},(284,425):{'3_1':0.0},(284,423):{'3_1':0.0},(284,422):{'3_1':0.0},(284,421):{'4_1':0.0},(284,420):{'3_1':0.0},(284,419):{'3_1':0.0,'4_1':0.0},(284,418):{'3_1':0.0},(284,417):{'3_1':0.0},(284,416):{'3_1':0.0,'5_2':0.0},(284,415):{'3_1':0.0},(284,414):{'3_1':0.0,'4_1':0.0},(284,413):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(284,412):{'3_1':0.03},(284,411):{'3_1':0.0},(284,410):{'3_1':0.03},(284,409):{'3_1':0.06},(284,408):{'3_1':0.06},(284,407):{'3_1':0.0,'4_1':0.0},(284,406):{'3_1':0.0},(284,405):{'3_1':0.0},(284,404):{'3_1':0.0},(284,403):{'3_1':0.03},(284,402):{'3_1':0.0},(284,401):{'3_1':0.0},(284,400):{'3_1':0.0},(284,399):{'3_1':0.0},(284,398):{'3_1':0.0},(284,397):{'3_1':0.0},(284,396):{'3_1':0.0},(284,395):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(284,394):{'3_1':0.0},(284,393):{'4_1':0.0},(284,392):{'4_1':0.0},(284,391):{'3_1':0.0,'7_2':0.0},(284,390):{'3_1':0.0},(284,389):{'3_1':0.06},(284,388):{'3_1':0.03},(284,387):{'3_1':0.03,'5_2':0.0},(284,386):{'3_1':0.0},(284,385):{'3_1':0.03},(284,384):{'3_1':0.03,'4_1':0.0},(284,383):{'3_1':0.03},(284,382):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(284,381):{'3_1':0.03},(284,380):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(284,379):{'3_1':0.09,'4_1':0.0},(284,378):{'3_1':0.03},(284,377):{'3_1':0.06},(284,376):{'3_1':0.06},(284,375):{'3_1':0.0},(284,374):{'3_1':0.0},(284,373):{'3_1':0.0},(284,372):{'3_1':0.0},(284,371):{'3_1':0.03},(284,370):{'3_1':0.0},(284,369):{'3_1':0.0},(284,368):{'3_1':0.0,'4_1':0.0},(284,367):{'3_1':0.0},(284,365):{'3_1':0.0},(284,364):{'3_1':0.03},(284,363):{'3_1':0.03},(284,362):{'3_1':0.0,'4_1':0.0},(284,361):{'5_2':0.0,'3_1':0.0},(284,360):{'3_1':0.0,'5_2':0.0},(284,359):{'3_1':0.0,'5_2':0.0},(284,358):{'3_1':0.0},(284,357):{'3_1':0.0},(284,356):{'3_1':0.0},(284,355):{'3_1':0.0},(284,353):{'3_1':0.0},(284,352):{'4_1':0.0,'5_1':0.0},(284,351):{'3_1':0.03,'5_1':0.0},(284,350):{'3_1':0.0},(284,348):{'3_1':0.0},(284,346):{'3_1':0.0},(284,345):{'3_1':0.0},(284,343):{'3_1':0.0},(284,342):{'3_1':0.0},(284,338):{'3_1':0.0,'4_1':0.0},(284,337):{'3_1':0.0},(284,335):{'3_1':0.0},(284,333):{'3_1':0.0},(284,330):{'3_1':0.0},(284,328):{'3_1':0.0},(284,327):{'3_1':0.0},(284,326):{'3_1':0.0},(284,323):{'4_1':0.0},(284,322):{'3_1':0.0},(284,320):{'3_1':0.0},(284,318):{'3_1':0.0},(284,315):{'3_1':0.0},(284,298):{'5_2':0.0},(284,290):{'3_1':0.0},(285,459):{'3_1':0.0},(285,457):{'8_20|3_1#3_1':0.0},(285,456):{'3_1':0.0},(285,455):{'3_1':0.0},(285,454):{'3_1':0.0},(285,449):{'3_1':0.0},(285,446):{'3_1':0.0},(285,443):{'3_1':0.0},(285,442):{'3_1':0.0},(285,441):{'3_1':0.0},(285,440):{'3_1':0.0},(285,437):{'4_1':0.0},(285,435):{'8_20|3_1#3_1':0.0},(285,433):{'3_1':0.03,'5_2':0.0},(285,432):{'3_1':0.0},(285,430):{'3_1':0.0},(285,429):{'3_1':0.0},(285,428):{'3_1':0.0},(285,427):{'3_1':0.0},(285,426):{'3_1':0.0},(285,425):{'3_1':0.0},(285,424):{'3_1':0.0,'5_2':0.0},(285,422):{'3_1':0.0,'4_1':0.0},(285,421):{'3_1':0.0},(285,420):{'3_1':0.0,'5_2':0.0},(285,419):{'3_1':0.0},(285,418):{'3_1':0.0,'4_1':0.0},(285,417):{'3_1':0.03},(285,416):{'3_1':0.0},(285,415):{'3_1':0.03,'5_2':0.0},(285,414):{'3_1':0.0,'4_1':0.0},(285,413):{'3_1':0.03},(285,412):{'3_1':0.0},(285,411):{'3_1':0.03},(285,410):{'3_1':0.0},(285,409):{'3_1':0.0},(285,408):{'3_1':0.03},(285,407):{'3_1':0.0},(285,406):{'5_2':0.0},(285,405):{'3_1':0.0},(285,404):{'3_1':0.0},(285,403):{'3_1':0.0},(285,402):{'3_1':0.0,'4_1':0.0},(285,401):{'3_1':0.0,'7_2':0.0},(285,400):{'3_1':0.0},(285,399):{'3_1':0.0},(285,398):{'4_1':0.0},(285,397):{'3_1':0.0},(285,396):{'3_1':0.0,'4_1':0.0},(285,395):{'3_1':0.0},(285,394):{'3_1':0.0,'4_1':0.0},(285,393):{'3_1':0.0,'5_2':0.0},(285,392):{'3_1':0.0},(285,391):{'3_1':0.0},(285,390):{'3_1':0.0},(285,389):{'3_1':0.09},(285,388):{'3_1':0.03},(285,387):{'3_1':0.0,'5_2':0.0},(285,386):{'3_1':0.0,'4_1':0.0},(285,385):{'3_1':0.03},(285,384):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(285,383):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(285,382):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(285,381):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(285,380):{'3_1':0.12,'5_2':0.0},(285,379):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(285,378):{'3_1':0.03,'4_1':0.0},(285,377):{'3_1':0.0},(285,376):{'3_1':0.0,'5_2':0.0},(285,375):{'3_1':0.0,'4_1':0.0},(285,374):{'3_1':0.03},(285,373):{'3_1':0.03},(285,372):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(285,371):{'3_1':0.03,'4_1':0.0},(285,370):{'3_1':0.0,'5_1':0.0},(285,369):{'3_1':0.0},(285,368):{'4_1':0.0,'3_1':0.0},(285,367):{'3_1':0.0},(285,366):{'3_1':0.0,'5_2':0.0},(285,365):{'3_1':0.0,'5_2':0.0},(285,364):{'3_1':0.0,'4_1':0.0},(285,363):{'3_1':0.06},(285,362):{'3_1':0.03},(285,361):{'3_1':0.0,'4_1':0.0},(285,360):{'3_1':0.03},(285,359):{'3_1':0.03},(285,358):{'3_1':0.03},(285,357):{'3_1':0.0,'6_1':0.0},(285,356):{'3_1':0.03},(285,355):{'3_1':0.03,'4_1':0.0},(285,354):{'3_1':0.0},(285,353):{'3_1':0.06,'4_1':0.0},(285,352):{'3_1':0.0},(285,350):{'3_1':0.0,'4_1':0.0},(285,349):{'3_1':0.0},(285,348):{'3_1':0.0,'4_1':0.0},(285,347):{'3_1':0.0},(285,345):{'3_1':0.0},(285,344):{'3_1':0.0},(285,343):{'3_1':0.0},(285,341):{'3_1':0.0},(285,339):{'3_1':0.0,'4_1':0.0},(285,337):{'3_1':0.0},(285,336):{'3_1':0.0},(285,335):{'3_1':0.0},(285,333):{'3_1':0.0},(285,331):{'3_1':0.0},(285,330):{'3_1':0.0},(285,329):{'3_1':0.0},(285,327):{'3_1':0.0},(285,325):{'3_1':0.0},(285,323):{'3_1':0.0,'4_1':0.0},(285,321):{'3_1':0.0},(285,320):{'3_1':0.0},(285,318):{'3_1':0.0},(285,317):{'3_1':0.0},(285,316):{'3_1':0.0},(285,314):{'3_1':0.0},(285,312):{'3_1':0.0},(285,311):{'3_1':0.0},(285,291):{'3_1':0.0},(286,459):{'3_1':0.0},(286,458):{'3_1':0.0},(286,457):{'3_1':0.0},(286,455):{'3_1':0.0},(286,454):{'3_1':0.0},(286,451):{'3_1':0.0},(286,450):{'3_1':0.0},(286,449):{'3_1':0.0},(286,448):{'3_1':0.0},(286,447):{'3_1':0.0},(286,445):{'3_1':0.0},(286,444):{'3_1':0.0},(286,443):{'3_1':0.0},(286,442):{'3_1':0.0},(286,441):{'5_2':0.0},(286,439):{'3_1':0.0},(286,438):{'3_1':0.0},(286,437):{'3_1':0.0},(286,436):{'3_1':0.0},(286,434):{'3_1':0.0,'4_1':0.0},(286,433):{'3_1':0.0},(286,432):{'3_1':0.0},(286,431):{'3_1':0.0},(286,430):{'3_1':0.0},(286,429):{'3_1':0.0},(286,427):{'3_1':0.0},(286,425):{'3_1':0.0,'4_1':0.0},(286,424):{'3_1':0.0},(286,423):{'3_1':0.0},(286,422):{'3_1':0.0},(286,421):{'3_1':0.03,'4_1':0.0},(286,420):{'3_1':0.0,'5_2':0.0},(286,419):{'3_1':0.0},(286,418):{'3_1':0.0,'5_2':0.0},(286,417):{'3_1':0.0},(286,416):{'3_1':0.0},(286,415):{'3_1':0.03,'5_2':0.0},(286,414):{'3_1':0.0},(286,413):{'3_1':0.0},(286,412):{'3_1':0.03,'6_3':0.0},(286,411):{'3_1':0.03},(286,410):{'3_1':0.03},(286,409):{'3_1':0.03},(286,408):{'3_1':0.0,'5_2':0.0},(286,407):{'3_1':0.03},(286,406):{'3_1':0.0},(286,405):{'5_2':0.0},(286,404):{'4_1':0.0},(286,403):{'3_1':0.0},(286,401):{'3_1':0.0},(286,400):{'3_1':0.0},(286,399):{'3_1':0.0,'5_2':0.0},(286,398):{'3_1':0.0,'5_2':0.0},(286,397):{'4_1':0.0},(286,396):{'3_1':0.0},(286,395):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(286,394):{'3_1':0.0,'4_1':0.0},(286,393):{'3_1':0.0},(286,392):{'3_1':0.0,'4_1':0.0},(286,391):{'3_1':0.0},(286,390):{'5_2':0.0},(286,389):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(286,387):{'3_1':0.0,'5_2':0.0},(286,386):{'4_1':0.0,'3_1':0.0},(286,385):{'3_1':0.03},(286,384):{'3_1':0.03,'5_2':0.0},(286,383):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(286,382):{'3_1':0.06,'5_2':0.0},(286,381):{'3_1':0.03,'4_1':0.0},(286,380):{'3_1':0.06},(286,379):{'3_1':0.03,'4_1':0.0},(286,378):{'3_1':0.03,'5_2':0.0},(286,377):{'3_1':0.06},(286,376):{'3_1':0.03,'5_2':0.0},(286,375):{'3_1':0.06,'5_2':0.0},(286,374):{'3_1':0.06,'4_1':0.0},(286,373):{'3_1':0.06,'5_2':0.0},(286,372):{'3_1':0.06},(286,371):{'3_1':0.06},(286,370):{'3_1':0.06},(286,369):{'3_1':0.03},(286,368):{'3_1':0.03,'4_1':0.0},(286,367):{'3_1':0.0},(286,366):{'3_1':0.0},(286,365):{'3_1':0.06},(286,364):{'3_1':0.06},(286,363):{'3_1':0.03,'4_1':0.0},(286,362):{'3_1':0.0,'5_1':0.0},(286,361):{'3_1':0.03},(286,360):{'3_1':0.03},(286,359):{'3_1':0.06},(286,358):{'3_1':0.03},(286,357):{'3_1':0.0},(286,356):{'3_1':0.0,'5_1':0.0},(286,355):{'3_1':0.03},(286,354):{'3_1':0.06},(286,353):{'3_1':0.03},(286,352):{'3_1':0.0},(286,351):{'3_1':0.09},(286,350):{'3_1':0.0},(286,349):{'3_1':0.0},(286,346):{'3_1':0.0},(286,343):{'3_1':0.0},(286,342):{'3_1':0.0},(286,340):{'3_1':0.0},(286,339):{'3_1':0.0},(286,338):{'3_1':0.0},(286,337):{'3_1':0.0},(286,336):{'3_1':0.0},(286,328):{'3_1':0.0},(286,324):{'3_1':0.0},(286,323):{'3_1':0.0},(286,320):{'3_1':0.0},(286,298):{'3_1':0.0},(286,294):{'3_1':0.0},(286,291):{'3_1':0.0},(286,290):{'3_1':0.0},(287,459):{'3_1':0.0},(287,458):{'3_1':0.0},(287,457):{'3_1':0.0},(287,455):{'3_1':0.0},(287,452):{'3_1':0.0},(287,451):{'3_1':0.0},(287,449):{'3_1':0.0},(287,448):{'3_1':0.0},(287,447):{'3_1':0.0},(287,446):{'3_1':0.0},(287,445):{'3_1':0.0},(287,444):{'3_1':0.0},(287,443):{'3_1':0.0},(287,442):{'3_1':0.0},(287,441):{'3_1':0.0},(287,438):{'3_1':0.0},(287,437):{'3_1':0.0},(287,435):{'3_1':0.03},(287,433):{'3_1':0.0},(287,432):{'3_1':0.0,'6_1':0.0},(287,430):{'3_1':0.0},(287,429):{'3_1':0.0},(287,428):{'3_1':0.0},(287,425):{'3_1':0.0},(287,424):{'3_1':0.0,'4_1':0.0},(287,423):{'3_1':0.0},(287,422):{'3_1':0.0},(287,421):{'3_1':0.0,'4_1':0.0},(287,420):{'3_1':0.03},(287,419):{'3_1':0.03,'4_1':0.0},(287,418):{'3_1':0.03},(287,417):{'3_1':0.0},(287,416):{'3_1':0.0},(287,415):{'3_1':0.03},(287,414):{'3_1':0.0},(287,413):{'3_1':0.0,'8_20|3_1#3_1':0.0},(287,412):{'3_1':0.0,'5_2':0.0},(287,411):{'3_1':0.03,'6_3':0.0},(287,410):{'3_1':0.03},(287,409):{'3_1':0.0,'5_1':0.0},(287,408):{'3_1':0.03},(287,407):{'3_1':0.03},(287,406):{'3_1':0.0},(287,405):{'3_1':0.0},(287,404):{'3_1':0.0},(287,403):{'3_1':0.0},(287,402):{'3_1':0.03},(287,401):{'3_1':0.0,'5_2':0.0},(287,400):{'3_1':0.0,'4_1':0.0},(287,399):{'3_1':0.0,'5_2':0.0},(287,398):{'3_1':0.0},(287,397):{'3_1':0.0,'5_2':0.0},(287,396):{'3_1':0.0,'4_1':0.0},(287,395):{'3_1':0.0},(287,394):{'3_1':0.0},(287,393):{'3_1':0.0},(287,392):{'3_1':0.03,'4_1':0.0},(287,391):{'3_1':0.0,'4_1':0.0},(287,390):{'3_1':0.0,'4_1':0.0},(287,389):{'3_1':0.06,'5_2':0.0},(287,388):{'3_1':0.0},(287,387):{'3_1':0.03,'5_2':0.0},(287,386):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(287,385):{'3_1':0.03},(287,384):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(287,383):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(287,382):{'3_1':0.06,'5_2':0.0},(287,381):{'3_1':0.06,'5_2':0.0},(287,380):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(287,379):{'3_1':0.03,'4_1':0.0},(287,378):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(287,377):{'3_1':0.03,'4_1':0.0},(287,376):{'3_1':0.06,'5_1':0.0},(287,375):{'3_1':0.03},(287,374):{'3_1':0.0,'5_2':0.0},(287,373):{'3_1':0.03,'4_1':0.0},(287,372):{'3_1':0.0},(287,371):{'3_1':0.0},(287,370):{'3_1':0.03,'4_1':0.0},(287,369):{'3_1':0.03},(287,368):{'3_1':0.0,'4_1':0.0},(287,367):{'3_1':0.06},(287,366):{'3_1':0.0},(287,365):{'3_1':0.03},(287,364):{'3_1':0.03},(287,363):{'3_1':0.06},(287,362):{'3_1':0.0},(287,361):{'3_1':0.0,'4_1':0.0},(287,360):{'3_1':0.0,'4_1':0.0},(287,359):{'3_1':0.0},(287,358):{'3_1':0.0,'4_1':0.0},(287,357):{'3_1':0.0},(287,356):{'3_1':0.0},(287,355):{'3_1':0.03},(287,354):{'3_1':0.0,'4_1':0.0},(287,352):{'3_1':0.0},(287,351):{'3_1':0.0},(287,350):{'3_1':0.0,'4_1':0.0},(287,349):{'3_1':0.0},(287,348):{'3_1':0.0},(287,347):{'3_1':0.0,'4_1':0.0},(287,346):{'3_1':0.0},(287,345):{'3_1':0.0},(287,344):{'3_1':0.0},(287,342):{'3_1':0.0},(287,341):{'3_1':0.0},(287,338):{'3_1':0.0},(287,337):{'3_1':0.0},(287,336):{'3_1':0.0},(287,335):{'3_1':0.0},(287,334):{'3_1':0.0},(287,333):{'3_1':0.0},(287,332):{'3_1':0.0},(287,327):{'3_1':0.0},(287,326):{'4_1':0.0},(287,323):{'3_1':0.0},(287,322):{'3_1':0.0},(287,320):{'3_1':0.0},(287,318):{'3_1':0.0,'4_1':0.0},(287,316):{'3_1':0.03},(287,315):{'3_1':0.0},(287,313):{'3_1':0.0},(287,312):{'3_1':0.0},(287,310):{'3_1':0.0},(287,302):{'3_1':0.0},(287,292):{'3_1':0.0},(287,290):{'3_1':0.0},(288,459):{'3_1':0.0},(288,458):{'3_1':0.0},(288,456):{'3_1':0.0},(288,455):{'3_1':0.0},(288,452):{'3_1':0.0},(288,451):{'3_1':0.0},(288,450):{'3_1':0.0},(288,449):{'3_1':0.0},(288,448):{'3_1':0.0},(288,446):{'3_1':0.0},(288,445):{'3_1':0.0},(288,444):{'3_1':0.0},(288,443):{'3_1':0.0},(288,441):{'3_1':0.0,'4_1':0.0},(288,440):{'3_1':0.0},(288,438):{'3_1':0.0},(288,436):{'3_1':0.0},(288,435):{'3_1':0.0},(288,434):{'3_1':0.0,'4_1':0.0},(288,433):{'3_1':0.0},(288,432):{'3_1':0.0},(288,431):{'3_1':0.0},(288,430):{'3_1':0.0},(288,429):{'3_1':0.0},(288,428):{'3_1':0.03,'6_1':0.0},(288,427):{'3_1':0.03},(288,426):{'3_1':0.03},(288,425):{'3_1':0.0},(288,424):{'3_1':0.0,'4_1':0.0},(288,423):{'3_1':0.0},(288,422):{'3_1':0.0},(288,421):{'3_1':0.0,'4_1':0.0},(288,420):{'3_1':0.03},(288,419):{'3_1':0.03},(288,418):{'3_1':0.03},(288,416):{'3_1':0.03},(288,415):{'3_1':0.03,'5_2':0.0},(288,414):{'3_1':0.03},(288,413):{'3_1':0.0},(288,412):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(288,411):{'3_1':0.03},(288,410):{'3_1':0.0},(288,409):{'3_1':0.0,'5_1':0.0},(288,408):{'3_1':0.0},(288,407):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(288,406):{'3_1':0.0},(288,405):{'3_1':0.0},(288,404):{'3_1':0.0},(288,403):{'3_1':0.03,'5_2':0.0},(288,402):{'3_1':0.0},(288,401):{'3_1':0.0,'4_1':0.0},(288,400):{'3_1':0.0},(288,399):{'3_1':0.0},(288,398):{'3_1':0.0},(288,397):{'3_1':0.0},(288,396):{'3_1':0.0},(288,395):{'3_1':0.0},(288,394):{'3_1':0.0,'4_1':0.0},(288,393):{'3_1':0.0},(288,392):{'3_1':0.0,'4_1':0.0},(288,391):{'3_1':0.0,'5_2':0.0},(288,390):{'3_1':0.03},(288,389):{'3_1':0.03,'5_2':0.0},(288,388):{'3_1':0.03,'4_1':0.0},(288,387):{'3_1':0.03},(288,386):{'3_1':0.0,'4_1':0.0},(288,385):{'3_1':0.03},(288,384):{'3_1':0.06},(288,383):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(288,382):{'3_1':0.03,'5_2':0.0},(288,381):{'3_1':0.03,'4_1':0.0},(288,380):{'3_1':0.06},(288,379):{'3_1':0.06,'5_2':0.0},(288,378):{'3_1':0.03,'5_2':0.0},(288,377):{'3_1':0.06},(288,376):{'3_1':0.06,'5_2':0.0},(288,375):{'3_1':0.03},(288,374):{'3_1':0.03,'4_1':0.0},(288,373):{'3_1':0.0},(288,372):{'3_1':0.0},(288,371):{'3_1':0.06,'4_1':0.0},(288,370):{'3_1':0.0},(288,369):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(288,368):{'3_1':0.0,'4_1':0.0},(288,367):{'3_1':0.03},(288,366):{'3_1':0.0},(288,365):{'3_1':0.03,'4_1':0.0},(288,364):{'3_1':0.09},(288,363):{'3_1':0.03},(288,362):{'3_1':0.06,'7_2':0.0},(288,361):{'3_1':0.03},(288,360):{'3_1':0.0},(288,359):{'3_1':0.03},(288,358):{'3_1':0.06},(288,357):{'3_1':0.0},(288,356):{'3_1':0.0,'4_1':0.0},(288,355):{'3_1':0.0},(288,354):{'3_1':0.0},(288,353):{'3_1':0.0},(288,352):{'3_1':0.0},(288,351):{'3_1':0.0},(288,350):{'3_1':0.0},(288,349):{'3_1':0.0},(288,348):{'3_1':0.0},(288,347):{'3_1':0.0},(288,346):{'3_1':0.0},(288,345):{'3_1':0.0},(288,343):{'3_1':0.0},(288,342):{'3_1':0.0,'5_2':0.0},(288,341):{'3_1':0.0},(288,340):{'3_1':0.0},(288,339):{'3_1':0.0},(288,338):{'3_1':0.0},(288,337):{'3_1':0.0},(288,336):{'3_1':0.0},(288,334):{'3_1':0.0},(288,331):{'3_1':0.0},(288,330):{'3_1':0.0},(288,328):{'3_1':0.0},(288,327):{'3_1':0.0},(288,326):{'3_1':0.0},(288,320):{'3_1':0.0},(288,318):{'3_1':0.0},(288,316):{'3_1':0.0},(288,315):{'3_1':0.0},(288,314):{'3_1':0.0},(288,313):{'3_1':0.0},(288,296):{'3_1':0.0},(288,293):{'3_1':0.0},(289,459):{'3_1':0.0},(289,458):{'3_1':0.0},(289,457):{'3_1':0.0},(289,456):{'3_1':0.0},(289,455):{'3_1':0.0},(289,452):{'3_1':0.0},(289,448):{'3_1':0.0},(289,447):{'3_1':0.0},(289,446):{'3_1':0.0},(289,445):{'3_1':0.0},(289,443):{'3_1':0.0},(289,442):{'3_1':0.0},(289,440):{'3_1':0.0},(289,439):{'3_1':0.0},(289,438):{'3_1':0.0},(289,437):{'3_1':0.0},(289,436):{'3_1':0.0},(289,435):{'3_1':0.0},(289,434):{'3_1':0.0},(289,433):{'3_1':0.03},(289,432):{'3_1':0.0},(289,430):{'3_1':0.03},(289,429):{'3_1':0.0,'5_2':0.0},(289,427):{'3_1':0.0},(289,426):{'3_1':0.0,'5_2':0.0},(289,425):{'3_1':0.0},(289,424):{'3_1':0.0,'6_1':0.0},(289,423):{'3_1':0.0},(289,422):{'3_1':0.0},(289,421):{'3_1':0.03},(289,420):{'3_1':0.0},(289,419):{'3_1':0.03},(289,418):{'3_1':0.06},(289,417):{'3_1':0.03},(289,416):{'3_1':0.0,'5_2':0.0},(289,415):{'3_1':0.03},(289,414):{'3_1':0.06},(289,413):{'3_1':0.03},(289,412):{'3_1':0.03,'4_1':0.0},(289,411):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(289,410):{'3_1':0.0},(289,409):{'3_1':0.06,'4_1':0.0},(289,408):{'3_1':0.03},(289,407):{'3_1':0.03,'5_2':0.0},(289,406):{'3_1':0.03},(289,405):{'3_1':0.0},(289,404):{'3_1':0.0},(289,403):{'3_1':0.0},(289,402):{'3_1':0.0},(289,401):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(289,400):{'3_1':0.0},(289,399):{'3_1':0.0},(289,398):{'3_1':0.03},(289,397):{'3_1':0.0,'4_1':0.0},(289,396):{'3_1':0.0},(289,395):{'3_1':0.0},(289,394):{'3_1':0.03,'4_1':0.0},(289,393):{'3_1':0.03,'4_1':0.0},(289,392):{'3_1':0.0},(289,391):{'3_1':0.0,'4_1':0.0},(289,390):{'3_1':0.03,'4_1':0.0},(289,389):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(289,388):{'3_1':0.03},(289,387):{'3_1':0.03},(289,386):{'3_1':0.0,'4_1':0.0},(289,385):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(289,384):{'3_1':0.03,'4_1':0.0},(289,383):{'3_1':0.06,'5_2':0.0},(289,382):{'3_1':0.03,'5_2':0.0},(289,381):{'3_1':0.09,'4_1':0.0},(289,380):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(289,379):{'3_1':0.06,'5_2':0.0},(289,378):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(289,377):{'3_1':0.03,'4_1':0.0},(289,376):{'3_1':0.09,'4_1':0.0},(289,375):{'3_1':0.0},(289,374):{'3_1':0.06,'4_1':0.0},(289,373):{'3_1':0.0,'4_1':0.0},(289,372):{'3_1':0.0},(289,371):{'3_1':0.06},(289,370):{'3_1':0.03},(289,369):{'3_1':0.0,'4_1':0.0},(289,368):{'3_1':0.03,'4_1':0.0},(289,367):{'3_1':0.03,'4_1':0.0},(289,366):{'3_1':0.03,'4_1':0.0},(289,365):{'3_1':0.03},(289,364):{'3_1':0.03,'5_1':0.0},(289,363):{'3_1':0.06},(289,362):{'3_1':0.06},(289,361):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(289,360):{'3_1':0.03,'5_1':0.0},(289,359):{'3_1':0.06},(289,358):{'3_1':0.03,'4_1':0.0},(289,357):{'3_1':0.06},(289,356):{'3_1':0.03},(289,355):{'3_1':0.03},(289,354):{'3_1':0.03},(289,353):{'3_1':0.0},(289,352):{'3_1':0.03},(289,351):{'4_1':0.0},(289,350):{'3_1':0.0},(289,348):{'3_1':0.0},(289,347):{'3_1':0.03},(289,346):{'3_1':0.0},(289,345):{'3_1':0.0},(289,344):{'3_1':0.0},(289,342):{'3_1':0.0},(289,341):{'3_1':0.0},(289,340):{'3_1':0.0},(289,339):{'3_1':0.03},(289,338):{'3_1':0.0},(289,337):{'3_1':0.0},(289,336):{'3_1':0.0},(289,335):{'3_1':0.0},(289,334):{'3_1':0.0},(289,333):{'3_1':0.0},(289,330):{'3_1':0.0},(289,328):{'3_1':0.0},(289,327):{'3_1':0.0},(289,325):{'3_1':0.0},(289,324):{'3_1':0.0},(289,323):{'3_1':0.0},(289,322):{'3_1':0.0},(289,321):{'3_1':0.0},(289,318):{'3_1':0.0},(289,316):{'3_1':0.0},(289,314):{'3_1':0.0},(289,298):{'3_1':0.0},(289,294):{'3_1':0.0},(289,293):{'3_1':0.0},(290,459):{'3_1':0.0},(290,457):{'3_1':0.0},(290,456):{'3_1':0.0},(290,455):{'3_1':0.0},(290,453):{'3_1':0.0},(290,452):{'3_1':0.0},(290,451):{'3_1':0.0},(290,450):{'3_1':0.0},(290,449):{'3_1':0.0},(290,448):{'3_1':0.0},(290,447):{'3_1':0.0},(290,446):{'3_1':0.0},(290,445):{'3_1':0.0},(290,443):{'3_1':0.0},(290,441):{'3_1':0.03},(290,440):{'3_1':0.0},(290,439):{'3_1':0.0},(290,437):{'3_1':0.0,'8_1':0.0},(290,436):{'3_1':0.0},(290,435):{'3_1':0.0},(290,434):{'3_1':0.0,'5_2':0.0},(290,433):{'3_1':0.0},(290,432):{'3_1':0.0},(290,431):{'3_1':0.0},(290,430):{'3_1':0.03},(290,429):{'3_1':0.0},(290,428):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(290,427):{'3_1':0.0},(290,426):{'3_1':0.0},(290,425):{'3_1':0.0},(290,424):{'3_1':0.0,'5_2':0.0},(290,423):{'3_1':0.03},(290,422):{'5_2':0.0},(290,421):{'3_1':0.0},(290,420):{'3_1':0.0,'8_20|3_1#3_1':0.0},(290,419):{'3_1':0.0,'5_2':0.0},(290,418):{'3_1':0.0},(290,417):{'3_1':0.0},(290,416):{'3_1':0.03},(290,415):{'3_1':0.0,'5_1':0.0},(290,414):{'3_1':0.0},(290,413):{'3_1':0.03},(290,412):{'3_1':0.03,'5_2':0.0},(290,411):{'3_1':0.0,'4_1':0.0},(290,410):{'3_1':0.03},(290,409):{'3_1':0.03},(290,408):{'3_1':0.03,'5_2':0.0},(290,407):{'3_1':0.0},(290,406):{'3_1':0.0},(290,404):{'3_1':0.0},(290,403):{'3_1':0.0},(290,402):{'3_1':0.03},(290,401):{'3_1':0.03},(290,400):{'3_1':0.03},(290,399):{'3_1':0.0},(290,398):{'3_1':0.0},(290,397):{'3_1':0.0,'5_2':0.0},(290,396):{'3_1':0.0,'4_1':0.0},(290,395):{'3_1':0.0,'4_1':0.0},(290,394):{'3_1':0.0,'4_1':0.0},(290,393):{'3_1':0.0,'4_1':0.0},(290,392):{'3_1':0.0},(290,391):{'3_1':0.0},(290,390):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(290,389):{'3_1':0.06,'5_2':0.0},(290,388):{'3_1':0.0},(290,387):{'3_1':0.0},(290,386):{'3_1':0.0},(290,385):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(290,384):{'3_1':0.03,'4_1':0.0},(290,383):{'3_1':0.0,'5_2':0.0},(290,382):{'3_1':0.06,'4_1':0.0},(290,381):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(290,380):{'5_2':0.0,'3_1':0.0},(290,379):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(290,378):{'3_1':0.03},(290,377):{'3_1':0.06,'5_2':0.0},(290,376):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(290,375):{'3_1':0.0},(290,374):{'3_1':0.0},(290,373):{'3_1':0.0},(290,372):{'3_1':0.03},(290,371):{'3_1':0.03,'5_1':0.0},(290,370):{'3_1':0.06},(290,369):{'3_1':0.06,'5_2':0.0},(290,368):{'3_1':0.0,'5_2':0.0},(290,367):{'3_1':0.0},(290,366):{'3_1':0.03,'5_1':0.0},(290,365):{'3_1':0.06},(290,364):{'3_1':0.09},(290,363):{'3_1':0.09,'4_1':0.0},(290,362):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(290,361):{'3_1':0.0,'4_1':0.0},(290,360):{'3_1':0.03,'5_1':0.0},(290,359):{'3_1':0.0},(290,358):{'3_1':0.0},(290,357):{'3_1':0.0},(290,356):{'3_1':0.0},(290,355):{'3_1':0.0},(290,354):{'3_1':0.0,'4_1':0.0},(290,353):{'3_1':0.0},(290,352):{'3_1':0.0},(290,351):{'3_1':0.0},(290,350):{'3_1':0.0},(290,349):{'3_1':0.0},(290,348):{'3_1':0.03},(290,347):{'3_1':0.0},(290,345):{'3_1':0.0,'4_1':0.0},(290,343):{'3_1':0.0},(290,342):{'3_1':0.03},(290,341):{'3_1':0.0},(290,340):{'3_1':0.0},(290,339):{'3_1':0.0},(290,338):{'3_1':0.0},(290,337):{'3_1':0.0},(290,335):{'3_1':0.0},(290,334):{'3_1':0.0},(290,333):{'3_1':0.0},(290,331):{'3_1':0.0},(290,330):{'3_1':0.0},(290,326):{'3_1':0.0},(290,320):{'3_1':0.0},(290,318):{'4_1':0.0},(290,317):{'3_1':0.0},(290,316):{'3_1':0.0},(290,315):{'3_1':0.0},(290,313):{'3_1':0.0},(290,312):{'3_1':0.0},(290,311):{'3_1':0.0},(290,303):{'3_1':0.0},(290,299):{'3_1':0.0},(290,298):{'3_1':0.0},(291,456):{'3_1':0.0,'5_2':0.0},(291,454):{'3_1':0.0},(291,453):{'3_1':0.0},(291,451):{'3_1':0.0},(291,447):{'3_1':0.0},(291,446):{'3_1':0.0},(291,445):{'3_1':0.0},(291,444):{'3_1':0.0},(291,443):{'3_1':0.0,'4_1':0.0},(291,441):{'3_1':0.0},(291,439):{'3_1':0.0},(291,438):{'3_1':0.0},(291,437):{'4_1':0.0},(291,435):{'3_1':0.0},(291,434):{'3_1':0.0},(291,433):{'3_1':0.0},(291,431):{'3_1':0.0},(291,429):{'3_1':0.06},(291,428):{'3_1':0.0},(291,427):{'3_1':0.0},(291,426):{'3_1':0.0},(291,425):{'3_1':0.0},(291,424):{'3_1':0.0,'4_1':0.0},(291,423):{'3_1':0.0},(291,422):{'3_1':0.0},(291,421):{'3_1':0.0},(291,420):{'3_1':0.0},(291,419):{'3_1':0.0},(291,418):{'3_1':0.0},(291,417):{'3_1':0.03},(291,416):{'5_2':0.0},(291,415):{'3_1':0.0},(291,414):{'3_1':0.0},(291,413):{'3_1':0.0,'8_20|3_1#3_1':0.0},(291,412):{'3_1':0.0,'4_1':0.0},(291,411):{'3_1':0.03},(291,410):{'3_1':0.03},(291,403):{'3_1':0.0},(291,402):{'3_1':0.03},(291,401):{'3_1':0.0},(291,400):{'3_1':0.03},(291,399):{'3_1':0.0},(291,398):{'3_1':0.0},(291,397):{'3_1':0.03},(291,396):{'3_1':0.03,'4_1':0.0},(291,395):{'3_1':0.03},(291,393):{'4_1':0.0,'3_1':0.0},(291,392):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(291,391):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(291,390):{'3_1':0.0},(291,389):{'3_1':0.03,'5_2':0.0},(291,388):{'3_1':0.03,'5_1':0.0},(291,387):{'3_1':0.03},(291,386):{'3_1':0.0},(291,385):{'3_1':0.03},(291,384):{'3_1':0.06,'5_2':0.0},(291,383):{'3_1':0.06,'4_1':0.0},(291,382):{'3_1':0.06,'5_2':0.0},(291,381):{'3_1':0.0,'4_1':0.0},(291,380):{'3_1':0.06,'4_1':0.0},(291,379):{'3_1':0.03},(291,378):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(291,377):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(291,376):{'3_1':0.0},(291,375):{'3_1':0.03,'5_1':0.0},(291,374):{'3_1':0.0},(291,373):{'3_1':0.03,'4_1':0.0},(291,372):{'3_1':0.03},(291,371):{'3_1':0.06},(291,370):{'3_1':0.03},(291,369):{'3_1':0.03,'5_1':0.0},(291,368):{'3_1':0.03,'5_2':0.0},(291,367):{'3_1':0.03,'4_1':0.0},(291,366):{'3_1':0.03},(291,365):{'3_1':0.03},(291,364):{'3_1':0.12},(291,363):{'3_1':0.06},(291,362):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(291,361):{'3_1':0.0,'4_1':0.0},(291,360):{'3_1':0.03},(291,359):{'3_1':0.0},(291,358):{'3_1':0.0},(291,356):{'3_1':0.0},(291,355):{'4_1':0.0},(291,354):{'3_1':0.0},(291,352):{'3_1':0.0,'5_1':0.0},(291,351):{'3_1':0.0},(291,350):{'3_1':0.0},(291,349):{'3_1':0.0},(291,348):{'3_1':0.0},(291,347):{'4_1':0.0},(291,346):{'3_1':0.0},(291,345):{'3_1':0.0},(291,344):{'3_1':0.03},(291,343):{'3_1':0.0},(291,342):{'3_1':0.0},(291,341):{'3_1':0.0},(291,340):{'3_1':0.0},(291,339):{'3_1':0.0},(291,337):{'3_1':0.03},(291,335):{'3_1':0.0},(291,334):{'3_1':0.03},(291,333):{'3_1':0.0},(291,332):{'3_1':0.0},(291,331):{'3_1':0.0,'4_1':0.0},(291,330):{'3_1':0.0},(291,318):{'3_1':0.0},(291,317):{'3_1':0.0},(291,316):{'3_1':0.0},(291,315):{'3_1':0.0},(291,314):{'3_1':0.0},(291,307):{'3_1':0.0},(291,304):{'3_1':0.0},(291,299):{'3_1':0.0},(292,459):{'3_1':0.0},(292,458):{'3_1':0.0},(292,457):{'3_1':0.0},(292,455):{'3_1':0.0},(292,454):{'3_1':0.0},(292,453):{'3_1':0.0},(292,450):{'3_1':0.0},(292,446):{'3_1':0.0},(292,443):{'3_1':0.0},(292,442):{'3_1':0.0},(292,441):{'3_1':0.0},(292,440):{'3_1':0.0},(292,439):{'3_1':0.0},(292,437):{'3_1':0.0,'4_1':0.0},(292,435):{'3_1':0.0},(292,434):{'3_1':0.0,'4_1':0.0},(292,433):{'3_1':0.0,'5_2':0.0},(292,430):{'3_1':0.0},(292,429):{'3_1':0.03},(292,428):{'3_1':0.03},(292,427):{'3_1':0.0},(292,426):{'3_1':0.0},(292,425):{'3_1':0.03,'5_2':0.0},(292,423):{'3_1':0.0},(292,422):{'3_1':0.0},(292,421):{'3_1':0.0,'5_2':0.0},(292,420):{'3_1':0.0},(292,419):{'3_1':0.0,'5_2':0.0},(292,417):{'3_1':0.03},(292,416):{'3_1':0.0},(292,415):{'3_1':0.0},(292,414):{'3_1':0.0,'5_1':0.0},(292,413):{'3_1':0.0},(292,412):{'3_1':0.03,'5_2':0.0},(292,411):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(292,410):{'3_1':0.0,'5_1':0.0},(292,409):{'3_1':0.0,'5_2':0.0},(292,408):{'3_1':0.0,'5_2':0.0},(292,407):{'3_1':0.0},(292,406):{'3_1':0.0},(292,405):{'3_1':0.03},(292,404):{'3_1':0.03},(292,403):{'3_1':0.0},(292,402):{'3_1':0.0},(292,401):{'3_1':0.03},(292,400):{'3_1':0.0,'4_1':0.0},(292,399):{'3_1':0.03},(292,398):{'3_1':0.03},(292,397):{'3_1':0.0},(292,396):{'3_1':0.0},(292,395):{'3_1':0.0},(292,394):{'3_1':0.0},(292,393):{'4_1':0.0},(292,392):{'3_1':0.0,'4_1':0.0},(292,391):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(292,390):{'3_1':0.03,'5_2':0.0},(292,389):{'3_1':0.06},(292,388):{'3_1':0.03,'4_1':0.0},(292,387):{'3_1':0.03,'5_2':0.0},(292,386):{'3_1':0.0,'4_1':0.0},(292,385):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(292,384):{'3_1':0.09,'5_2':0.0},(292,383):{'3_1':0.03,'5_2':0.0},(292,382):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(292,381):{'3_1':0.03},(292,380):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(292,379):{'3_1':0.06},(292,378):{'3_1':0.06,'5_2':0.0},(292,377):{'3_1':0.06},(292,376):{'3_1':0.0},(292,375):{'3_1':0.0,'4_1':0.0},(292,374):{'3_1':0.0},(292,373):{'3_1':0.03,'4_1':0.0},(292,372):{'3_1':0.0},(292,371):{'3_1':0.03,'4_1':0.0},(292,370):{'3_1':0.0},(292,369):{'3_1':0.06,'4_1':0.0},(292,368):{'3_1':0.0,'5_1':0.0},(292,367):{'3_1':0.0,'4_1':0.0},(292,366):{'3_1':0.03,'4_1':0.0},(292,365):{'3_1':0.09},(292,364):{'3_1':0.06,'5_1':0.0},(292,363):{'3_1':0.06},(292,362):{'3_1':0.03},(292,361):{'3_1':0.09,'4_1':0.0},(292,360):{'3_1':0.03},(292,359):{'3_1':0.0},(292,358):{'3_1':0.03},(292,357):{'3_1':0.03},(292,356):{'3_1':0.0},(292,355):{'3_1':0.0},(292,354):{'3_1':0.0},(292,353):{'3_1':0.0},(292,352):{'3_1':0.0},(292,351):{'3_1':0.0},(292,350):{'3_1':0.0},(292,349):{'3_1':0.0},(292,348):{'3_1':0.0},(292,347):{'3_1':0.03},(292,346):{'3_1':0.03},(292,345):{'3_1':0.0},(292,344):{'3_1':0.0},(292,343):{'3_1':0.0},(292,342):{'3_1':0.0},(292,341):{'3_1':0.0},(292,340):{'3_1':0.0},(292,339):{'3_1':0.0},(292,338):{'3_1':0.0},(292,337):{'3_1':0.0},(292,335):{'3_1':0.03},(292,334):{'3_1':0.03},(292,333):{'3_1':0.0},(292,332):{'3_1':0.0},(292,331):{'3_1':0.0},(292,328):{'3_1':0.0},(292,322):{'3_1':0.0},(292,318):{'3_1':0.0},(292,316):{'3_1':0.0},(292,314):{'3_1':0.0},(292,313):{'3_1':0.0},(292,311):{'3_1':0.0},(292,310):{'3_1':0.0},(292,308):{'3_1':0.0},(292,307):{'3_1':0.0},(292,306):{'3_1':0.0},(292,301):{'3_1':0.0},(292,296):{'3_1':0.0},(293,459):{'3_1':0.0},(293,458):{'3_1':0.0},(293,457):{'3_1':0.0},(293,456):{'3_1':0.0},(293,455):{'3_1':0.0},(293,454):{'3_1':0.03},(293,453):{'3_1':0.0},(293,452):{'3_1':0.0},(293,451):{'3_1':0.0},(293,449):{'3_1':0.0,'5_2':0.0},(293,448):{'3_1':0.0},(293,447):{'3_1':0.03},(293,446):{'3_1':0.0,'4_1':0.0},(293,445):{'3_1':0.0},(293,444):{'3_1':0.0},(293,443):{'3_1':0.03},(293,442):{'3_1':0.0},(293,441):{'3_1':0.0},(293,440):{'3_1':0.0},(293,439):{'3_1':0.0},(293,437):{'3_1':0.0,'5_2':0.0},(293,436):{'3_1':0.03},(293,434):{'3_1':0.03},(293,433):{'3_1':0.0},(293,432):{'3_1':0.0},(293,431):{'3_1':0.0},(293,430):{'3_1':0.0},(293,429):{'3_1':0.0,'4_1':0.0},(293,427):{'3_1':0.0},(293,425):{'3_1':0.0},(293,424):{'3_1':0.0},(293,423):{'3_1':0.0,'5_2':0.0},(293,422):{'3_1':0.03},(293,421):{'3_1':0.0},(293,420):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(293,419):{'3_1':0.03},(293,418):{'3_1':0.0},(293,417):{'3_1':0.0,'5_1':0.0},(293,416):{'3_1':0.06},(293,415):{'3_1':0.03},(293,414):{'3_1':0.03},(293,413):{'3_1':0.0},(293,412):{'3_1':0.03},(293,411):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(293,410):{'3_1':0.06,'5_2':0.0},(293,409):{'3_1':0.03},(293,408):{'3_1':0.0,'5_2':0.0},(293,407):{'3_1':0.0},(293,406):{'3_1':0.03},(293,405):{'3_1':0.0},(293,404):{'3_1':0.03},(293,403):{'3_1':0.06},(293,402):{'3_1':0.03},(293,401):{'3_1':0.0},(293,400):{'3_1':0.0},(293,399):{'3_1':0.03},(293,398):{'3_1':0.0},(293,397):{'3_1':0.0},(293,396):{'3_1':0.0},(293,395):{'3_1':0.0,'5_2':0.0},(293,394):{'4_1':0.0,'3_1':0.0},(293,393):{'3_1':0.0,'4_1':0.0},(293,391):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(293,390):{'3_1':0.0},(293,389):{'3_1':0.06},(293,388):{'3_1':0.03,'5_2':0.0},(293,387):{'3_1':0.06,'5_2':0.0},(293,386):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(293,385):{'3_1':0.03},(293,384):{'3_1':0.06,'5_2':0.0},(293,383):{'3_1':0.06},(293,382):{'3_1':0.06,'4_1':0.0},(293,381):{'3_1':0.06,'5_2':0.0},(293,380):{'3_1':0.03,'4_1':0.0},(293,379):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(293,378):{'3_1':0.03,'5_2':0.0},(293,377):{'3_1':0.03,'4_1':0.0},(293,376):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(293,375):{'3_1':0.0,'5_2':0.0},(293,374):{'3_1':0.03},(293,373):{'3_1':0.0},(293,372):{'3_1':0.06},(293,371):{'3_1':0.03},(293,370):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(293,369):{'3_1':0.03},(293,368):{'3_1':0.0,'4_1':0.0},(293,367):{'3_1':0.0,'4_1':0.0},(293,366):{'3_1':0.03},(293,365):{'3_1':0.03},(293,364):{'3_1':0.09},(293,363):{'3_1':0.06},(293,362):{'3_1':0.03,'4_1':0.0},(293,361):{'3_1':0.06},(293,360):{'3_1':0.0,'4_1':0.0},(293,359):{'3_1':0.0},(293,358):{'3_1':0.0},(293,357):{'3_1':0.0,'4_1':0.0},(293,356):{'3_1':0.0},(293,355):{'3_1':0.03},(293,354):{'3_1':0.0},(293,353):{'3_1':0.0},(293,352):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(293,351):{'3_1':0.0},(293,349):{'3_1':0.0},(293,348):{'5_2':0.0},(293,347):{'3_1':0.0},(293,346):{'3_1':0.0},(293,345):{'3_1':0.0},(293,344):{'3_1':0.0},(293,343):{'3_1':0.0},(293,342):{'3_1':0.0},(293,341):{'3_1':0.0},(293,340):{'3_1':0.0},(293,339):{'3_1':0.0},(293,338):{'3_1':0.0},(293,337):{'3_1':0.0},(293,336):{'3_1':0.0},(293,335):{'3_1':0.0},(293,334):{'3_1':0.0},(293,333):{'3_1':0.0},(293,331):{'3_1':0.0},(293,330):{'3_1':0.0},(293,328):{'3_1':0.0},(293,326):{'3_1':0.0},(293,317):{'3_1':0.0},(293,316):{'3_1':0.0},(293,315):{'3_1':0.0},(293,313):{'3_1':0.0},(293,308):{'3_1':0.0},(293,306):{'3_1':0.0},(293,305):{'3_1':0.0},(293,297):{'3_1':0.0},(293,296):{'3_1':0.0},(294,459):{'3_1':0.0},(294,458):{'3_1':0.0},(294,457):{'3_1':0.03},(294,455):{'3_1':0.0},(294,454):{'3_1':0.0,'4_1':0.0},(294,452):{'3_1':0.0},(294,451):{'3_1':0.0},(294,447):{'3_1':0.0},(294,446):{'3_1':0.0},(294,445):{'3_1':0.0},(294,442):{'3_1':0.0},(294,441):{'3_1':0.0},(294,440):{'3_1':0.03},(294,439):{'3_1':0.0,'5_2':0.0},(294,438):{'3_1':0.0,'5_2':0.0},(294,437):{'4_1':0.0},(294,436):{'3_1':0.0},(294,434):{'3_1':0.0},(294,433):{'3_1':0.0},(294,432):{'3_1':0.0,'4_1':0.0},(294,431):{'3_1':0.0},(294,430):{'3_1':0.0},(294,429):{'3_1':0.0},(294,428):{'3_1':0.0},(294,427):{'3_1':0.0},(294,426):{'3_1':0.0},(294,424):{'3_1':0.03,'5_2':0.0},(294,423):{'3_1':0.03,'5_2':0.0},(294,422):{'3_1':0.03},(294,421):{'3_1':0.0},(294,420):{'3_1':0.0},(294,419):{'3_1':0.03},(294,418):{'3_1':0.03,'5_2':0.0},(294,417):{'3_1':0.0},(294,416):{'3_1':0.03},(294,415):{'3_1':0.0,'5_2':0.0},(294,414):{'3_1':0.03},(294,413):{'3_1':0.0,'5_2':0.0},(294,412):{'3_1':0.03},(294,411):{'3_1':0.0,'5_2':0.0},(294,410):{'3_1':0.03},(294,409):{'3_1':0.03},(294,408):{'3_1':0.03},(294,407):{'3_1':0.0},(294,406):{'3_1':0.0},(294,404):{'3_1':0.0},(294,403):{'3_1':0.06,'5_2':0.0},(294,402):{'3_1':0.0},(294,401):{'3_1':0.0,'5_2':0.0},(294,400):{'4_1':0.0,'5_1':0.0},(294,399):{'3_1':0.0},(294,397):{'3_1':0.0},(294,396):{'3_1':0.0,'4_1':0.0},(294,395):{'3_1':0.0,'4_1':0.0},(294,394):{'3_1':0.0,'4_1':0.0},(294,393):{'3_1':0.0},(294,392):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(294,391):{'3_1':0.03,'4_1':0.0},(294,390):{'3_1':0.0,'5_2':0.0},(294,389):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(294,388):{'3_1':0.0,'4_1':0.0},(294,387):{'3_1':0.0,'5_2':0.0},(294,386):{'3_1':0.0,'4_1':0.0},(294,385):{'3_1':0.03},(294,384):{'3_1':0.06,'4_1':0.0},(294,383):{'3_1':0.06,'5_2':0.0},(294,382):{'3_1':0.03,'4_1':0.0},(294,381):{'3_1':0.06},(294,380):{'3_1':0.03},(294,379):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(294,378):{'3_1':0.03},(294,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(294,376):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(294,375):{'3_1':0.0,'4_1':0.0},(294,374):{'3_1':0.0},(294,373):{'3_1':0.03},(294,372):{'3_1':0.03},(294,371):{'3_1':0.03},(294,370):{'3_1':0.03,'4_1':0.0},(294,369):{'3_1':0.03},(294,368):{'3_1':0.03},(294,367):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(294,366):{'3_1':0.03},(294,365):{'3_1':0.03},(294,364):{'3_1':0.06},(294,363):{'3_1':0.0},(294,362):{'3_1':0.03},(294,361):{'3_1':0.03,'4_1':0.0},(294,360):{'3_1':0.0},(294,359):{'3_1':0.0},(294,358):{'3_1':0.03},(294,357):{'3_1':0.0},(294,356):{'3_1':0.0},(294,355):{'3_1':0.0},(294,354):{'3_1':0.0},(294,353):{'3_1':0.0},(294,352):{'3_1':0.03},(294,351):{'3_1':0.0},(294,350):{'3_1':0.0},(294,348):{'3_1':0.0},(294,347):{'3_1':0.0},(294,346):{'3_1':0.0},(294,344):{'3_1':0.0},(294,343):{'3_1':0.0},(294,342):{'3_1':0.0},(294,341):{'3_1':0.03},(294,339):{'3_1':0.0},(294,337):{'3_1':0.0},(294,336):{'3_1':0.0},(294,335):{'3_1':0.0},(294,334):{'3_1':0.0},(294,333):{'3_1':0.0},(294,332):{'3_1':0.0},(294,331):{'3_1':0.0},(294,330):{'3_1':0.0},(294,326):{'3_1':0.0},(294,324):{'3_1':0.0},(294,311):{'3_1':0.0},(294,308):{'3_1':0.0},(294,298):{'3_1':0.0},(295,458):{'3_1':0.0},(295,457):{'3_1':0.0},(295,456):{'3_1':0.0},(295,454):{'3_1':0.0},(295,453):{'3_1':0.0},(295,452):{'3_1':0.0},(295,449):{'3_1':0.0},(295,448):{'3_1':0.0},(295,447):{'3_1':0.0},(295,443):{'3_1':0.03},(295,442):{'3_1':0.03},(295,441):{'3_1':0.0},(295,440):{'3_1':0.0},(295,439):{'3_1':0.0},(295,438):{'3_1':0.0},(295,437):{'3_1':0.0},(295,436):{'3_1':0.0},(295,435):{'3_1':0.03},(295,434):{'3_1':0.0},(295,433):{'3_1':0.0},(295,432):{'3_1':0.0},(295,431):{'3_1':0.0},(295,430):{'3_1':0.0},(295,429):{'3_1':0.0,'4_1':0.0},(295,428):{'3_1':0.03},(295,427):{'3_1':0.03},(295,426):{'3_1':0.0},(295,425):{'3_1':0.0},(295,423):{'3_1':0.0},(295,422):{'3_1':0.03,'5_2':0.0},(295,421):{'3_1':0.0},(295,420):{'3_1':0.0},(295,419):{'3_1':0.0,'4_1':0.0},(295,418):{'3_1':0.0},(295,417):{'3_1':0.03},(295,416):{'3_1':0.0,'4_1':0.0},(295,415):{'3_1':0.03},(295,414):{'3_1':0.0},(295,413):{'3_1':0.0},(295,412):{'3_1':0.03},(295,411):{'3_1':0.03,'5_2':0.0},(295,410):{'3_1':0.0},(295,409):{'3_1':0.0,'5_2':0.0},(295,408):{'3_1':0.0},(295,407):{'3_1':0.0,'5_2':0.0},(295,403):{'3_1':0.0},(295,402):{'3_1':0.0,'5_2':0.0},(295,401):{'3_1':0.0},(295,400):{'3_1':0.03},(295,399):{'3_1':0.0,'4_1':0.0},(295,398):{'3_1':0.0,'4_1':0.0},(295,397):{'3_1':0.0},(295,396):{'3_1':0.03},(295,395):{'3_1':0.0},(295,394):{'3_1':0.0,'4_1':0.0},(295,393):{'3_1':0.0,'4_1':0.0},(295,392):{'3_1':0.0},(295,391):{'3_1':0.0},(295,390):{'3_1':0.03,'5_2':0.0},(295,389):{'3_1':0.09},(295,388):{'3_1':0.03,'5_2':0.0},(295,387):{'3_1':0.09},(295,386):{'3_1':0.03},(295,385):{'3_1':0.06,'4_1':0.0},(295,384):{'3_1':0.03,'5_2':0.0},(295,383):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(295,382):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(295,381):{'3_1':0.12,'4_1':0.0},(295,380):{'3_1':0.12,'5_2':0.0},(295,379):{'3_1':0.12},(295,378):{'3_1':0.03},(295,377):{'3_1':0.0,'5_1':0.0},(295,376):{'3_1':0.09,'4_1':0.0},(295,375):{'3_1':0.0},(295,374):{'3_1':0.03},(295,373):{'3_1':0.06,'5_1':0.0},(295,372):{'3_1':0.0},(295,371):{'3_1':0.03},(295,370):{'3_1':0.03,'4_1':0.0},(295,369):{'3_1':0.03,'4_1':0.0},(295,368):{'3_1':0.0,'4_1':0.0},(295,367):{'3_1':0.0},(295,366):{'3_1':0.03},(295,365):{'3_1':0.03},(295,364):{'3_1':0.09},(295,363):{'3_1':0.06},(295,362):{'3_1':0.03,'4_1':0.0},(295,361):{'3_1':0.03},(295,360):{'3_1':0.0},(295,359):{'3_1':0.03},(295,357):{'3_1':0.0,'5_1':0.0},(295,356):{'3_1':0.0},(295,355):{'3_1':0.0},(295,353):{'3_1':0.0},(295,352):{'3_1':0.0},(295,351):{'3_1':0.0},(295,348):{'3_1':0.0},(295,347):{'3_1':0.03},(295,346):{'3_1':0.0},(295,345):{'3_1':0.0},(295,343):{'3_1':0.03},(295,342):{'3_1':0.0},(295,340):{'3_1':0.0},(295,338):{'3_1':0.0},(295,337):{'3_1':0.0},(295,335):{'3_1':0.0},(295,334):{'3_1':0.0},(295,333):{'3_1':0.0},(295,332):{'3_1':0.0},(295,331):{'3_1':0.0},(295,329):{'3_1':0.0},(295,327):{'3_1':0.0},(295,321):{'3_1':0.0},(295,320):{'3_1':0.0},(295,314):{'3_1':0.0},(295,308):{'3_1':0.0},(295,300):{'3_1':0.0},(296,459):{'3_1':0.0},(296,458):{'3_1':0.0},(296,457):{'3_1':0.0},(296,456):{'3_1':0.0},(296,455):{'3_1':0.0},(296,454):{'3_1':0.0},(296,453):{'3_1':0.0},(296,452):{'3_1':0.0},(296,450):{'3_1':0.0},(296,449):{'3_1':0.0},(296,447):{'3_1':0.0},(296,446):{'3_1':0.0},(296,444):{'3_1':0.0},(296,443):{'3_1':0.0},(296,442):{'3_1':0.0},(296,441):{'3_1':0.0,'5_2':0.0},(296,440):{'3_1':0.0},(296,439):{'3_1':0.03},(296,438):{'3_1':0.0},(296,437):{'3_1':0.03},(296,436):{'3_1':0.0},(296,434):{'3_1':0.0},(296,433):{'3_1':0.0},(296,432):{'3_1':0.0},(296,431):{'3_1':0.06},(296,430):{'3_1':0.0},(296,429):{'3_1':0.0},(296,428):{'3_1':0.0,'4_1':0.0},(296,427):{'3_1':0.0},(296,426):{'3_1':0.0},(296,425):{'3_1':0.0,'4_1':0.0},(296,424):{'3_1':0.0},(296,423):{'3_1':0.0},(296,422):{'3_1':0.0,'5_2':0.0},(296,421):{'3_1':0.0},(296,420):{'3_1':0.0,'7_6':0.0},(296,419):{'3_1':0.06,'6_3':0.0},(296,418):{'3_1':0.06},(296,417):{'3_1':0.0},(296,416):{'3_1':0.0},(296,415):{'3_1':0.0},(296,414):{'3_1':0.03},(296,413):{'3_1':0.0},(296,412):{'3_1':0.03,'5_2':0.0},(296,411):{'3_1':0.06},(296,410):{'3_1':0.03,'5_2':0.0},(296,409):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(296,408):{'3_1':0.03},(296,407):{'3_1':0.06,'5_2':0.0},(296,406):{'3_1':0.03},(296,405):{'3_1':0.0},(296,404):{'3_1':0.03},(296,403):{'3_1':0.03},(296,401):{'3_1':0.0,'5_2':0.0},(296,400):{'3_1':0.03,'4_1':0.0},(296,399):{'3_1':0.0},(296,398):{'3_1':0.0,'5_1':0.0},(296,397):{'3_1':0.03},(296,396):{'3_1':0.0,'4_1':0.0},(296,395):{'3_1':0.0,'6_2':0.0},(296,394):{'3_1':0.03},(296,393):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(296,392):{'3_1':0.0,'4_1':0.0},(296,391):{'3_1':0.0},(296,390):{'3_1':0.0,'4_1':0.0},(296,389):{'3_1':0.09,'5_2':0.0},(296,388):{'3_1':0.06,'5_2':0.0},(296,387):{'3_1':0.06},(296,386):{'3_1':0.0,'4_1':0.0},(296,385):{'3_1':0.0},(296,384):{'3_1':0.09,'5_2':0.0},(296,383):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(296,382):{'3_1':0.09,'5_2':0.0},(296,381):{'3_1':0.06,'4_1':0.0},(296,380):{'3_1':0.06,'5_2':0.0},(296,379):{'3_1':0.09,'4_1':0.0},(296,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(296,377):{'3_1':0.06},(296,376):{'3_1':0.03},(296,375):{'3_1':0.06},(296,374):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(296,373):{'3_1':0.03,'5_2':0.0},(296,372):{'3_1':0.0},(296,371):{'3_1':0.03,'4_1':0.0},(296,370):{'3_1':0.03},(296,369):{'3_1':0.06,'5_1':0.0},(296,368):{'3_1':0.0,'4_1':0.0},(296,367):{'3_1':0.06},(296,366):{'3_1':0.03,'4_1':0.0},(296,365):{'3_1':0.03},(296,364):{'3_1':0.06},(296,363):{'3_1':0.03,'4_1':0.0},(296,362):{'3_1':0.0},(296,361):{'3_1':0.03},(296,360):{'3_1':0.0},(296,359):{'3_1':0.0},(296,358):{'3_1':0.0},(296,357):{'3_1':0.0},(296,356):{'3_1':0.0},(296,355):{'3_1':0.0},(296,354):{'3_1':0.03},(296,353):{'3_1':0.0},(296,351):{'3_1':0.0},(296,350):{'3_1':0.03},(296,349):{'3_1':0.0},(296,348):{'3_1':0.0},(296,347):{'3_1':0.03,'5_1':0.0},(296,346):{'3_1':0.0},(296,345):{'3_1':0.0},(296,337):{'3_1':0.0},(296,336):{'3_1':0.0},(296,334):{'3_1':0.0},(296,332):{'3_1':0.0},(296,331):{'3_1':0.0},(296,330):{'3_1':0.0},(296,329):{'3_1':0.0},(296,326):{'3_1':0.0},(296,325):{'3_1':0.0},(296,324):{'3_1':0.0},(296,322):{'3_1':0.0},(297,459):{'3_1':0.03},(297,456):{'3_1':0.0},(297,455):{'3_1':0.0},(297,454):{'3_1':0.0},(297,453):{'3_1':0.0},(297,452):{'3_1':0.0},(297,451):{'3_1':0.0},(297,450):{'3_1':0.0},(297,448):{'3_1':0.0},(297,447):{'3_1':0.03},(297,445):{'3_1':0.0},(297,444):{'3_1':0.03},(297,443):{'3_1':0.03},(297,442):{'3_1':0.03},(297,441):{'3_1':0.0},(297,439):{'3_1':0.0},(297,438):{'3_1':0.0},(297,436):{'3_1':0.0,'5_2':0.0},(297,435):{'3_1':0.0},(297,434):{'4_1':0.0},(297,433):{'3_1':0.0},(297,431):{'3_1':0.0},(297,430):{'3_1':0.0},(297,427):{'3_1':0.0,'5_2':0.0},(297,425):{'3_1':0.0},(297,424):{'3_1':0.0,'5_2':0.0},(297,423):{'3_1':0.03,'5_2':0.0},(297,422):{'3_1':0.0},(297,421):{'3_1':0.03},(297,420):{'3_1':0.0},(297,419):{'3_1':0.0,'5_2':0.0},(297,418):{'3_1':0.03},(297,417):{'3_1':0.03},(297,416):{'3_1':0.0},(297,415):{'3_1':0.0},(297,414):{'5_1':0.0,'5_2':0.0,'6_3':0.0},(297,413):{'3_1':0.03},(297,412):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(297,411):{'3_1':0.0,'5_2':0.0},(297,410):{'3_1':0.0},(297,409):{'3_1':0.03,'4_1':0.0},(297,408):{'3_1':0.06,'4_1':0.0},(297,407):{'3_1':0.0},(297,406):{'3_1':0.0},(297,405):{'3_1':0.03},(297,404):{'3_1':0.03},(297,403):{'3_1':0.03},(297,402):{'3_1':0.03},(297,400):{'3_1':0.0,'4_1':0.0},(297,399):{'3_1':0.03},(297,398):{'3_1':0.0},(297,397):{'3_1':0.0},(297,396):{'3_1':0.0,'4_1':0.0},(297,395):{'3_1':0.0},(297,394):{'3_1':0.03,'5_2':0.0},(297,393):{'3_1':0.0},(297,392):{'3_1':0.0},(297,391):{'3_1':0.0},(297,390):{'3_1':0.0},(297,389):{'3_1':0.09},(297,388):{'3_1':0.03,'5_2':0.0},(297,387):{'3_1':0.06},(297,386):{'3_1':0.0,'5_2':0.0},(297,385):{'3_1':0.03},(297,384):{'3_1':0.12},(297,383):{'3_1':0.03,'5_2':0.0},(297,382):{'3_1':0.06},(297,381):{'3_1':0.09,'4_1':0.0},(297,380):{'3_1':0.03,'4_1':0.0},(297,379):{'3_1':0.06,'5_2':0.0},(297,378):{'3_1':0.0},(297,377):{'3_1':0.0,'4_1':0.0},(297,376):{'3_1':0.06,'5_2':0.0},(297,375):{'3_1':0.0},(297,374):{'3_1':0.06,'4_1':0.0},(297,373):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(297,372):{'3_1':0.0},(297,371):{'3_1':0.0},(297,370):{'3_1':0.03},(297,369):{'3_1':0.03},(297,368):{'3_1':0.0},(297,367):{'3_1':0.03},(297,366):{'3_1':0.0},(297,365):{'3_1':0.03},(297,364):{'3_1':0.03,'5_1':0.0},(297,363):{'3_1':0.03},(297,362):{'3_1':0.0,'5_1':0.0},(297,361):{'3_1':0.0},(297,360):{'3_1':0.03},(297,359):{'3_1':0.0,'5_1':0.0},(297,358):{'3_1':0.0},(297,357):{'3_1':0.0},(297,356):{'3_1':0.0},(297,355):{'3_1':0.03,'4_1':0.0},(297,354):{'3_1':0.0},(297,353):{'3_1':0.0},(297,352):{'3_1':0.0},(297,351):{'3_1':0.0},(297,350):{'3_1':0.0},(297,349):{'3_1':0.0},(297,348):{'3_1':0.0},(297,347):{'3_1':0.0},(297,346):{'3_1':0.0},(297,345):{'3_1':0.0},(297,344):{'3_1':0.0},(297,343):{'3_1':0.0},(297,342):{'3_1':0.0},(297,339):{'3_1':0.0},(297,338):{'3_1':0.0},(297,337):{'3_1':0.0},(297,335):{'3_1':0.0},(297,334):{'3_1':0.0},(297,333):{'3_1':0.0},(297,332):{'3_1':0.0},(297,328):{'3_1':0.0},(298,459):{'3_1':0.0},(298,455):{'3_1':0.0},(298,454):{'3_1':0.0},(298,453):{'3_1':0.0},(298,452):{'3_1':0.0},(298,451):{'3_1':0.0},(298,449):{'3_1':0.0},(298,445):{'3_1':0.0},(298,444):{'3_1':0.0},(298,443):{'3_1':0.0},(298,442):{'3_1':0.0,'5_2':0.0},(298,441):{'3_1':0.0},(298,440):{'3_1':0.0},(298,439):{'3_1':0.0},(298,438):{'4_1':0.0},(298,437):{'3_1':0.0},(298,436):{'3_1':0.0},(298,435):{'3_1':0.0},(298,434):{'3_1':0.0},(298,431):{'3_1':0.0,'4_1':0.0},(298,430):{'3_1':0.0},(298,429):{'3_1':0.03},(298,428):{'3_1':0.0},(298,427):{'3_1':0.03},(298,426):{'3_1':0.0},(298,425):{'3_1':0.0},(298,424):{'3_1':0.0,'4_1':0.0},(298,423):{'3_1':0.0},(298,422):{'3_1':0.0,'5_2':0.0},(298,421):{'3_1':0.0},(298,420):{'3_1':0.0},(298,419):{'3_1':0.03},(298,418):{'4_1':0.0},(298,417):{'3_1':0.03},(298,416):{'3_1':0.0},(298,415):{'3_1':0.0,'5_2':0.0},(298,414):{'3_1':0.03},(298,413):{'3_1':0.0,'4_1':0.0},(298,412):{'3_1':0.03,'5_2':0.0},(298,411):{'3_1':0.03,'5_2':0.0},(298,410):{'3_1':0.06},(298,409):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(298,408):{'3_1':0.06,'4_1':0.0},(298,407):{'3_1':0.03},(298,405):{'3_1':0.0},(298,404):{'3_1':0.0},(298,403):{'3_1':0.03,'5_2':0.0},(298,402):{'3_1':0.0},(298,401):{'3_1':0.0},(298,400):{'3_1':0.03},(298,399):{'3_1':0.03,'5_1':0.0},(298,398):{'3_1':0.0},(298,397):{'3_1':0.03},(298,396):{'3_1':0.03},(298,395):{'3_1':0.0,'4_1':0.0},(298,394):{'3_1':0.0,'4_1':0.0},(298,393):{'3_1':0.03,'4_1':0.0},(298,392):{'3_1':0.03},(298,391):{'3_1':0.0},(298,390):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(298,389):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(298,388):{'5_2':0.0,'3_1':0.0},(298,387):{'3_1':0.03,'4_1':0.0},(298,386):{'3_1':0.0,'4_1':0.0},(298,385):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(298,384):{'3_1':0.06,'5_2':0.0},(298,383):{'3_1':0.06,'5_2':0.0},(298,382):{'3_1':0.06,'5_2':0.0},(298,381):{'3_1':0.03,'5_2':0.0},(298,380):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(298,379):{'3_1':0.06,'5_2':0.0},(298,378):{'3_1':0.0,'4_1':0.0},(298,377):{'3_1':0.03},(298,376):{'3_1':0.03,'4_1':0.0},(298,375):{'3_1':0.0},(298,374):{'3_1':0.06},(298,373):{'3_1':0.0},(298,372):{'3_1':0.0},(298,371):{'3_1':0.0,'4_1':0.0},(298,370):{'3_1':0.03},(298,369):{'3_1':0.03},(298,368):{'3_1':0.0,'6_1':0.0},(298,367):{'3_1':0.0},(298,366):{'3_1':0.03},(298,365):{'3_1':0.0},(298,364):{'3_1':0.0},(298,363):{'3_1':0.0,'5_1':0.0},(298,361):{'3_1':0.0,'5_1':0.0},(298,360):{'3_1':0.0},(298,359):{'3_1':0.03},(298,358):{'3_1':0.0},(298,357):{'3_1':0.0},(298,356):{'3_1':0.0},(298,355):{'3_1':0.0},(298,354):{'3_1':0.06},(298,353):{'3_1':0.0},(298,352):{'3_1':0.0},(298,351):{'3_1':0.0,'5_2':0.0},(298,350):{'3_1':0.0},(298,348):{'3_1':0.0},(298,347):{'3_1':0.0},(298,345):{'3_1':0.0},(298,344):{'3_1':0.0},(298,342):{'3_1':0.0},(298,341):{'3_1':0.0},(298,340):{'3_1':0.0},(298,339):{'3_1':0.0},(298,336):{'3_1':0.0},(298,334):{'3_1':0.0},(298,332):{'3_1':0.0},(298,330):{'3_1':0.0},(298,329):{'3_1':0.0},(298,325):{'3_1':0.0},(298,324):{'3_1':0.0},(299,459):{'3_1':0.0},(299,458):{'3_1':0.0},(299,457):{'3_1':0.0},(299,456):{'3_1':0.03},(299,455):{'3_1':0.0},(299,453):{'3_1':0.03},(299,452):{'3_1':0.03},(299,451):{'3_1':0.03},(299,450):{'3_1':0.0},(299,449):{'3_1':0.03},(299,448):{'3_1':0.03},(299,447):{'3_1':0.0},(299,445):{'3_1':0.03},(299,444):{'3_1':0.0},(299,443):{'4_1':0.0},(299,442):{'3_1':0.03},(299,441):{'3_1':0.0,'4_1':0.0},(299,440):{'3_1':0.0},(299,439):{'3_1':0.0},(299,438):{'3_1':0.0},(299,437):{'3_1':0.03},(299,436):{'3_1':0.0},(299,435):{'3_1':0.0},(299,434):{'3_1':0.0,'4_1':0.0},(299,433):{'3_1':0.0,'5_2':0.0},(299,432):{'3_1':0.03},(299,431):{'3_1':0.0,'4_1':0.0},(299,430):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(299,429):{'3_1':0.0},(299,428):{'3_1':0.03},(299,427):{'3_1':0.0},(299,426):{'3_1':0.0},(299,425):{'3_1':0.03},(299,424):{'3_1':0.03,'7_6':0.0},(299,423):{'3_1':0.03,'5_2':0.0},(299,422):{'3_1':0.0},(299,421):{'3_1':0.06},(299,420):{'5_2':0.0},(299,419):{'3_1':0.03,'5_2':0.0},(299,418):{'3_1':0.03,'5_2':0.0},(299,417):{'3_1':0.03},(299,416):{'3_1':0.03},(299,415):{'3_1':0.0},(299,414):{'3_1':0.03},(299,413):{'3_1':0.03},(299,412):{'3_1':0.03,'5_2':0.0},(299,411):{'3_1':0.09,'4_1':0.0},(299,410):{'3_1':0.06,'5_2':0.0},(299,409):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(299,408):{'3_1':0.0,'5_2':0.0},(299,407):{'3_1':0.03,'4_1':0.0},(299,406):{'3_1':0.03},(299,405):{'3_1':0.03},(299,404):{'3_1':0.03},(299,403):{'3_1':0.0},(299,402):{'3_1':0.0},(299,401):{'3_1':0.0},(299,400):{'3_1':0.0},(299,399):{'3_1':0.0},(299,398):{'4_1':0.0},(299,397):{'3_1':0.03},(299,396):{'3_1':0.0},(299,395):{'3_1':0.03,'4_1':0.0},(299,394):{'3_1':0.0},(299,393):{'3_1':0.03},(299,392):{'3_1':0.0,'4_1':0.0},(299,391):{'3_1':0.06},(299,390):{'3_1':0.03},(299,389):{'3_1':0.06,'5_2':0.0},(299,388):{'3_1':0.09},(299,387):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(299,386):{'5_2':0.0},(299,385):{'3_1':0.09,'4_1':0.0},(299,384):{'3_1':0.03},(299,383):{'3_1':0.03,'5_2':0.0},(299,382):{'3_1':0.03,'5_2':0.0},(299,381):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(299,380):{'3_1':0.06,'4_1':0.0},(299,379):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(299,378):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(299,377):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(299,376):{'3_1':0.06},(299,375):{'3_1':0.03},(299,374):{'3_1':0.0},(299,373):{'3_1':0.03,'4_1':0.0},(299,372):{'3_1':0.0},(299,371):{'3_1':0.0},(299,370):{'3_1':0.0},(299,369):{'3_1':0.0},(299,368):{'3_1':0.0,'6_1':0.0},(299,367):{'3_1':0.0},(299,366):{'3_1':0.06,'4_1':0.0},(299,365):{'3_1':0.03,'4_1':0.0},(299,364):{'3_1':0.0},(299,363):{'3_1':0.03},(299,362):{'3_1':0.0},(299,361):{'3_1':0.0},(299,360):{'3_1':0.03},(299,359):{'3_1':0.0},(299,358):{'3_1':0.0},(299,357):{'3_1':0.03},(299,356):{'3_1':0.03},(299,355):{'3_1':0.0},(299,354):{'3_1':0.0},(299,353):{'3_1':0.0},(299,352):{'3_1':0.0},(299,351):{'3_1':0.0},(299,350):{'3_1':0.0},(299,349):{'3_1':0.0},(299,348):{'3_1':0.0},(299,347):{'3_1':0.0},(299,346):{'3_1':0.0},(299,343):{'3_1':0.0},(299,342):{'3_1':0.0},(299,341):{'3_1':0.0},(299,340):{'3_1':0.0},(299,339):{'3_1':0.0},(299,338):{'3_1':0.0},(299,328):{'3_1':0.0},(300,459):{'3_1':0.0},(300,458):{'3_1':0.0},(300,457):{'3_1':0.0},(300,456):{'3_1':0.0},(300,455):{'3_1':0.0},(300,454):{'3_1':0.03,'5_2':0.0},(300,453):{'3_1':0.0},(300,452):{'3_1':0.03},(300,450):{'3_1':0.0},(300,449):{'3_1':0.03},(300,448):{'3_1':0.0},(300,446):{'3_1':0.0},(300,444):{'3_1':0.0},(300,443):{'3_1':0.03},(300,442):{'3_1':0.0},(300,441):{'3_1':0.0},(300,440):{'3_1':0.0},(300,439):{'3_1':0.0},(300,438):{'3_1':0.0},(300,437):{'3_1':0.0},(300,436):{'3_1':0.0},(300,435):{'3_1':0.0},(300,434):{'3_1':0.0},(300,433):{'3_1':0.03},(300,432):{'3_1':0.0},(300,431):{'3_1':0.0},(300,430):{'3_1':0.0},(300,429):{'3_1':0.03},(300,428):{'3_1':0.03,'4_1':0.0},(300,427):{'3_1':0.03,'7_6':0.0},(300,426):{'3_1':0.06},(300,425):{'3_1':0.06,'4_1':0.0},(300,424):{'3_1':0.06},(300,423):{'3_1':0.03},(300,422):{'3_1':0.0},(300,421):{'3_1':0.03},(300,420):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(300,419):{'3_1':0.0},(300,418):{'3_1':0.03,'7_6':0.0},(300,417):{'3_1':0.06},(300,416):{'3_1':0.0},(300,414):{'3_1':0.03},(300,413):{'3_1':0.06},(300,412):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(300,411):{'3_1':0.06,'5_2':0.0},(300,410):{'3_1':0.03,'5_2':0.0},(300,409):{'3_1':0.03,'5_2':0.0},(300,408):{'3_1':0.03},(300,407):{'3_1':0.06},(300,406):{'3_1':0.0},(300,405):{'3_1':0.03},(300,404):{'3_1':0.0},(300,403):{'3_1':0.0},(300,402):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(300,401):{'3_1':0.03},(300,400):{'3_1':0.0},(300,399):{'3_1':0.0},(300,398):{'3_1':0.0},(300,397):{'3_1':0.0,'4_1':0.0},(300,396):{'3_1':0.0},(300,395):{'3_1':0.0},(300,394):{'3_1':0.06},(300,393):{'3_1':0.0,'4_1':0.0},(300,392):{'3_1':0.0},(300,391):{'3_1':0.0,'5_2':0.0},(300,390):{'3_1':0.03},(300,389):{'3_1':0.03,'4_1':0.0},(300,388):{'3_1':0.06,'5_2':0.0},(300,387):{'3_1':0.06,'5_2':0.0},(300,386):{'3_1':0.09},(300,385):{'3_1':0.06},(300,384):{'3_1':0.06},(300,383):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(300,382):{'3_1':0.03,'4_1':0.0},(300,381):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(300,380):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(300,379):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(300,378):{'3_1':0.06,'4_1':0.0},(300,377):{'3_1':0.09,'4_1':0.0},(300,376):{'3_1':0.06,'4_1':0.0},(300,375):{'3_1':0.03},(300,374):{'3_1':0.03,'4_1':0.0},(300,373):{'3_1':0.0,'4_1':0.0},(300,372):{'3_1':0.0,'4_1':0.0},(300,371):{'3_1':0.03},(300,370):{'3_1':0.0},(300,369):{'3_1':0.0},(300,368):{'3_1':0.0},(300,367):{'3_1':0.0},(300,366):{'3_1':0.03},(300,365):{'3_1':0.03},(300,364):{'3_1':0.0,'4_1':0.0},(300,363):{'3_1':0.0},(300,362):{'3_1':0.0},(300,361):{'3_1':0.0,'4_1':0.0},(300,359):{'3_1':0.0},(300,358):{'3_1':0.0},(300,357):{'3_1':0.0,'4_1':0.0},(300,356):{'3_1':0.0},(300,354):{'3_1':0.0},(300,351):{'3_1':0.0},(300,349):{'3_1':0.0},(300,347):{'3_1':0.0},(300,346):{'3_1':0.0},(300,343):{'3_1':0.0},(300,340):{'3_1':0.0},(300,339):{'3_1':0.0},(300,338):{'3_1':0.0},(300,337):{'3_1':0.0},(300,336):{'3_1':0.0},(300,335):{'3_1':0.0},(300,333):{'3_1':0.0},(300,331):{'3_1':0.0},(300,330):{'3_1':0.0},(300,323):{'3_1':0.0},(301,459):{'3_1':0.0},(301,458):{'3_1':0.0},(301,457):{'3_1':0.0},(301,456):{'3_1':0.0},(301,454):{'3_1':0.03},(301,453):{'3_1':0.0},(301,452):{'3_1':0.0},(301,451):{'3_1':0.0},(301,450):{'3_1':0.0},(301,449):{'3_1':0.0},(301,448):{'3_1':0.03},(301,447):{'3_1':0.0},(301,446):{'3_1':0.0},(301,444):{'3_1':0.0},(301,443):{'3_1':0.0},(301,441):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(301,439):{'3_1':0.0},(301,438):{'3_1':0.0},(301,437):{'3_1':0.0,'4_1':0.0},(301,436):{'3_1':0.03,'5_2':0.0},(301,435):{'5_2':0.0},(301,434):{'3_1':0.0},(301,433):{'3_1':0.0},(301,432):{'4_1':0.0},(301,431):{'3_1':0.0,'4_1':0.0},(301,430):{'3_1':0.0},(301,429):{'3_1':0.0},(301,428):{'3_1':0.0},(301,427):{'3_1':0.0},(301,426):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(301,425):{'3_1':0.0,'5_2':0.0},(301,424):{'3_1':0.03,'5_2':0.0},(301,423):{'3_1':0.0,'5_1':0.0},(301,422):{'3_1':0.03},(301,421):{'3_1':0.0,'4_1':0.0},(301,420):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(301,419):{'3_1':0.03},(301,418):{'3_1':0.0,'5_2':0.0},(301,417):{'3_1':0.0},(301,416):{'4_1':0.0,'5_2':0.0,'6_3':0.0},(301,415):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(301,414):{'3_1':0.0,'4_1':0.0},(301,413):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(301,412):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(301,411):{'3_1':0.06,'4_1':0.0},(301,410):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(301,409):{'3_1':0.06,'5_2':0.0},(301,408):{'3_1':0.03,'5_2':0.0},(301,407):{'3_1':0.03,'4_1':0.0},(301,406):{'3_1':0.0},(301,405):{'3_1':0.06},(301,404):{'3_1':0.0},(301,403):{'3_1':0.03},(301,402):{'3_1':0.0},(301,401):{'3_1':0.0},(301,400):{'3_1':0.03},(301,399):{'3_1':0.0},(301,398):{'3_1':0.0,'5_2':0.0},(301,397):{'3_1':0.0},(301,396):{'3_1':0.0},(301,395):{'3_1':0.03,'5_2':0.0},(301,394):{'3_1':0.06,'4_1':0.0},(301,393):{'3_1':0.03,'4_1':0.0},(301,392):{'3_1':0.0},(301,391):{'3_1':0.03},(301,390):{'3_1':0.03},(301,389):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(301,388):{'3_1':0.03,'8_20|3_1#3_1':0.0},(301,387):{'3_1':0.0,'4_1':0.0},(301,386):{'3_1':0.06},(301,385):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(301,384):{'3_1':0.03,'4_1':0.0},(301,383):{'3_1':0.03,'4_1':0.0},(301,382):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(301,381):{'3_1':0.09},(301,380):{'3_1':0.06},(301,379):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(301,378):{'5_1':0.0,'3_1':0.0},(301,377):{'3_1':0.06,'4_1':0.0},(301,376):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(301,375):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(301,374):{'3_1':0.03,'4_1':0.0},(301,373):{'3_1':0.0,'4_1':0.0},(301,372):{'3_1':0.0,'6_1':0.0},(301,371):{'3_1':0.0,'4_1':0.0},(301,370):{'3_1':0.03},(301,369):{'3_1':0.0,'4_1':0.0},(301,368):{'3_1':0.03,'4_1':0.0},(301,367):{'3_1':0.03},(301,366):{'3_1':0.06},(301,365):{'3_1':0.03},(301,364):{'3_1':0.0,'4_1':0.0},(301,363):{'3_1':0.03},(301,362):{'3_1':0.03},(301,361):{'3_1':0.0},(301,359):{'3_1':0.0},(301,358):{'3_1':0.0},(301,357):{'3_1':0.0},(301,356):{'3_1':0.03},(301,355):{'3_1':0.0},(301,354):{'3_1':0.0},(301,353):{'3_1':0.0},(301,352):{'3_1':0.0},(301,351):{'3_1':0.0},(301,350):{'3_1':0.0},(301,349):{'3_1':0.0},(301,348):{'3_1':0.0},(301,346):{'3_1':0.0},(301,345):{'3_1':0.0},(301,344):{'3_1':0.0},(301,343):{'3_1':0.0},(301,342):{'3_1':0.0},(301,339):{'3_1':0.0},(301,338):{'3_1':0.0},(301,337):{'3_1':0.0},(301,335):{'3_1':0.0},(302,459):{'3_1':0.0},(302,457):{'3_1':0.0,'4_1':0.0},(302,454):{'3_1':0.0},(302,453):{'3_1':0.0},(302,452):{'3_1':0.0},(302,451):{'3_1':0.0},(302,449):{'3_1':0.0},(302,447):{'3_1':0.0},(302,446):{'3_1':0.0},(302,445):{'3_1':0.0},(302,444):{'3_1':0.0},(302,443):{'3_1':0.0},(302,442):{'3_1':0.0},(302,441):{'3_1':0.0},(302,440):{'3_1':0.0,'4_1':0.0},(302,439):{'3_1':0.0},(302,438):{'3_1':0.0,'4_1':0.0},(302,437):{'3_1':0.0},(302,436):{'3_1':0.0},(302,434):{'3_1':0.0},(302,433):{'3_1':0.0},(302,432):{'3_1':0.03},(302,431):{'3_1':0.03,'4_1':0.0},(302,430):{'3_1':0.03},(302,429):{'3_1':0.03},(302,428):{'3_1':0.03},(302,427):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(302,426):{'3_1':0.03},(302,425):{'3_1':0.0},(302,424):{'3_1':0.0,'5_1':0.0},(302,423):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0},(302,422):{'3_1':0.03,'5_1':0.0},(302,421):{'3_1':0.0},(302,420):{'3_1':0.03},(302,419):{'3_1':0.03},(302,418):{'3_1':0.06},(302,417):{'3_1':0.0},(302,416):{'3_1':0.03},(302,415):{'3_1':0.03},(302,414):{'3_1':0.03,'5_2':0.0},(302,413):{'3_1':0.03,'4_1':0.0},(302,412):{'3_1':0.03,'5_1':0.0},(302,411):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(302,410):{'3_1':0.03},(302,409):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(302,408):{'3_1':0.06},(302,407):{'3_1':0.0},(302,406):{'3_1':0.0},(302,405):{'3_1':0.03,'5_1':0.0},(302,404):{'3_1':0.0,'5_1':0.0},(302,403):{'3_1':0.0},(302,402):{'3_1':0.0},(302,401):{'3_1':0.03},(302,400):{'3_1':0.03},(302,399):{'3_1':0.0},(302,398):{'3_1':0.03,'4_1':0.0},(302,397):{'3_1':0.0},(302,396):{'3_1':0.0,'4_1':0.0},(302,395):{'3_1':0.03},(302,394):{'3_1':0.03},(302,393):{'3_1':0.0},(302,392):{'3_1':0.03},(302,391):{'3_1':0.0,'6_1':0.0},(302,390):{'3_1':0.06},(302,389):{'3_1':0.09,'5_2':0.0},(302,388):{'3_1':0.03},(302,387):{'3_1':0.0,'4_1':0.0},(302,386):{'3_1':0.0},(302,385):{'3_1':0.0},(302,384):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(302,383):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(302,382):{'3_1':0.06,'5_2':0.0},(302,381):{'3_1':0.03,'4_1':0.0},(302,380):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(302,379):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(302,378):{'3_1':0.03,'5_2':0.0},(302,377):{'3_1':0.06},(302,376):{'3_1':0.03,'5_2':0.0},(302,375):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(302,374):{'3_1':0.06},(302,373):{'4_1':0.0,'3_1':0.0},(302,372):{'3_1':0.0},(302,371):{'3_1':0.06},(302,370):{'3_1':0.03},(302,369):{'3_1':0.0},(302,368):{'3_1':0.0},(302,367):{'4_1':0.0},(302,366):{'3_1':0.03},(302,365):{'3_1':0.03},(302,364):{'3_1':0.0,'4_1':0.0},(302,363):{'3_1':0.0},(302,362):{'3_1':0.03},(302,361):{'3_1':0.0,'4_1':0.0},(302,360):{'3_1':0.0},(302,359):{'3_1':0.0},(302,358):{'3_1':0.0,'5_1':0.0},(302,357):{'3_1':0.0},(302,356):{'3_1':0.0},(302,355):{'3_1':0.06},(302,354):{'3_1':0.03},(302,353):{'3_1':0.0},(302,352):{'3_1':0.0},(302,351):{'3_1':0.0},(302,349):{'3_1':0.0},(302,348):{'3_1':0.0},(302,347):{'3_1':0.0},(302,346):{'3_1':0.0},(302,345):{'3_1':0.03},(302,344):{'3_1':0.0},(302,343):{'3_1':0.0},(302,341):{'3_1':0.0},(302,339):{'3_1':0.0},(302,337):{'3_1':0.0},(302,336):{'3_1':0.0,'4_1':0.0},(303,459):{'3_1':0.0},(303,457):{'3_1':0.0},(303,455):{'3_1':0.0},(303,454):{'3_1':0.0},(303,453):{'3_1':0.0},(303,451):{'3_1':0.0},(303,448):{'3_1':0.03},(303,447):{'3_1':0.0},(303,445):{'3_1':0.03},(303,444):{'3_1':0.0},(303,443):{'3_1':0.0},(303,442):{'3_1':0.0},(303,441):{'3_1':0.0,'4_1':0.0},(303,440):{'3_1':0.0},(303,439):{'3_1':0.0,'4_1':0.0},(303,438):{'3_1':0.0},(303,437):{'3_1':0.0},(303,435):{'3_1':0.0,'5_2':0.0},(303,434):{'3_1':0.0},(303,433):{'3_1':0.0},(303,432):{'3_1':0.0},(303,431):{'3_1':0.0},(303,430):{'3_1':0.03},(303,429):{'3_1':0.03,'5_2':0.0},(303,428):{'3_1':0.0},(303,427):{'3_1':0.0},(303,426):{'3_1':0.0},(303,425):{'3_1':0.0,'4_1':0.0},(303,424):{'3_1':0.0},(303,422):{'3_1':0.0},(303,421):{'3_1':0.0,'5_2':0.0},(303,420):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(303,419):{'3_1':0.03},(303,418):{'3_1':0.03},(303,417):{'3_1':0.03,'6_3':0.0},(303,416):{'3_1':0.0},(303,415):{'3_1':0.0},(303,414):{'3_1':0.0},(303,413):{'3_1':0.03},(303,412):{'3_1':0.03,'5_2':0.0},(303,411):{'3_1':0.03},(303,410):{'3_1':0.03,'5_2':0.0},(303,409):{'3_1':0.06},(303,408):{'3_1':0.03,'5_2':0.0},(303,407):{'3_1':0.0},(303,406):{'3_1':0.03,'4_1':0.0},(303,405):{'3_1':0.03},(303,404):{'3_1':0.0},(303,403):{'3_1':0.0},(303,402):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(303,401):{'3_1':0.03},(303,400):{'3_1':0.0},(303,399):{'3_1':0.0},(303,398):{'3_1':0.03,'4_1':0.0},(303,397):{'3_1':0.0},(303,396):{'3_1':0.0},(303,395):{'3_1':0.0},(303,394):{'3_1':0.0,'4_1':0.0},(303,393):{'3_1':0.0,'4_1':0.0},(303,392):{'3_1':0.0},(303,391):{'3_1':0.06},(303,390):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(303,389):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(303,388):{'3_1':0.06,'5_2':0.0},(303,387):{'3_1':0.03,'5_2':0.0},(303,386):{'3_1':0.03,'4_1':0.0},(303,385):{'3_1':0.06},(303,384):{'3_1':0.06,'4_1':0.0},(303,383):{'3_1':0.03,'5_2':0.0},(303,382):{'3_1':0.03},(303,381):{'3_1':0.06},(303,380):{'3_1':0.06},(303,379):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(303,378):{'3_1':0.06,'5_2':0.0},(303,377):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(303,376):{'3_1':0.03,'4_1':0.0},(303,375):{'3_1':0.0,'4_1':0.0},(303,374):{'3_1':0.0,'4_1':0.0},(303,373):{'4_1':0.0},(303,372):{'3_1':0.0,'4_1':0.0},(303,371):{'3_1':0.0,'4_1':0.0},(303,370):{'3_1':0.0},(303,369):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(303,368):{'3_1':0.0,'4_1':0.0},(303,367):{'3_1':0.06},(303,366):{'3_1':0.0,'6_1':0.0},(303,365):{'3_1':0.0},(303,364):{'3_1':0.03},(303,362):{'3_1':0.0},(303,361):{'3_1':0.0},(303,360):{'3_1':0.0,'4_1':0.0},(303,359):{'3_1':0.0},(303,358):{'3_1':0.03},(303,357):{'3_1':0.03},(303,356):{'3_1':0.0},(303,355):{'3_1':0.03},(303,354):{'3_1':0.0},(303,353):{'3_1':0.03},(303,352):{'3_1':0.0},(303,351):{'3_1':0.0},(303,350):{'3_1':0.0,'4_1':0.0},(303,347):{'3_1':0.0},(303,346):{'3_1':0.0},(303,345):{'3_1':0.0},(303,340):{'3_1':0.0},(303,339):{'3_1':0.0},(303,338):{'3_1':0.0},(303,335):{'3_1':0.0},(304,459):{'3_1':0.0},(304,458):{'3_1':0.0},(304,457):{'3_1':0.0},(304,456):{'3_1':0.0},(304,455):{'3_1':0.03},(304,454):{'3_1':0.0},(304,453):{'3_1':0.0},(304,452):{'3_1':0.0},(304,451):{'3_1':0.03},(304,450):{'3_1':0.0},(304,448):{'3_1':0.0},(304,446):{'3_1':0.0},(304,445):{'3_1':0.0},(304,444):{'3_1':0.0},(304,443):{'3_1':0.0},(304,442):{'3_1':0.0},(304,441):{'3_1':0.0},(304,440):{'3_1':0.0,'4_1':0.0},(304,439):{'3_1':0.0},(304,438):{'3_1':0.03},(304,437):{'3_1':0.0,'5_2':0.0},(304,436):{'3_1':0.0},(304,435):{'3_1':0.0,'8_20|3_1#3_1':0.0},(304,434):{'3_1':0.0},(304,433):{'3_1':0.0},(304,432):{'3_1':0.0},(304,431):{'3_1':0.0,'4_1':0.0},(304,430):{'3_1':0.03},(304,429):{'3_1':0.03},(304,428):{'3_1':0.03},(304,427):{'3_1':0.03,'8_20|3_1#3_1':0.0},(304,426):{'3_1':0.0},(304,425):{'3_1':0.0,'5_2':0.0},(304,424):{'3_1':0.0},(304,423):{'3_1':0.0},(304,422):{'3_1':0.0},(304,421):{'3_1':0.06,'5_2':0.0},(304,420):{'3_1':0.0},(304,419):{'3_1':0.0},(304,418):{'3_1':0.0},(304,417):{'3_1':0.06},(304,416):{'3_1':0.0},(304,415):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(304,414):{'3_1':0.03},(304,413):{'3_1':0.0,'5_2':0.0},(304,411):{'3_1':0.0,'5_2':0.0},(304,410):{'3_1':0.0,'4_1':0.0},(304,409):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(304,408):{'3_1':0.03},(304,407):{'3_1':0.03,'5_2':0.0},(304,406):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(304,405):{'3_1':0.0},(304,404):{'3_1':0.0},(304,403):{'3_1':0.06},(304,402):{'3_1':0.03},(304,401):{'3_1':0.03},(304,400):{'3_1':0.0},(304,399):{'3_1':0.03},(304,398):{'3_1':0.0},(304,397):{'3_1':0.0,'4_1':0.0},(304,396):{'3_1':0.0},(304,395):{'3_1':0.0},(304,394):{'3_1':0.0},(304,393):{'3_1':0.0},(304,392):{'3_1':0.03},(304,391):{'3_1':0.0,'4_1':0.0},(304,390):{'3_1':0.0,'4_1':0.0},(304,389):{'3_1':0.03,'5_1':0.0},(304,388):{'3_1':0.06},(304,387):{'3_1':0.06},(304,386):{'3_1':0.03,'5_1':0.0},(304,385):{'3_1':0.06},(304,384):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(304,383):{'3_1':0.06},(304,382):{'3_1':0.06,'5_2':0.0},(304,381):{'3_1':0.03,'5_2':0.0},(304,380):{'3_1':0.03,'4_1':0.0},(304,379):{'3_1':0.06},(304,378):{'3_1':0.03},(304,377):{'3_1':0.03,'5_2':0.0},(304,376):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(304,375):{'3_1':0.06,'4_1':0.0},(304,374):{'3_1':0.0},(304,373):{'4_1':0.0},(304,372):{'3_1':0.0},(304,371):{'3_1':0.0},(304,370):{'3_1':0.03,'4_1':0.0},(304,369):{'3_1':0.0,'6_1':0.0},(304,368):{'3_1':0.0},(304,367):{'3_1':0.0},(304,366):{'3_1':0.0,'6_1':0.0},(304,365):{'3_1':0.0,'4_1':0.0},(304,364):{'3_1':0.03},(304,362):{'3_1':0.03,'4_1':0.0},(304,361):{'3_1':0.0},(304,360):{'3_1':0.0},(304,359):{'3_1':0.0},(304,357):{'3_1':0.0},(304,356):{'3_1':0.0},(304,355):{'3_1':0.0},(304,354):{'3_1':0.0},(304,353):{'3_1':0.0},(304,352):{'3_1':0.0},(304,351):{'3_1':0.0},(304,350):{'3_1':0.0},(304,349):{'3_1':0.0},(304,348):{'3_1':0.0},(304,347):{'3_1':0.0},(304,346):{'3_1':0.03},(304,345):{'3_1':0.0},(304,343):{'3_1':0.0},(304,342):{'3_1':0.0},(304,341):{'3_1':0.0},(304,339):{'3_1':0.0},(304,338):{'3_1':0.0},(304,335):{'3_1':0.0},(305,456):{'3_1':0.0},(305,454):{'3_1':0.0},(305,452):{'3_1':0.0},(305,451):{'3_1':0.0},(305,450):{'3_1':0.0},(305,448):{'3_1':0.0},(305,447):{'3_1':0.0},(305,446):{'3_1':0.0},(305,445):{'3_1':0.0},(305,444):{'3_1':0.03},(305,443):{'3_1':0.0},(305,442):{'3_1':0.0},(305,441):{'3_1':0.0},(305,439):{'3_1':0.0},(305,438):{'3_1':0.0},(305,437):{'3_1':0.03},(305,436):{'3_1':0.0,'5_2':0.0},(305,435):{'3_1':0.0},(305,434):{'3_1':0.0},(305,433):{'3_1':0.0},(305,432):{'7_6':0.0},(305,431):{'3_1':0.0},(305,430):{'3_1':0.0,'5_2':0.0},(305,428):{'3_1':0.03},(305,427):{'3_1':0.0},(305,426):{'3_1':0.0,'4_1':0.0},(305,425):{'3_1':0.0},(305,424):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(305,423):{'3_1':0.0},(305,422):{'3_1':0.06},(305,421):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(305,420):{'3_1':0.03},(305,419):{'3_1':0.03},(305,418):{'3_1':0.03},(305,417):{'3_1':0.0,'5_2':0.0},(305,416):{'3_1':0.03,'5_2':0.0},(305,415):{'3_1':0.03,'5_2':0.0},(305,414):{'3_1':0.0,'5_2':0.0},(305,413):{'3_1':0.0,'4_1':0.0},(305,412):{'3_1':0.03,'5_2':0.0},(305,411):{'3_1':0.03},(305,410):{'3_1':0.03},(305,409):{'3_1':0.03},(305,408):{'3_1':0.03,'5_2':0.0},(305,407):{'3_1':0.03},(305,406):{'3_1':0.03,'5_2':0.0},(305,405):{'3_1':0.06},(305,404):{'3_1':0.03,'5_2':0.0},(305,403):{'3_1':0.03},(305,402):{'3_1':0.03,'4_1':0.0},(305,401):{'3_1':0.0,'5_2':0.0},(305,400):{'3_1':0.0,'4_1':0.0},(305,399):{'3_1':0.0},(305,398):{'3_1':0.0},(305,397):{'3_1':0.0,'4_1':0.0},(305,396):{'3_1':0.0},(305,394):{'3_1':0.0},(305,393):{'3_1':0.0},(305,392):{'3_1':0.0},(305,391):{'3_1':0.03,'4_1':0.0},(305,390):{'3_1':0.0},(305,389):{'3_1':0.06},(305,388):{'3_1':0.0,'5_2':0.0},(305,387):{'3_1':0.03},(305,386):{'3_1':0.0,'5_1':0.0},(305,385):{'3_1':0.0,'4_1':0.0},(305,384):{'3_1':0.03,'4_1':0.0},(305,383):{'3_1':0.06},(305,382):{'3_1':0.09,'5_2':0.0},(305,381):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(305,380):{'3_1':0.03},(305,379):{'3_1':0.06,'5_2':0.0},(305,378):{'3_1':0.0,'4_1':0.0},(305,377):{'3_1':0.03},(305,376):{'3_1':0.03,'4_1':0.0},(305,375):{'3_1':0.0,'4_1':0.0},(305,374):{'3_1':0.03},(305,373):{'3_1':0.0},(305,372):{'3_1':0.0,'4_1':0.0},(305,371):{'3_1':0.0},(305,370):{'3_1':0.0},(305,369):{'3_1':0.0},(305,367):{'3_1':0.0},(305,366):{'6_1':0.0},(305,365):{'3_1':0.0},(305,364):{'3_1':0.0,'4_1':0.0},(305,363):{'3_1':0.0},(305,362):{'3_1':0.0},(305,361):{'3_1':0.0},(305,360):{'3_1':0.0},(305,358):{'3_1':0.0},(305,356):{'3_1':0.0},(305,355):{'3_1':0.0},(305,353):{'3_1':0.03},(305,352):{'3_1':0.03},(305,351):{'3_1':0.0},(305,350):{'3_1':0.0},(305,349):{'3_1':0.0},(305,348):{'3_1':0.0},(305,347):{'3_1':0.03},(305,344):{'3_1':0.03},(305,343):{'3_1':0.0},(305,342):{'3_1':0.0},(305,341):{'3_1':0.0},(305,336):{'3_1':0.0},(306,459):{'3_1':0.0},(306,458):{'3_1':0.0},(306,457):{'3_1':0.0},(306,456):{'3_1':0.0},(306,454):{'3_1':0.0},(306,453):{'3_1':0.0},(306,452):{'3_1':0.0},(306,451):{'3_1':0.0},(306,449):{'3_1':0.0},(306,448):{'3_1':0.0},(306,447):{'3_1':0.0},(306,444):{'3_1':0.0,'4_1':0.0},(306,443):{'3_1':0.03},(306,441):{'3_1':0.0},(306,440):{'3_1':0.0,'5_2':0.0},(306,439):{'3_1':0.0},(306,438):{'3_1':0.0},(306,437):{'3_1':0.03},(306,435):{'3_1':0.03},(306,434):{'3_1':0.03},(306,433):{'3_1':0.0},(306,432):{'3_1':0.0},(306,431):{'3_1':0.0},(306,429):{'3_1':0.0},(306,428):{'3_1':0.0},(306,427):{'3_1':0.0},(306,426):{'3_1':0.0},(306,425):{'3_1':0.03,'5_2':0.0},(306,424):{'3_1':0.03},(306,422):{'3_1':0.0},(306,421):{'3_1':0.03},(306,420):{'3_1':0.0},(306,419):{'3_1':0.0},(306,418):{'3_1':0.0,'5_2':0.0},(306,417):{'3_1':0.03},(306,416):{'3_1':0.03},(306,415):{'3_1':0.0},(306,414):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(306,413):{'3_1':0.0},(306,412):{'3_1':0.03},(306,411):{'3_1':0.03,'5_2':0.0},(306,410):{'3_1':0.0},(306,409):{'3_1':0.0,'5_2':0.0},(306,408):{'3_1':0.03,'5_2':0.0},(306,407):{'3_1':0.03},(306,406):{'3_1':0.0},(306,405):{'3_1':0.06},(306,404):{'3_1':0.0},(306,403):{'3_1':0.03,'5_2':0.0},(306,402):{'3_1':0.0},(306,401):{'3_1':0.0},(306,400):{'3_1':0.0},(306,399):{'3_1':0.0},(306,398):{'3_1':0.03},(306,395):{'3_1':0.0,'4_1':0.0},(306,394):{'3_1':0.03},(306,393):{'3_1':0.0},(306,392):{'3_1':0.0},(306,391):{'3_1':0.0},(306,390):{'3_1':0.03,'4_1':0.0},(306,389):{'3_1':0.03,'5_2':0.0},(306,388):{'3_1':0.03,'5_2':0.0},(306,387):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(306,386):{'3_1':0.0},(306,385):{'3_1':0.03},(306,384):{'3_1':0.03,'5_2':0.0},(306,383):{'3_1':0.06,'4_1':0.0},(306,382):{'3_1':0.0,'5_2':0.0},(306,381):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(306,380):{'3_1':0.06},(306,379):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(306,378):{'3_1':0.0,'4_1':0.0},(306,377):{'3_1':0.0},(306,376):{'3_1':0.0,'4_1':0.0},(306,375):{'3_1':0.03},(306,374):{'3_1':0.0},(306,373):{'3_1':0.0,'5_2':0.0},(306,372):{'3_1':0.0,'4_1':0.0},(306,371):{'3_1':0.0,'4_1':0.0},(306,370):{'3_1':0.03},(306,369):{'3_1':0.03},(306,368):{'3_1':0.0},(306,367):{'3_1':0.0},(306,366):{'3_1':0.0},(306,365):{'3_1':0.03},(306,364):{'3_1':0.0},(306,363):{'3_1':0.0},(306,362):{'3_1':0.0,'4_1':0.0},(306,361):{'3_1':0.0},(306,360):{'3_1':0.0},(306,359):{'3_1':0.0},(306,358):{'3_1':0.0},(306,357):{'3_1':0.0},(306,354):{'3_1':0.0},(306,353):{'3_1':0.0},(306,352):{'3_1':0.0},(306,351):{'3_1':0.0},(306,350):{'3_1':0.0},(306,349):{'3_1':0.03},(306,348):{'3_1':0.0},(306,347):{'3_1':0.0},(306,346):{'3_1':0.0,'5_2':0.0},(306,345):{'3_1':0.0},(306,344):{'3_1':0.0},(306,343):{'3_1':0.03},(306,342):{'3_1':0.0},(306,339):{'3_1':0.0},(306,338):{'3_1':0.0},(306,336):{'3_1':0.0},(307,459):{'3_1':0.0},(307,457):{'3_1':0.0},(307,456):{'3_1':0.0},(307,455):{'3_1':0.0},(307,454):{'3_1':0.0,'4_1':0.0},(307,453):{'3_1':0.0},(307,452):{'3_1':0.0},(307,449):{'3_1':0.0},(307,445):{'3_1':0.0},(307,444):{'4_1':0.0},(307,443):{'3_1':0.0},(307,442):{'3_1':0.0},(307,441):{'3_1':0.0},(307,440):{'3_1':0.0},(307,439):{'3_1':0.0},(307,437):{'3_1':0.03},(307,436):{'3_1':0.0},(307,435):{'3_1':0.0},(307,434):{'3_1':0.0},(307,433):{'3_1':0.0},(307,432):{'3_1':0.0,'5_1':0.0},(307,431):{'3_1':0.0},(307,430):{'3_1':0.0},(307,429):{'3_1':0.0},(307,428):{'3_1':0.0},(307,427):{'3_1':0.0},(307,426):{'3_1':0.06},(307,425):{'3_1':0.03},(307,424):{'3_1':0.03},(307,423):{'3_1':0.06},(307,422):{'3_1':0.0},(307,421):{'3_1':0.03},(307,420):{'3_1':0.0},(307,419):{'3_1':0.0,'5_2':0.0},(307,418):{'3_1':0.0,'4_1':0.0},(307,417):{'3_1':0.0,'5_2':0.0},(307,416):{'3_1':0.03},(307,415):{'3_1':0.0,'5_2':0.0},(307,414):{'3_1':0.03,'5_2':0.0},(307,413):{'3_1':0.03},(307,412):{'3_1':0.03,'5_2':0.0},(307,411):{'3_1':0.06},(307,410):{'3_1':0.03},(307,409):{'3_1':0.03},(307,408):{'3_1':0.06},(307,406):{'3_1':0.0,'4_1':0.0},(307,405):{'3_1':0.0},(307,404):{'3_1':0.0},(307,403):{'3_1':0.0},(307,402):{'3_1':0.0},(307,401):{'3_1':0.0,'5_2':0.0},(307,400):{'3_1':0.0},(307,399):{'3_1':0.0},(307,398):{'3_1':0.03},(307,397):{'3_1':0.0},(307,396):{'3_1':0.0,'4_1':0.0},(307,395):{'3_1':0.03},(307,394):{'3_1':0.03},(307,393):{'3_1':0.0,'4_1':0.0},(307,392):{'4_1':0.0},(307,391):{'3_1':0.0},(307,390):{'3_1':0.03,'4_1':0.0},(307,389):{'3_1':0.06,'5_2':0.0},(307,388):{'3_1':0.03},(307,387):{'3_1':0.03},(307,386):{'3_1':0.0,'4_1':0.0},(307,385):{'3_1':0.0,'5_2':0.0},(307,384):{'3_1':0.0},(307,383):{'3_1':0.06},(307,382):{'3_1':0.06,'4_1':0.0},(307,381):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(307,380):{'3_1':0.03,'5_2':0.0},(307,379):{'3_1':0.09,'5_2':0.0},(307,378):{'3_1':0.03},(307,377):{'3_1':0.06},(307,376):{'3_1':0.03,'4_1':0.0},(307,375):{'4_1':0.0},(307,374):{'3_1':0.0},(307,373):{'3_1':0.0,'4_1':0.0},(307,372):{'3_1':0.0},(307,371):{'3_1':0.0,'4_1':0.0},(307,370):{'3_1':0.0},(307,369):{'3_1':0.0,'4_1':0.0},(307,368):{'3_1':0.0,'4_1':0.0},(307,367):{'3_1':0.0},(307,366):{'3_1':0.0},(307,365):{'3_1':0.0,'4_1':0.0},(307,364):{'3_1':0.0},(307,363):{'3_1':0.03,'4_1':0.0},(307,362):{'4_1':0.0},(307,361):{'3_1':0.0},(307,360):{'3_1':0.0},(307,359):{'4_1':0.0},(307,358):{'3_1':0.0},(307,356):{'3_1':0.0},(307,355):{'3_1':0.0},(307,354):{'3_1':0.0},(307,353):{'3_1':0.03},(307,352):{'3_1':0.0},(307,351):{'3_1':0.0},(307,350):{'3_1':0.0},(307,349):{'3_1':0.0},(307,348):{'3_1':0.03},(307,347):{'3_1':0.0},(307,346):{'3_1':0.0},(307,345):{'3_1':0.0},(307,344):{'3_1':0.0},(307,342):{'3_1':0.0},(307,337):{'3_1':0.0},(308,459):{'3_1':0.0},(308,458):{'3_1':0.0},(308,456):{'3_1':0.0},(308,455):{'3_1':0.0},(308,453):{'3_1':0.0},(308,450):{'3_1':0.0},(308,448):{'3_1':0.0},(308,446):{'3_1':0.03},(308,445):{'3_1':0.0,'5_2':0.0},(308,444):{'3_1':0.0},(308,443):{'3_1':0.0},(308,441):{'3_1':0.0},(308,440):{'3_1':0.0},(308,439):{'3_1':0.0},(308,438):{'3_1':0.0},(308,437):{'3_1':0.0},(308,435):{'3_1':0.0},(308,434):{'3_1':0.0},(308,433):{'3_1':0.0},(308,432):{'3_1':0.0},(308,431):{'3_1':0.0},(308,430):{'3_1':0.0},(308,429):{'3_1':0.0},(308,428):{'3_1':0.03,'4_1':0.0},(308,427):{'3_1':0.0},(308,426):{'3_1':0.0},(308,425):{'3_1':0.0},(308,424):{'3_1':0.0,'5_1':0.0},(308,423):{'3_1':0.0},(308,422):{'3_1':0.03},(308,421):{'3_1':0.0},(308,420):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(308,419):{'3_1':0.03},(308,418):{'3_1':0.0,'5_2':0.0},(308,417):{'3_1':0.03},(308,416):{'3_1':0.03},(308,415):{'3_1':0.0,'4_1':0.0},(308,414):{'3_1':0.0},(308,413):{'3_1':0.0,'5_2':0.0},(308,412):{'3_1':0.03,'5_2':0.0},(308,411):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(308,410):{'3_1':0.03},(308,409):{'3_1':0.0},(308,408):{'3_1':0.03},(308,407):{'3_1':0.0},(308,406):{'3_1':0.03},(308,405):{'5_2':0.0},(308,404):{'3_1':0.0},(308,403):{'3_1':0.06},(308,402):{'3_1':0.0},(308,401):{'3_1':0.0,'4_1':0.0},(308,400):{'3_1':0.0},(308,399):{'3_1':0.0},(308,398):{'4_1':0.0},(308,397):{'3_1':0.0},(308,396):{'3_1':0.03},(308,395):{'3_1':0.0},(308,394):{'4_1':0.0,'3_1':0.0},(308,393):{'3_1':0.0,'4_1':0.0},(308,392):{'4_1':0.0,'3_1':0.0},(308,391):{'3_1':0.0},(308,390):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(308,389):{'3_1':0.0},(308,388):{'3_1':0.03},(308,387):{'3_1':0.0},(308,386):{'3_1':0.03},(308,385):{'3_1':0.03,'5_2':0.0},(308,384):{'3_1':0.06},(308,383):{'3_1':0.0},(308,382):{'3_1':0.06,'5_2':0.0},(308,381):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(308,380):{'3_1':0.09,'4_1':0.0},(308,379):{'3_1':0.0},(308,378):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(308,377):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(308,376):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(308,375):{'3_1':0.03,'4_1':0.0},(308,374):{'3_1':0.0},(308,373):{'3_1':0.0,'4_1':0.0},(308,371):{'3_1':0.0},(308,370):{'3_1':0.0,'4_1':0.0},(308,369):{'3_1':0.0,'4_1':0.0},(308,368):{'3_1':0.0,'4_1':0.0},(308,367):{'3_1':0.0,'4_1':0.0},(308,366):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(308,365):{'3_1':0.0,'4_1':0.0},(308,364):{'3_1':0.03},(308,363):{'3_1':0.03},(308,362):{'3_1':0.0},(308,361):{'3_1':0.0},(308,360):{'3_1':0.0},(308,359):{'3_1':0.0},(308,358):{'3_1':0.0,'4_1':0.0},(308,357):{'3_1':0.0},(308,356):{'3_1':0.0},(308,355):{'3_1':0.0},(308,354):{'3_1':0.0,'4_1':0.0},(308,353):{'3_1':0.0},(308,352):{'3_1':0.0},(308,351):{'3_1':0.0,'4_1':0.0},(308,350):{'3_1':0.0},(308,349):{'3_1':0.0},(308,348):{'3_1':0.0},(308,346):{'3_1':0.03},(308,344):{'3_1':0.0},(308,342):{'3_1':0.0},(308,341):{'3_1':0.0},(308,340):{'3_1':0.0},(309,459):{'3_1':0.0},(309,458):{'3_1':0.0},(309,457):{'3_1':0.0},(309,456):{'3_1':0.0},(309,453):{'3_1':0.0},(309,452):{'3_1':0.0},(309,451):{'3_1':0.0},(309,450):{'3_1':0.0},(309,449):{'3_1':0.03},(309,448):{'3_1':0.0},(309,446):{'3_1':0.0},(309,443):{'3_1':0.0},(309,441):{'4_1':0.0},(309,440):{'3_1':0.0,'4_1':0.0},(309,439):{'3_1':0.0},(309,438):{'3_1':0.0},(309,437):{'3_1':0.0},(309,435):{'3_1':0.0},(309,434):{'3_1':0.0},(309,433):{'3_1':0.0},(309,432):{'3_1':0.0},(309,431):{'3_1':0.0},(309,430):{'3_1':0.0},(309,428):{'3_1':0.03},(309,427):{'3_1':0.0},(309,426):{'3_1':0.0},(309,425):{'3_1':0.03},(309,424):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(309,423):{'3_1':0.0},(309,422):{'3_1':0.0},(309,421):{'3_1':0.0},(309,420):{'3_1':0.0},(309,419):{'3_1':0.0},(309,418):{'3_1':0.0,'4_1':0.0},(309,417):{'3_1':0.0,'8_20|3_1#3_1':0.0},(309,416):{'3_1':0.03,'5_2':0.0},(309,415):{'3_1':0.0,'5_2':0.0},(309,414):{'3_1':0.03},(309,413):{'3_1':0.03,'5_2':0.0},(309,412):{'5_1':0.0},(309,411):{'3_1':0.0},(309,410):{'3_1':0.0,'5_2':0.0},(309,409):{'3_1':0.0,'5_2':0.0},(309,408):{'3_1':0.06},(309,407):{'3_1':0.03},(309,406):{'3_1':0.03},(309,405):{'3_1':0.0},(309,404):{'3_1':0.0},(309,403):{'3_1':0.0},(309,402):{'3_1':0.0},(309,401):{'3_1':0.03},(309,400):{'3_1':0.0},(309,399):{'3_1':0.0},(309,398):{'3_1':0.0},(309,397):{'3_1':0.0,'4_1':0.0},(309,396):{'3_1':0.0},(309,395):{'3_1':0.0,'4_1':0.0},(309,394):{'3_1':0.03,'4_1':0.0},(309,393):{'3_1':0.03,'4_1':0.0},(309,392):{'3_1':0.0,'4_1':0.0},(309,391):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(309,390):{'3_1':0.0},(309,389):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(309,388):{'3_1':0.03,'5_2':0.0},(309,387):{'3_1':0.0},(309,386):{'3_1':0.0,'4_1':0.0},(309,385):{'3_1':0.0,'5_2':0.0},(309,384):{'3_1':0.0},(309,383):{'3_1':0.03},(309,382):{'3_1':0.09},(309,381):{'3_1':0.03,'4_1':0.0},(309,380):{'3_1':0.09},(309,379):{'3_1':0.03,'4_1':0.0},(309,378):{'3_1':0.06},(309,377):{'3_1':0.03},(309,376):{'3_1':0.06,'4_1':0.0},(309,375):{'3_1':0.03,'4_1':0.0},(309,374):{'4_1':0.0,'3_1':0.0},(309,373):{'3_1':0.0},(309,371):{'3_1':0.03},(309,370):{'3_1':0.0},(309,369):{'3_1':0.0},(309,368):{'3_1':0.0},(309,367):{'3_1':0.0},(309,366):{'3_1':0.0},(309,365):{'3_1':0.0},(309,364):{'3_1':0.0},(309,363):{'3_1':0.0},(309,362):{'3_1':0.0,'4_1':0.0},(309,361):{'3_1':0.03,'4_1':0.0},(309,360):{'3_1':0.03},(309,359):{'3_1':0.0,'4_1':0.0},(309,358):{'3_1':0.0,'4_1':0.0},(309,357):{'3_1':0.0},(309,356):{'3_1':0.0},(309,355):{'3_1':0.0},(309,353):{'3_1':0.0},(309,352):{'3_1':0.0,'4_1':0.0},(309,350):{'3_1':0.0},(309,349):{'3_1':0.0},(309,346):{'3_1':0.0},(309,345):{'3_1':0.0},(309,344):{'3_1':0.0},(309,340):{'3_1':0.0},(309,339):{'3_1':0.0},(310,459):{'3_1':0.0},(310,455):{'3_1':0.0},(310,452):{'3_1':0.0},(310,451):{'3_1':0.0},(310,450):{'3_1':0.0},(310,449):{'3_1':0.0},(310,448):{'3_1':0.0},(310,447):{'3_1':0.0},(310,443):{'3_1':0.0},(310,442):{'3_1':0.0},(310,441):{'3_1':0.0,'4_1':0.0},(310,440):{'3_1':0.0},(310,438):{'3_1':0.03},(310,437):{'3_1':0.0},(310,435):{'3_1':0.0},(310,434):{'3_1':0.0},(310,433):{'3_1':0.0},(310,432):{'3_1':0.03,'5_1':0.0},(310,429):{'3_1':0.0},(310,428):{'3_1':0.0},(310,427):{'3_1':0.03,'4_1':0.0},(310,426):{'3_1':0.0},(310,425):{'3_1':0.03,'5_2':0.0},(310,424):{'3_1':0.0},(310,423):{'3_1':0.0},(310,422):{'3_1':0.03,'4_1':0.0},(310,421):{'3_1':0.0},(310,420):{'3_1':0.03},(310,419):{'3_1':0.03,'6_3':0.0},(310,418):{'3_1':0.03,'5_2':0.0},(310,417):{'3_1':0.0,'5_2':0.0},(310,416):{'3_1':0.0},(310,415):{'5_2':0.0},(310,414):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(310,413):{'3_1':0.03},(310,412):{'3_1':0.0,'6_1':0.0,'7_1':0.0},(310,411):{'3_1':0.03,'5_2':0.0},(310,410):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(310,409):{'3_1':0.03},(310,408):{'3_1':0.03},(310,407):{'3_1':0.0},(310,406):{'3_1':0.03},(310,405):{'3_1':0.03},(310,404):{'3_1':0.03},(310,403):{'3_1':0.0},(310,402):{'3_1':0.0},(310,401):{'3_1':0.0},(310,400):{'3_1':0.0},(310,399):{'3_1':0.0},(310,398):{'3_1':0.0},(310,397):{'3_1':0.0,'4_1':0.0},(310,395):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(310,394):{'3_1':0.06,'4_1':0.0},(310,393):{'3_1':0.0},(310,392):{'3_1':0.03,'4_1':0.0},(310,391):{'3_1':0.0},(310,390):{'3_1':0.03},(310,389):{'3_1':0.0,'5_1':0.0},(310,388):{'3_1':0.0,'5_2':0.0},(310,387):{'3_1':0.0,'5_2':0.0},(310,386):{'3_1':0.03},(310,385):{'3_1':0.0,'5_2':0.0},(310,384):{'3_1':0.03,'5_1':0.0},(310,383):{'3_1':0.03,'5_2':0.0},(310,382):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(310,381):{'3_1':0.06,'4_1':0.0},(310,380):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(310,379):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(310,378):{'3_1':0.03,'5_1':0.0},(310,377):{'3_1':0.03},(310,376):{'3_1':0.03},(310,375):{'3_1':0.0},(310,374):{'4_1':0.0,'3_1':0.0},(310,373):{'3_1':0.0,'4_1':0.0},(310,372):{'3_1':0.0},(310,371):{'3_1':0.0},(310,370):{'3_1':0.03,'5_2':0.0},(310,369):{'3_1':0.0},(310,366):{'3_1':0.0},(310,365):{'3_1':0.0},(310,364):{'3_1':0.0},(310,363):{'3_1':0.0},(310,362):{'3_1':0.0},(310,361):{'4_1':0.0},(310,360):{'3_1':0.0},(310,359):{'3_1':0.0},(310,358):{'3_1':0.0,'4_1':0.0},(310,357):{'3_1':0.0},(310,356):{'3_1':0.0},(310,355):{'3_1':0.0},(310,354):{'3_1':0.0},(310,353):{'3_1':0.0},(310,352):{'3_1':0.0},(310,351):{'3_1':0.0},(310,348):{'3_1':0.0},(310,347):{'3_1':0.03},(310,346):{'3_1':0.0},(310,345):{'3_1':0.0},(310,344):{'3_1':0.0},(310,342):{'3_1':0.0},(310,340):{'3_1':0.0},(310,339):{'3_1':0.0},(311,458):{'3_1':0.0},(311,457):{'3_1':0.0},(311,455):{'3_1':0.0},(311,454):{'3_1':0.0},(311,452):{'3_1':0.0},(311,450):{'3_1':0.0},(311,449):{'3_1':0.03},(311,448):{'3_1':0.0},(311,447):{'3_1':0.0},(311,444):{'3_1':0.0},(311,443):{'3_1':0.0},(311,441):{'3_1':0.0},(311,440):{'3_1':0.03},(311,439):{'3_1':0.0},(311,438):{'3_1':0.0},(311,437):{'3_1':0.0},(311,436):{'3_1':0.0},(311,434):{'3_1':0.0},(311,433):{'3_1':0.0},(311,432):{'3_1':0.0},(311,431):{'3_1':0.0},(311,430):{'3_1':0.0},(311,423):{'3_1':0.03},(311,422):{'3_1':0.03},(311,421):{'3_1':0.0},(311,420):{'3_1':0.0},(311,419):{'3_1':0.0},(311,418):{'5_2':0.0},(311,417):{'3_1':0.0},(311,416):{'3_1':0.0,'5_1':0.0},(311,415):{'3_1':0.0,'5_2':0.0},(311,414):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(311,413):{'3_1':0.03,'5_2':0.0},(311,412):{'3_1':0.03},(311,411):{'3_1':0.03,'5_2':0.0},(311,410):{'3_1':0.0,'5_2':0.0},(311,409):{'3_1':0.03},(311,408):{'3_1':0.03,'5_2':0.0},(311,407):{'3_1':0.03},(311,405):{'3_1':0.03},(311,404):{'3_1':0.03,'4_1':0.0},(311,403):{'3_1':0.0},(311,402):{'3_1':0.03},(311,401):{'3_1':0.0},(311,400):{'3_1':0.0},(311,399):{'3_1':0.0},(311,398):{'3_1':0.0},(311,397):{'3_1':0.0},(311,396):{'3_1':0.0},(311,395):{'3_1':0.0},(311,394):{'3_1':0.0},(311,393):{'3_1':0.03,'4_1':0.0},(311,392):{'3_1':0.0,'4_1':0.0},(311,391):{'3_1':0.0,'5_2':0.0},(311,390):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(311,389):{'3_1':0.03,'4_1':0.0},(311,388):{'3_1':0.0},(311,387):{'3_1':0.0,'5_2':0.0},(311,386):{'3_1':0.0},(311,385):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(311,384):{'3_1':0.03},(311,383):{'3_1':0.03,'5_2':0.03},(311,382):{'3_1':0.03},(311,381):{'3_1':0.03},(311,380):{'3_1':0.0},(311,379):{'3_1':0.0},(311,378):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(311,377):{'3_1':0.0},(311,376):{'3_1':0.0,'4_1':0.0},(311,375):{'3_1':0.0},(311,374):{'3_1':0.0},(311,373):{'3_1':0.06},(311,372):{'3_1':0.03},(311,371):{'3_1':0.0,'4_1':0.0},(311,370):{'3_1':0.03,'4_1':0.0},(311,369):{'3_1':0.0},(311,368):{'3_1':0.03,'5_2':0.0},(311,367):{'4_1':0.0},(311,364):{'3_1':0.0},(311,363):{'3_1':0.0,'4_1':0.0},(311,362):{'3_1':0.0},(311,361):{'3_1':0.0},(311,358):{'4_1':0.0,'3_1':0.0},(311,356):{'3_1':0.0},(311,355):{'3_1':0.0},(311,354):{'3_1':0.0},(311,353):{'3_1':0.0},(311,352):{'3_1':0.0},(311,351):{'3_1':0.0},(311,350):{'3_1':0.0},(311,349):{'3_1':0.0,'4_1':0.0},(311,348):{'3_1':0.0},(311,347):{'3_1':0.03},(311,345):{'3_1':0.0},(311,344):{'3_1':0.03},(311,343):{'3_1':0.0},(311,341):{'3_1':0.0},(311,340):{'3_1':0.0},(312,459):{'3_1':0.0},(312,457):{'3_1':0.0},(312,456):{'3_1':0.0},(312,455):{'3_1':0.0},(312,454):{'3_1':0.0},(312,453):{'3_1':0.0},(312,452):{'3_1':0.0},(312,451):{'3_1':0.0},(312,450):{'3_1':0.0},(312,449):{'3_1':0.03},(312,447):{'3_1':0.0},(312,446):{'3_1':0.0},(312,445):{'3_1':0.0},(312,444):{'3_1':0.0},(312,441):{'6_1':0.0},(312,440):{'3_1':0.0},(312,437):{'3_1':0.0},(312,436):{'3_1':0.0},(312,435):{'3_1':0.0,'4_1':0.0},(312,433):{'3_1':0.0},(312,432):{'3_1':0.0},(312,431):{'3_1':0.0},(312,430):{'5_2':0.0},(312,426):{'3_1':0.0},(312,425):{'3_1':0.0},(312,424):{'3_1':0.0},(312,423):{'3_1':0.0},(312,420):{'3_1':0.0,'5_2':0.0},(312,419):{'3_1':0.03},(312,418):{'3_1':0.0},(312,417):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(312,416):{'3_1':0.0,'5_2':0.0},(312,415):{'3_1':0.03,'4_1':0.0},(312,414):{'3_1':0.0,'5_2':0.0},(312,413):{'5_2':0.0},(312,412):{'3_1':0.0},(312,411):{'3_1':0.03,'5_2':0.0},(312,410):{'3_1':0.03,'5_2':0.0},(312,409):{'3_1':0.0},(312,408):{'3_1':0.03},(312,407):{'3_1':0.0},(312,406):{'3_1':0.03},(312,405):{'3_1':0.03},(312,404):{'3_1':0.0,'5_2':0.0},(312,403):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(312,402):{'3_1':0.0},(312,401):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(312,399):{'3_1':0.0},(312,398):{'3_1':0.0},(312,397):{'3_1':0.0},(312,396):{'3_1':0.0},(312,395):{'3_1':0.0,'4_1':0.0},(312,394):{'3_1':0.0},(312,393):{'4_1':0.0,'3_1':0.0},(312,392):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(312,391):{'3_1':0.03},(312,390):{'3_1':0.0,'4_1':0.0},(312,389):{'3_1':0.06},(312,388):{'3_1':0.03,'4_1':0.0},(312,387):{'3_1':0.0},(312,386):{'3_1':0.0,'4_1':0.0},(312,385):{'3_1':0.03,'5_2':0.0},(312,384):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(312,383):{'3_1':0.03},(312,382):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(312,381):{'3_1':0.03,'5_2':0.0},(312,380):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(312,379):{'3_1':0.0,'4_1':0.0},(312,378):{'3_1':0.03,'4_1':0.0},(312,377):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(312,376):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(312,375):{'3_1':0.0,'4_1':0.0},(312,374):{'3_1':0.0},(312,373):{'3_1':0.0},(312,372):{'3_1':0.03},(312,371):{'3_1':0.0},(312,370):{'3_1':0.0,'4_1':0.0},(312,369):{'4_1':0.0},(312,368):{'3_1':0.0},(312,367):{'4_1':0.0},(312,366):{'3_1':0.0},(312,365):{'3_1':0.0},(312,364):{'3_1':0.0},(312,363):{'4_1':0.0},(312,362):{'3_1':0.0},(312,361):{'3_1':0.0},(312,360):{'3_1':0.0},(312,356):{'3_1':0.0},(312,355):{'3_1':0.0},(312,354):{'3_1':0.0},(312,353):{'3_1':0.03},(312,352):{'3_1':0.0},(312,351):{'3_1':0.0},(312,350):{'3_1':0.03},(312,349):{'3_1':0.0},(312,347):{'3_1':0.0},(312,346):{'3_1':0.0},(312,345):{'3_1':0.0},(312,344):{'3_1':0.0,'4_1':0.0},(312,341):{'3_1':0.0},(312,339):{'3_1':0.0},(312,336):{'3_1':0.0},(313,459):{'3_1':0.0},(313,457):{'3_1':0.0},(313,456):{'3_1':0.0},(313,455):{'3_1':0.0},(313,454):{'3_1':0.0},(313,453):{'3_1':0.0},(313,452):{'3_1':0.0},(313,451):{'3_1':0.0},(313,450):{'3_1':0.0},(313,449):{'3_1':0.0},(313,447):{'3_1':0.0},(313,446):{'3_1':0.0},(313,444):{'3_1':0.0},(313,443):{'3_1':0.0},(313,442):{'3_1':0.0},(313,439):{'3_1':0.0},(313,438):{'3_1':0.0},(313,436):{'3_1':0.0},(313,435):{'3_1':0.0},(313,434):{'3_1':0.0},(313,433):{'3_1':0.0},(313,432):{'3_1':0.0},(313,431):{'3_1':0.0},(313,430):{'3_1':0.0},(313,429):{'3_1':0.0},(313,428):{'3_1':0.0,'6_1':0.0},(313,427):{'3_1':0.0},(313,426):{'3_1':0.0,'5_2':0.0},(313,425):{'3_1':0.0},(313,424):{'3_1':0.0},(313,423):{'3_1':0.0,'5_2':0.0},(313,422):{'3_1':0.0},(313,421):{'3_1':0.0},(313,420):{'3_1':0.0},(313,419):{'3_1':0.0},(313,418):{'3_1':0.03},(313,417):{'3_1':0.03},(313,416):{'3_1':0.0},(313,415):{'3_1':0.0,'5_1':0.0},(313,414):{'3_1':0.0},(313,413):{'3_1':0.0},(313,412):{'3_1':0.03,'5_2':0.0},(313,411):{'3_1':0.0},(313,410):{'3_1':0.03,'5_1':0.0},(313,409):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(313,408):{'3_1':0.06,'5_2':0.0},(313,407):{'3_1':0.03,'5_2':0.0},(313,406):{'3_1':0.03,'5_2':0.0},(313,405):{'3_1':0.0},(313,404):{'3_1':0.03},(313,403):{'3_1':0.0,'4_1':0.0},(313,402):{'3_1':0.0,'5_2':0.0},(313,401):{'3_1':0.0},(313,400):{'3_1':0.0},(313,399):{'3_1':0.0,'4_1':0.0},(313,398):{'3_1':0.0},(313,397):{'3_1':0.03},(313,396):{'3_1':0.0},(313,395):{'3_1':0.0},(313,394):{'3_1':0.03},(313,393):{'3_1':0.0,'4_1':0.0},(313,392):{'3_1':0.0,'4_1':0.0},(313,391):{'3_1':0.0},(313,390):{'3_1':0.03},(313,389):{'3_1':0.06,'5_2':0.0},(313,388):{'3_1':0.0,'4_1':0.0},(313,387):{'3_1':0.0,'5_2':0.0},(313,386):{'3_1':0.03,'4_1':0.0},(313,385):{'3_1':0.0,'5_2':0.0},(313,384):{'3_1':0.03},(313,383):{'3_1':0.0,'4_1':0.0},(313,382):{'3_1':0.09},(313,381):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(313,380):{'3_1':0.0,'5_2':0.0},(313,379):{'3_1':0.06},(313,378):{'3_1':0.0,'4_1':0.0},(313,377):{'3_1':0.0},(313,376):{'3_1':0.03},(313,375):{'3_1':0.0,'5_2':0.0},(313,374):{'3_1':0.0,'4_1':0.0},(313,373):{'3_1':0.0,'5_2':0.0},(313,372):{'3_1':0.0},(313,371):{'3_1':0.0},(313,370):{'3_1':0.0},(313,369):{'3_1':0.0},(313,368):{'3_1':0.0},(313,367):{'3_1':0.03},(313,366):{'4_1':0.0},(313,365):{'3_1':0.0,'4_1':0.0},(313,364):{'3_1':0.03},(313,362):{'3_1':0.0},(313,361):{'3_1':0.0,'4_1':0.0},(313,360):{'3_1':0.03},(313,358):{'3_1':0.0,'4_1':0.0},(313,356):{'3_1':0.0},(313,355):{'3_1':0.0},(313,352):{'3_1':0.0},(313,350):{'3_1':0.0,'4_1':0.0},(313,349):{'3_1':0.0},(313,348):{'3_1':0.03},(313,346):{'3_1':0.0},(313,345):{'3_1':0.03},(313,344):{'3_1':0.0},(313,343):{'3_1':0.0},(313,342):{'3_1':0.0},(313,340):{'3_1':0.0},(313,331):{'3_1':0.0},(314,459):{'3_1':0.0},(314,458):{'3_1':0.03},(314,457):{'3_1':0.0},(314,455):{'3_1':0.0},(314,454):{'3_1':0.0},(314,453):{'3_1':0.0},(314,451):{'3_1':0.0},(314,449):{'3_1':0.0},(314,447):{'3_1':0.0},(314,446):{'3_1':0.0},(314,445):{'3_1':0.0,'4_1':0.0},(314,444):{'3_1':0.0},(314,443):{'3_1':0.0},(314,442):{'4_1':0.0},(314,440):{'3_1':0.0},(314,439):{'3_1':0.0},(314,438):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(314,437):{'3_1':0.03},(314,435):{'3_1':0.03,'4_1':0.0},(314,434):{'3_1':0.0},(314,433):{'3_1':0.0},(314,432):{'3_1':0.0},(314,431):{'3_1':0.0},(314,430):{'3_1':0.0},(314,429):{'3_1':0.0},(314,428):{'3_1':0.0},(314,427):{'3_1':0.0},(314,426):{'3_1':0.03},(314,425):{'3_1':0.0},(314,424):{'3_1':0.0},(314,423):{'3_1':0.0,'4_1':0.0},(314,421):{'3_1':0.0},(314,420):{'3_1':0.0,'4_1':0.0},(314,417):{'3_1':0.0,'5_2':0.0},(314,416):{'3_1':0.0},(314,415):{'3_1':0.0},(314,414):{'3_1':0.0},(314,413):{'3_1':0.0},(314,412):{'3_1':0.03,'5_2':0.0},(314,411):{'3_1':0.03},(314,410):{'3_1':0.0},(314,409):{'3_1':0.03,'5_2':0.0},(314,408):{'3_1':0.03,'5_1':0.0},(314,407):{'3_1':0.0,'5_2':0.0},(314,406):{'3_1':0.0},(314,405):{'3_1':0.0,'5_2':0.0},(314,404):{'3_1':0.0,'5_2':0.0},(314,403):{'4_1':0.0},(314,402):{'3_1':0.0},(314,401):{'3_1':0.03},(314,400):{'3_1':0.03},(314,399):{'3_1':0.03,'4_1':0.0},(314,398):{'3_1':0.03,'5_2':0.0},(314,397):{'3_1':0.0},(314,396):{'3_1':0.0,'4_1':0.0},(314,395):{'3_1':0.0},(314,394):{'3_1':0.0},(314,393):{'3_1':0.03},(314,392):{'3_1':0.0},(314,391):{'3_1':0.03},(314,390):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(314,389):{'3_1':0.12,'5_2':0.0},(314,388):{'3_1':0.03,'5_2':0.0},(314,387):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(314,386):{'4_1':0.0,'3_1':0.0},(314,385):{'3_1':0.0,'4_1':0.0},(314,384):{'3_1':0.03},(314,383):{'3_1':0.03,'4_1':0.0},(314,382):{'3_1':0.06},(314,381):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(314,380):{'3_1':0.0,'4_1':0.0},(314,379):{'3_1':0.03},(314,378):{'3_1':0.03,'4_1':0.0},(314,377):{'3_1':0.0,'5_2':0.0},(314,376):{'5_2':0.0},(314,375):{'3_1':0.0},(314,374):{'3_1':0.0},(314,373):{'3_1':0.03,'5_2':0.0},(314,372):{'3_1':0.0},(314,371):{'3_1':0.0},(314,369):{'3_1':0.0},(314,368):{'3_1':0.0},(314,367):{'3_1':0.0},(314,366):{'3_1':0.0,'4_1':0.0},(314,365):{'3_1':0.03,'4_1':0.0},(314,364):{'3_1':0.0},(314,363):{'3_1':0.0},(314,362):{'3_1':0.0},(314,361):{'3_1':0.0,'4_1':0.0},(314,360):{'3_1':0.0},(314,358):{'3_1':0.0,'4_1':0.0},(314,356):{'3_1':0.0},(314,354):{'3_1':0.0},(314,353):{'4_1':0.0,'3_1':0.0},(314,352):{'3_1':0.0},(314,351):{'3_1':0.0},(314,349):{'3_1':0.0},(314,348):{'3_1':0.03},(314,347):{'3_1':0.0},(314,345):{'3_1':0.03},(314,344):{'3_1':0.0},(314,343):{'3_1':0.0},(314,342):{'3_1':0.0},(314,332):{'3_1':0.0},(314,330):{'3_1':0.0},(314,325):{'3_1':0.0},(315,459):{'3_1':0.0},(315,458):{'3_1':0.0},(315,456):{'3_1':0.0},(315,455):{'3_1':0.03},(315,454):{'3_1':0.0},(315,453):{'3_1':0.0},(315,452):{'3_1':0.0},(315,451):{'3_1':0.0},(315,450):{'3_1':0.0},(315,447):{'3_1':0.03},(315,446):{'3_1':0.0},(315,444):{'6_1':0.0},(315,443):{'3_1':0.0},(315,442):{'3_1':0.0},(315,440):{'3_1':0.0},(315,439):{'3_1':0.0,'6_1':0.0},(315,438):{'3_1':0.0,'5_2':0.0},(315,436):{'3_1':0.0},(315,434):{'3_1':0.0},(315,431):{'3_1':0.0},(315,430):{'3_1':0.0},(315,429):{'3_1':0.0},(315,428):{'3_1':0.0},(315,426):{'3_1':0.0,'5_2':0.0},(315,425):{'3_1':0.0},(315,424):{'3_1':0.0},(315,423):{'3_1':0.0},(315,422):{'4_1':0.0,'6_1':0.0},(315,421):{'3_1':0.0,'4_1':0.0},(315,420):{'3_1':0.03},(315,419):{'3_1':0.0},(315,418):{'3_1':0.0},(315,417):{'3_1':0.0,'5_2':0.0},(315,416):{'3_1':0.03},(315,415):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(315,414):{'3_1':0.0,'5_2':0.0},(315,413):{'3_1':0.0,'6_3':0.0},(315,412):{'3_1':0.0},(315,411):{'5_2':0.0,'3_1':0.0},(315,410):{'3_1':0.0},(315,409):{'3_1':0.03},(315,408):{'3_1':0.0,'5_2':0.0},(315,407):{'3_1':0.0},(315,405):{'5_2':0.0},(315,404):{'3_1':0.0},(315,403):{'3_1':0.03},(315,402):{'3_1':0.03},(315,401):{'3_1':0.0},(315,399):{'3_1':0.0,'5_1':0.0},(315,398):{'3_1':0.0},(315,397):{'3_1':0.0,'4_1':0.0},(315,396):{'3_1':0.03,'4_1':0.0},(315,395):{'3_1':0.03},(315,394):{'3_1':0.03,'4_1':0.0},(315,393):{'3_1':0.0,'4_1':0.0},(315,392):{'3_1':0.06},(315,391):{'3_1':0.0,'4_1':0.0},(315,390):{'4_1':0.0,'3_1':0.0},(315,389):{'3_1':0.03},(315,388):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(315,387):{'3_1':0.0},(315,386):{'4_1':0.0,'5_2':0.0},(315,385):{'3_1':0.03,'4_1':0.0},(315,384):{'4_1':0.0},(315,383):{'3_1':0.03,'5_2':0.0},(315,382):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(315,381):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(315,380):{'3_1':0.03,'5_2':0.0},(315,379):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(315,378):{'3_1':0.03},(315,377):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(315,376):{'3_1':0.03,'5_2':0.0},(315,375):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(315,374):{'4_1':0.0},(315,373):{'3_1':0.03},(315,372):{'4_1':0.0},(315,371):{'4_1':0.0},(315,370):{'3_1':0.0},(315,369):{'3_1':0.03},(315,366):{'3_1':0.03},(315,365):{'3_1':0.0,'4_1':0.0},(315,364):{'3_1':0.0},(315,363):{'4_1':0.0},(315,362):{'3_1':0.0},(315,361):{'3_1':0.0},(315,360):{'3_1':0.0},(315,358):{'3_1':0.0},(315,357):{'3_1':0.0},(315,353):{'3_1':0.0},(315,349):{'3_1':0.0},(315,348):{'3_1':0.0,'4_1':0.0},(315,347):{'3_1':0.0,'5_2':0.0},(315,346):{'3_1':0.0},(315,344):{'3_1':0.0},(315,343):{'3_1':0.0},(315,342):{'3_1':0.0},(315,341):{'3_1':0.0},(315,340):{'3_1':0.0},(315,337):{'3_1':0.0},(316,459):{'3_1':0.0},(316,458):{'3_1':0.0},(316,457):{'3_1':0.0},(316,456):{'3_1':0.0},(316,454):{'3_1':0.0},(316,453):{'3_1':0.0},(316,452):{'3_1':0.0},(316,451):{'3_1':0.0},(316,450):{'3_1':0.0},(316,449):{'3_1':0.0},(316,448):{'3_1':0.0},(316,447):{'3_1':0.0},(316,446):{'3_1':0.0},(316,445):{'3_1':0.0},(316,444):{'3_1':0.0,'6_3':0.0},(316,443):{'3_1':0.03},(316,442):{'3_1':0.03},(316,441):{'3_1':0.0},(316,440):{'3_1':0.0},(316,439):{'3_1':0.03},(316,438):{'3_1':0.0},(316,437):{'3_1':0.0},(316,436):{'3_1':0.03},(316,435):{'6_1':0.0},(316,433):{'3_1':0.0},(316,430):{'3_1':0.03},(316,429):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(316,428):{'3_1':0.0,'5_2':0.0},(316,427):{'3_1':0.0},(316,426):{'3_1':0.0},(316,425):{'3_1':0.0},(316,424):{'3_1':0.0},(316,421):{'3_1':0.0},(316,420):{'3_1':0.0},(316,419):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(316,418):{'3_1':0.03},(316,417):{'3_1':0.0},(316,416):{'3_1':0.0,'5_2':0.0},(316,415):{'6_3':0.0},(316,414):{'3_1':0.0,'6_3':0.0},(316,413):{'3_1':0.0,'5_2':0.0},(316,412):{'3_1':0.03},(316,411):{'3_1':0.03},(316,410):{'3_1':0.03},(316,409):{'3_1':0.0,'5_2':0.0},(316,408):{'3_1':0.03},(316,407):{'3_1':0.06},(316,406):{'3_1':0.0},(316,405):{'3_1':0.03,'4_1':0.0},(316,404):{'3_1':0.0},(316,403):{'3_1':0.03},(316,402):{'3_1':0.0},(316,401):{'3_1':0.0},(316,400):{'3_1':0.0},(316,399):{'3_1':0.0},(316,398):{'3_1':0.0,'4_1':0.0},(316,397):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(316,396):{'3_1':0.0,'4_1':0.0},(316,395):{'3_1':0.0},(316,394):{'3_1':0.0},(316,393):{'3_1':0.0,'4_1':0.0},(316,392):{'3_1':0.0},(316,391):{'3_1':0.0,'4_1':0.0},(316,390):{'3_1':0.0,'5_2':0.0},(316,389):{'3_1':0.09},(316,388):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(316,387):{'3_1':0.0,'5_2':0.0},(316,386):{'3_1':0.0},(316,385):{'3_1':0.06,'4_1':0.0},(316,384):{'3_1':0.03,'4_1':0.0},(316,383):{'3_1':0.03,'5_2':0.0},(316,382):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(316,381):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(316,380):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(316,379):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(316,378):{'4_1':0.0,'5_2':0.0},(316,377):{'3_1':0.0},(316,376):{'3_1':0.03},(316,375):{'3_1':0.03,'5_2':0.0},(316,373):{'3_1':0.0,'4_1':0.0},(316,371):{'3_1':0.0},(316,370):{'3_1':0.0},(316,369):{'3_1':0.0},(316,368):{'3_1':0.03},(316,367):{'3_1':0.03},(316,366):{'3_1':0.0},(316,365):{'3_1':0.0},(316,358):{'4_1':0.0,'3_1':0.0},(316,357):{'3_1':0.0},(316,356):{'3_1':0.0},(316,355):{'4_1':0.0},(316,354):{'3_1':0.0,'4_1':0.0},(316,353):{'3_1':0.0,'4_1':0.0},(316,352):{'3_1':0.03},(316,351):{'3_1':0.0},(316,350):{'3_1':0.0},(316,349):{'3_1':0.0},(316,348):{'3_1':0.0},(316,347):{'3_1':0.0},(316,345):{'3_1':0.0,'4_1':0.0},(316,344):{'3_1':0.0},(316,342):{'3_1':0.0},(316,339):{'3_1':0.0},(316,329):{'4_1':0.0},(317,459):{'3_1':0.0},(317,458):{'3_1':0.0},(317,456):{'3_1':0.0},(317,455):{'3_1':0.0},(317,452):{'3_1':0.0},(317,451):{'3_1':0.0},(317,450):{'3_1':0.03},(317,449):{'3_1':0.0},(317,448):{'3_1':0.0},(317,447):{'3_1':0.0},(317,446):{'3_1':0.0},(317,445):{'3_1':0.0},(317,444):{'3_1':0.0},(317,443):{'3_1':0.0},(317,442):{'3_1':0.0},(317,441):{'3_1':0.0},(317,440):{'3_1':0.0},(317,439):{'3_1':0.03},(317,438):{'3_1':0.0},(317,436):{'3_1':0.0},(317,433):{'3_1':0.0},(317,432):{'3_1':0.0},(317,431):{'3_1':0.0,'4_1':0.0},(317,430):{'3_1':0.0},(317,428):{'3_1':0.0},(317,427):{'3_1':0.0},(317,426):{'3_1':0.0},(317,425):{'3_1':0.0},(317,424):{'3_1':0.0},(317,423):{'3_1':0.0},(317,422):{'3_1':0.03,'4_1':0.0},(317,421):{'3_1':0.0},(317,420):{'3_1':0.0,'5_2':0.0},(317,419):{'3_1':0.0},(317,417):{'3_1':0.0,'5_2':0.0},(317,416):{'3_1':0.0,'5_2':0.0},(317,415):{'3_1':0.0},(317,414):{'3_1':0.03},(317,413):{'3_1':0.0},(317,412):{'3_1':0.0,'5_2':0.0},(317,411):{'3_1':0.03},(317,410):{'3_1':0.03},(317,409):{'3_1':0.03},(317,408):{'3_1':0.03,'5_2':0.0},(317,407):{'3_1':0.0},(317,406):{'3_1':0.0},(317,405):{'3_1':0.03},(317,404):{'3_1':0.03},(317,403):{'3_1':0.03},(317,402):{'3_1':0.0,'5_2':0.0},(317,400):{'3_1':0.0},(317,399):{'3_1':0.0},(317,397):{'3_1':0.03,'4_1':0.0},(317,396):{'3_1':0.03},(317,395):{'4_1':0.0,'3_1':0.0},(317,394):{'3_1':0.03,'5_2':0.0},(317,393):{'3_1':0.0,'4_1':0.0},(317,392):{'3_1':0.0,'4_1':0.0},(317,391):{'3_1':0.0},(317,390):{'3_1':0.0},(317,389):{'3_1':0.03,'5_2':0.0},(317,388):{'3_1':0.0,'5_2':0.0},(317,387):{'3_1':0.0,'5_2':0.0},(317,386):{'4_1':0.0,'3_1':0.0},(317,385):{'3_1':0.0,'5_2':0.0},(317,384):{'3_1':0.03,'4_1':0.0},(317,383):{'3_1':0.03},(317,382):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(317,381):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(317,380):{'3_1':0.03,'5_2':0.0},(317,379):{'3_1':0.03,'5_2':0.0},(317,378):{'3_1':0.03,'4_1':0.0},(317,377):{'3_1':0.0,'5_2':0.0},(317,376):{'3_1':0.0},(317,375):{'3_1':0.03},(317,374):{'3_1':0.0},(317,373):{'4_1':0.0,'3_1':0.0},(317,372):{'3_1':0.0},(317,371):{'3_1':0.0},(317,370):{'3_1':0.03,'4_1':0.0},(317,369):{'4_1':0.0},(317,368):{'3_1':0.0},(317,367):{'3_1':0.0},(317,366):{'3_1':0.0},(317,365):{'3_1':0.0},(317,364):{'4_1':0.0},(317,363):{'3_1':0.0},(317,362):{'3_1':0.0},(317,360):{'3_1':0.0,'4_1':0.0},(317,359):{'3_1':0.0},(317,358):{'3_1':0.0},(317,357):{'3_1':0.0,'4_1':0.0},(317,356):{'3_1':0.0},(317,355):{'3_1':0.0,'4_1':0.0},(317,354):{'3_1':0.0},(317,353):{'3_1':0.0},(317,352):{'4_1':0.0,'3_1':0.0},(317,351):{'3_1':0.0},(317,350):{'3_1':0.0},(317,349):{'3_1':0.0,'4_1':0.0},(317,346):{'3_1':0.0},(317,344):{'3_1':0.0},(317,343):{'3_1':0.0},(317,341):{'3_1':0.0},(317,339):{'3_1':0.0},(318,459):{'3_1':0.0},(318,457):{'3_1':0.0},(318,456):{'3_1':0.0},(318,454):{'3_1':0.0},(318,453):{'3_1':0.0},(318,452):{'3_1':0.0},(318,448):{'8_20|3_1#3_1':0.0},(318,447):{'3_1':0.0},(318,446):{'3_1':0.0},(318,445):{'3_1':0.0},(318,444):{'3_1':0.0},(318,442):{'3_1':0.0},(318,440):{'3_1':0.0},(318,439):{'3_1':0.0},(318,438):{'3_1':0.0},(318,437):{'3_1':0.0},(318,435):{'3_1':0.0},(318,433):{'3_1':0.0},(318,432):{'3_1':0.0},(318,430):{'3_1':0.0},(318,429):{'3_1':0.0},(318,426):{'3_1':0.0},(318,425):{'3_1':0.03},(318,423):{'3_1':0.0},(318,422):{'3_1':0.0},(318,419):{'3_1':0.0},(318,418):{'3_1':0.0},(318,417):{'3_1':0.0,'4_1':0.0},(318,416):{'3_1':0.0},(318,415):{'3_1':0.0},(318,414):{'3_1':0.03},(318,413):{'3_1':0.0},(318,412):{'3_1':0.0},(318,411):{'3_1':0.03},(318,410):{'3_1':0.0},(318,409):{'3_1':0.0},(318,408):{'3_1':0.03},(318,407):{'3_1':0.0},(318,406):{'3_1':0.0,'5_2':0.0},(318,405):{'3_1':0.03},(318,403):{'3_1':0.0},(318,402):{'3_1':0.0,'4_1':0.0},(318,401):{'4_1':0.0,'5_2':0.0},(318,399):{'3_1':0.0},(318,398):{'3_1':0.0,'4_1':0.0},(318,397):{'3_1':0.0},(318,396):{'3_1':0.0,'4_1':0.0},(318,394):{'4_1':0.0},(318,393):{'3_1':0.0,'4_1':0.0},(318,392):{'4_1':0.0,'3_1':0.0},(318,391):{'3_1':0.03},(318,390):{'3_1':0.03,'4_1':0.0},(318,389):{'3_1':0.06,'5_2':0.0},(318,388):{'4_1':0.0},(318,387):{'3_1':0.0,'4_1':0.0},(318,386):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(318,385):{'3_1':0.0,'5_2':0.0},(318,384):{'3_1':0.0,'4_1':0.0},(318,383):{'3_1':0.0,'4_1':0.0},(318,382):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(318,381):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(318,380):{'3_1':0.03,'4_1':0.0},(318,379):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(318,378):{'3_1':0.03},(318,377):{'3_1':0.0,'4_1':0.0},(318,376):{'3_1':0.0},(318,375):{'3_1':0.0,'5_2':0.0},(318,374):{'3_1':0.0},(318,372):{'3_1':0.0},(318,371):{'3_1':0.0,'4_1':0.0},(318,370):{'3_1':0.0,'4_1':0.0},(318,369):{'3_1':0.0},(318,368):{'3_1':0.0},(318,366):{'4_1':0.0},(318,364):{'3_1':0.0,'4_1':0.0},(318,363):{'3_1':0.0},(318,362):{'3_1':0.0},(318,361):{'3_1':0.03,'4_1':0.0},(318,360):{'3_1':0.0},(318,358):{'4_1':0.0},(318,357):{'3_1':0.0},(318,356):{'3_1':0.0},(318,355):{'3_1':0.0,'4_1':0.0},(318,354):{'3_1':0.0},(318,352):{'4_1':0.0,'3_1':0.0},(318,351):{'4_1':0.0},(318,349):{'3_1':0.0},(318,347):{'3_1':0.0},(318,346):{'3_1':0.0},(318,345):{'3_1':0.0},(318,343):{'3_1':0.0},(318,331):{'3_1':0.0},(319,452):{'3_1':0.0},(319,449):{'3_1':0.0},(319,448):{'3_1':0.0},(319,440):{'3_1':0.0},(319,439):{'3_1':0.0},(319,438):{'3_1':0.0},(319,437):{'3_1':0.0},(319,435):{'3_1':0.0},(319,433):{'3_1':0.0},(319,430):{'3_1':0.0},(319,429):{'3_1':0.0},(319,428):{'3_1':0.0},(319,427):{'4_1':0.0},(319,426):{'3_1':0.0},(319,425):{'4_1':0.0},(319,423):{'3_1':0.0},(319,422):{'3_1':0.0},(319,417):{'3_1':0.0},(319,416):{'3_1':0.0},(319,415):{'3_1':0.0},(319,414):{'3_1':0.0},(319,413):{'3_1':0.0},(319,412):{'3_1':0.03},(319,411):{'3_1':0.0},(319,410):{'3_1':0.0},(319,409):{'3_1':0.06},(319,408):{'3_1':0.0},(319,407):{'3_1':0.0},(319,406):{'3_1':0.03},(319,405):{'3_1':0.0,'5_2':0.0},(319,404):{'5_2':0.0},(319,403):{'3_1':0.0},(319,402):{'3_1':0.0},(319,401):{'3_1':0.0},(319,400):{'3_1':0.0},(319,399):{'3_1':0.0,'5_2':0.0},(319,398):{'3_1':0.0},(319,397):{'3_1':0.0},(319,395):{'3_1':0.0,'4_1':0.0},(319,394):{'3_1':0.0,'4_1':0.0},(319,393):{'3_1':0.0,'4_1':0.0},(319,392):{'3_1':0.0,'4_1':0.0},(319,391):{'3_1':0.0,'4_1':0.0},(319,390):{'3_1':0.0,'4_1':0.0},(319,389):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(319,388):{'3_1':0.0,'5_2':0.0},(319,387):{'3_1':0.0},(319,386):{'3_1':0.0,'4_1':0.0},(319,385):{'3_1':0.0},(319,384):{'3_1':0.03},(319,383):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(319,382):{'4_1':0.0},(319,381):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(319,380):{'3_1':0.0,'5_2':0.0},(319,379):{'3_1':0.03},(319,378):{'3_1':0.03},(319,377):{'3_1':0.0,'4_1':0.0},(319,376):{'3_1':0.0},(319,375):{'3_1':0.0},(319,374):{'3_1':0.0},(319,373):{'3_1':0.0},(319,372):{'3_1':0.0},(319,370):{'3_1':0.0},(319,368):{'3_1':0.0},(319,367):{'3_1':0.0,'4_1':0.0},(319,366):{'3_1':0.0},(319,365):{'4_1':0.0,'3_1':0.0},(319,364):{'4_1':0.0},(319,363):{'3_1':0.0},(319,362):{'4_1':0.0},(319,361):{'3_1':0.0,'4_1':0.0},(319,360):{'3_1':0.0},(319,359):{'3_1':0.0},(319,358):{'3_1':0.0},(319,357):{'3_1':0.0},(319,356):{'3_1':0.0},(319,354):{'3_1':0.0},(319,352):{'4_1':0.0},(319,350):{'3_1':0.0},(319,349):{'3_1':0.0,'4_1':0.0},(319,348):{'3_1':0.0},(319,347):{'3_1':0.0},(319,346):{'3_1':0.0},(319,345):{'3_1':0.0},(319,344):{'3_1':0.0},(319,343):{'3_1':0.0},(319,341):{'3_1':0.0},(320,451):{'3_1':0.0},(320,448):{'3_1':0.0},(320,446):{'3_1':0.0},(320,445):{'3_1':0.0},(320,444):{'3_1':0.0},(320,441):{'3_1':0.0},(320,439):{'3_1':0.0},(320,437):{'3_1':0.03},(320,436):{'3_1':0.0},(320,435):{'3_1':0.0},(320,433):{'3_1':0.0},(320,432):{'3_1':0.0},(320,430):{'3_1':0.0},(320,429):{'3_1':0.0},(320,425):{'4_1':0.0},(320,424):{'3_1':0.0},(320,423):{'3_1':0.0},(320,420):{'3_1':0.0},(320,418):{'3_1':0.0},(320,417):{'3_1':0.0},(320,416):{'3_1':0.0},(320,415):{'3_1':0.0},(320,412):{'3_1':0.0},(320,411):{'3_1':0.0},(320,410):{'3_1':0.03},(320,409):{'3_1':0.03},(320,408):{'3_1':0.03,'5_2':0.0},(320,406):{'3_1':0.0},(320,405):{'5_2':0.0},(320,404):{'3_1':0.0},(320,403):{'3_1':0.0},(320,402):{'3_1':0.0},(320,401):{'3_1':0.03},(320,399):{'3_1':0.0,'5_2':0.0},(320,398):{'3_1':0.0},(320,397):{'3_1':0.0},(320,396):{'3_1':0.0},(320,395):{'3_1':0.0},(320,394):{'4_1':0.0,'3_1':0.0},(320,393):{'3_1':0.03,'4_1':0.0},(320,392):{'3_1':0.0,'4_1':0.0},(320,391):{'3_1':0.0},(320,390):{'3_1':0.0},(320,389):{'3_1':0.03},(320,388):{'3_1':0.0},(320,387):{'3_1':0.06},(320,386):{'4_1':0.0,'3_1':0.0},(320,385):{'3_1':0.0,'5_2':0.0},(320,384):{'3_1':0.0},(320,383):{'3_1':0.03},(320,382):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(320,381):{'3_1':0.06},(320,380):{'3_1':0.0},(320,379):{'5_2':0.0},(320,378):{'3_1':0.0,'4_1':0.0},(320,377):{'3_1':0.03,'4_1':0.0},(320,376):{'3_1':0.0,'4_1':0.0},(320,375):{'3_1':0.0,'4_1':0.0},(320,373):{'3_1':0.0},(320,369):{'3_1':0.0},(320,366):{'3_1':0.0},(320,365):{'4_1':0.0,'3_1':0.0},(320,364):{'3_1':0.0},(320,363):{'3_1':0.0},(320,362):{'3_1':0.0},(320,361):{'3_1':0.0},(320,360):{'3_1':0.0,'4_1':0.0},(320,359):{'3_1':0.0},(320,356):{'3_1':0.0},(320,355):{'3_1':0.0,'4_1':0.0},(320,351):{'3_1':0.0},(320,350):{'3_1':0.0},(320,349):{'3_1':0.0},(320,348):{'3_1':0.0},(320,347):{'3_1':0.0},(320,346):{'3_1':0.0},(320,345):{'3_1':0.0},(320,342):{'3_1':0.0},(321,453):{'3_1':0.0},(321,452):{'3_1':0.0},(321,449):{'3_1':0.0},(321,447):{'4_1':0.0},(321,445):{'3_1':0.0},(321,444):{'3_1':0.0},(321,443):{'3_1':0.0},(321,442):{'3_1':0.0},(321,441):{'3_1':0.0},(321,439):{'3_1':0.0},(321,438):{'3_1':0.0},(321,437):{'3_1':0.0},(321,435):{'3_1':0.0},(321,433):{'3_1':0.0},(321,431):{'3_1':0.0},(321,429):{'3_1':0.0},(321,427):{'3_1':0.0},(321,425):{'3_1':0.0},(321,424):{'5_2':0.0},(321,423):{'3_1':0.0},(321,422):{'3_1':0.0},(321,421):{'3_1':0.0},(321,419):{'3_1':0.0},(321,418):{'3_1':0.0},(321,417):{'3_1':0.0,'4_1':0.0},(321,415):{'3_1':0.0},(321,413):{'3_1':0.0,'4_1':0.0},(321,412):{'3_1':0.0},(321,411):{'3_1':0.03},(321,410):{'3_1':0.0},(321,409):{'3_1':0.0},(321,408):{'3_1':0.0},(321,407):{'3_1':0.0},(321,406):{'3_1':0.0},(321,405):{'3_1':0.0,'5_2':0.0},(321,404):{'3_1':0.0},(321,403):{'3_1':0.0},(321,402):{'3_1':0.0},(321,400):{'3_1':0.0},(321,399):{'3_1':0.0,'5_2':0.0},(321,396):{'3_1':0.0},(321,395):{'3_1':0.0},(321,393):{'3_1':0.0,'4_1':0.0},(321,392):{'3_1':0.03},(321,391):{'3_1':0.0},(321,390):{'3_1':0.0,'5_2':0.0},(321,389):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(321,388):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(321,387):{'3_1':0.03},(321,386):{'3_1':0.03},(321,385):{'3_1':0.0},(321,384):{'3_1':0.0,'4_1':0.0},(321,383):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(321,382):{'3_1':0.03,'5_2':0.0},(321,381):{'3_1':0.0,'5_2':0.0},(321,380):{'3_1':0.06},(321,379):{'3_1':0.0},(321,378):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(321,377):{'3_1':0.0,'5_2':0.0},(321,375):{'3_1':0.0},(321,374):{'3_1':0.0},(321,372):{'4_1':0.0},(321,371):{'4_1':0.0,'3_1':0.0,'7_4':0.0},(321,368):{'3_1':0.0},(321,366):{'3_1':0.0,'4_1':0.0},(321,364):{'3_1':0.0},(321,363):{'3_1':0.0},(321,362):{'3_1':0.0},(321,361):{'3_1':0.0},(321,360):{'3_1':0.0},(321,359):{'4_1':0.0},(321,358):{'3_1':0.0,'4_1':0.0},(321,357):{'3_1':0.0},(321,356):{'3_1':0.03},(321,355):{'4_1':0.0},(321,351):{'3_1':0.0,'4_1':0.0},(321,349):{'3_1':0.0},(321,348):{'3_1':0.0},(321,347):{'3_1':0.0},(321,345):{'3_1':0.0},(322,443):{'4_1':0.0},(322,442):{'3_1':0.0},(322,441):{'4_1':0.0},(322,440):{'3_1':0.0},(322,437):{'3_1':0.0},(322,436):{'3_1':0.0},(322,435):{'3_1':0.0},(322,432):{'3_1':0.0},(322,429):{'3_1':0.0},(322,427):{'3_1':0.0},(322,424):{'3_1':0.0},(322,422):{'5_2':0.0},(322,421):{'3_1':0.0},(322,419):{'6_3':0.0},(322,418):{'3_1':0.0},(322,415):{'3_1':0.0,'4_1':0.0},(322,412):{'3_1':0.0},(322,411):{'3_1':0.0},(322,410):{'3_1':0.0},(322,409):{'3_1':0.0},(322,408):{'3_1':0.0},(322,406):{'3_1':0.0},(322,405):{'3_1':0.0,'4_1':0.0},(322,404):{'3_1':0.0},(322,403):{'3_1':0.0},(322,402):{'3_1':0.0},(322,401):{'3_1':0.0},(322,399):{'3_1':0.0},(322,398):{'3_1':0.0},(322,397):{'3_1':0.0},(322,396):{'3_1':0.0},(322,395):{'3_1':0.0},(322,394):{'3_1':0.0},(322,393):{'3_1':0.03},(322,392):{'3_1':0.03},(322,391):{'3_1':0.0,'4_1':0.0},(322,390):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(322,389):{'3_1':0.03},(322,388):{'3_1':0.03,'5_2':0.0},(322,387):{'5_2':0.0},(322,385):{'3_1':0.0},(322,384):{'3_1':0.0},(322,383):{'3_1':0.03,'5_2':0.0},(322,382):{'3_1':0.06},(322,381):{'3_1':0.03,'5_2':0.0},(322,380):{'3_1':0.03,'5_2':0.0},(322,379):{'3_1':0.03},(322,378):{'3_1':0.0},(322,377):{'3_1':0.03},(322,376):{'3_1':0.03},(322,375):{'3_1':0.0},(322,373):{'3_1':0.0},(322,372):{'3_1':0.0},(322,369):{'3_1':0.0},(322,368):{'3_1':0.0,'4_1':0.0},(322,366):{'6_1':0.0},(322,365):{'4_1':0.0},(322,361):{'3_1':0.0},(322,353):{'3_1':0.0},(322,351):{'3_1':0.0},(322,347):{'3_1':0.0},(322,346):{'3_1':0.0},(322,345):{'3_1':0.0},(323,453):{'3_1':0.0},(323,451):{'3_1':0.0},(323,444):{'3_1':0.0},(323,443):{'3_1':0.0},(323,441):{'3_1':0.0},(323,436):{'3_1':0.0},(323,434):{'5_2':0.0},(323,432):{'3_1':0.0},(323,431):{'3_1':0.0},(323,430):{'3_1':0.0},(323,428):{'3_1':0.0},(323,425):{'3_1':0.0},(323,423):{'3_1':0.0,'4_1':0.0},(323,422):{'3_1':0.0},(323,421):{'3_1':0.0},(323,418):{'3_1':0.0},(323,417):{'5_2':0.0},(323,416):{'6_3':0.0},(323,415):{'3_1':0.03,'4_1':0.0},(323,414):{'3_1':0.03},(323,413):{'4_1':0.0},(323,412):{'3_1':0.0},(323,410):{'3_1':0.03},(323,409):{'3_1':0.0},(323,408):{'3_1':0.0},(323,407):{'3_1':0.0},(323,406):{'3_1':0.0},(323,402):{'3_1':0.0},(323,400):{'3_1':0.0,'5_2':0.0},(323,399):{'3_1':0.0},(323,398):{'3_1':0.0},(323,395):{'3_1':0.0},(323,394):{'3_1':0.0},(323,393):{'3_1':0.0},(323,392):{'3_1':0.0},(323,391):{'3_1':0.0},(323,390):{'3_1':0.03},(323,388):{'3_1':0.0,'4_1':0.0},(323,387):{'3_1':0.0},(323,385):{'3_1':0.0},(323,384):{'3_1':0.03},(323,383):{'3_1':0.03},(323,382):{'3_1':0.03},(323,381):{'3_1':0.03},(323,380):{'3_1':0.03},(323,379):{'3_1':0.06},(323,378):{'3_1':0.0,'4_1':0.0},(323,377):{'3_1':0.0},(323,376):{'3_1':0.0,'5_2':0.0},(323,375):{'3_1':0.0},(323,373):{'3_1':0.0},(323,356):{'4_1':0.0},(323,348):{'3_1':0.0},(323,344):{'3_1':0.0},(323,343):{'3_1':0.0},(323,342):{'3_1':0.0},(324,451):{'3_1':0.0},(324,448):{'3_1':0.0},(324,444):{'4_1':0.0},(324,441):{'3_1':0.0},(324,440):{'3_1':0.0},(324,438):{'4_1':0.0},(324,437):{'3_1':0.0},(324,433):{'4_1':0.0},(324,432):{'4_1':0.0},(324,428):{'3_1':0.0},(324,426):{'4_1':0.0,'3_1':0.0},(324,425):{'3_1':0.0,'4_1':0.0},(324,421):{'3_1':0.0},(324,417):{'3_1':0.0},(324,416):{'3_1':0.0},(324,415):{'3_1':0.0,'4_1':0.0},(324,413):{'3_1':0.0},(324,412):{'3_1':0.03},(324,411):{'3_1':0.0,'4_1':0.0},(324,410):{'3_1':0.0},(324,409):{'4_1':0.0,'3_1':0.0},(324,407):{'3_1':0.0},(324,406):{'3_1':0.0},(324,404):{'3_1':0.0},(324,403):{'3_1':0.0},(324,402):{'3_1':0.0},(324,401):{'3_1':0.0},(324,400):{'3_1':0.0},(324,399):{'3_1':0.0},(324,398):{'3_1':0.0},(324,397):{'3_1':0.0},(324,396):{'3_1':0.0},(324,395):{'3_1':0.0},(324,394):{'3_1':0.0},(324,393):{'3_1':0.03},(324,392):{'3_1':0.03},(324,391):{'3_1':0.0},(324,390):{'3_1':0.03},(324,389):{'3_1':0.06,'5_2':0.0},(324,388):{'3_1':0.03},(324,387):{'3_1':0.0},(324,386):{'3_1':0.0},(324,385):{'3_1':0.03,'4_1':0.0},(324,384):{'3_1':0.0},(324,383):{'3_1':0.03},(324,382):{'3_1':0.03},(324,381):{'3_1':0.0},(324,380):{'3_1':0.03},(324,379):{'3_1':0.0},(324,378):{'3_1':0.03},(324,377):{'3_1':0.0},(324,376):{'3_1':0.0},(324,375):{'3_1':0.0},(324,374):{'3_1':0.0},(324,373):{'3_1':0.0},(324,372):{'3_1':0.0},(324,368):{'3_1':0.0},(324,353):{'3_1':0.0},(324,347):{'3_1':0.0},(324,346):{'3_1':0.0},(324,344):{'3_1':0.0},(324,341):{'3_1':0.0},(325,452):{'3_1':0.0},(325,449):{'5_2':0.0},(325,448):{'4_1':0.0},(325,441):{'3_1':0.0,'4_1':0.0},(325,438):{'3_1':0.0},(325,437):{'3_1':0.0,'4_1':0.0},(325,435):{'3_1':0.0},(325,433):{'3_1':0.0},(325,432):{'3_1':0.0},(325,431):{'3_1':0.0},(325,429):{'3_1':0.0},(325,427):{'3_1':0.0},(325,426):{'3_1':0.0},(325,424):{'3_1':0.0},(325,421):{'4_1':0.0},(325,420):{'3_1':0.0,'4_1':0.0},(325,419):{'3_1':0.0},(325,418):{'3_1':0.0},(325,417):{'3_1':0.0,'4_1':0.0},(325,416):{'3_1':0.0},(325,413):{'3_1':0.0,'4_1':0.0},(325,412):{'3_1':0.0},(325,411):{'3_1':0.0,'4_1':0.0},(325,410):{'3_1':0.0,'5_2':0.0},(325,408):{'3_1':0.03},(325,407):{'3_1':0.03},(325,406):{'3_1':0.0},(325,405):{'3_1':0.0},(325,404):{'3_1':0.03},(325,403):{'3_1':0.0},(325,402):{'3_1':0.0,'5_2':0.0},(325,401):{'3_1':0.0},(325,400):{'3_1':0.0},(325,399):{'3_1':0.0},(325,398):{'3_1':0.03},(325,397):{'3_1':0.0},(325,396):{'3_1':0.06,'5_1':0.0},(325,395):{'3_1':0.0},(325,394):{'3_1':0.0},(325,393):{'3_1':0.03},(325,392):{'3_1':0.03},(325,391):{'3_1':0.03},(325,390):{'3_1':0.0},(325,389):{'3_1':0.03},(325,388):{'3_1':0.03},(325,387):{'3_1':0.0},(325,386):{'3_1':0.0},(325,385):{'3_1':0.0},(325,384):{'3_1':0.03},(325,383):{'3_1':0.03,'4_1':0.0},(325,382):{'3_1':0.03},(325,381):{'3_1':0.06},(325,380):{'3_1':0.0},(325,379):{'3_1':0.03},(325,378):{'3_1':0.0},(325,377):{'3_1':0.03},(325,376):{'3_1':0.0},(325,375):{'3_1':0.0},(326,436):{'3_1':0.0},(326,434):{'3_1':0.0},(326,431):{'3_1':0.0,'5_2':0.0},(326,429):{'3_1':0.0},(326,428):{'3_1':0.0},(326,425):{'3_1':0.0},(326,424):{'3_1':0.0},(326,421):{'3_1':0.0,'4_1':0.0},(326,418):{'3_1':0.0},(326,417):{'3_1':0.03},(326,415):{'3_1':0.03,'4_1':0.0},(326,414):{'3_1':0.0},(326,412):{'3_1':0.0},(326,411):{'3_1':0.0},(326,410):{'3_1':0.0,'4_1':0.0},(326,409):{'3_1':0.0},(326,408):{'3_1':0.0},(326,407):{'3_1':0.03},(326,405):{'3_1':0.0},(326,398):{'3_1':0.0},(326,397):{'3_1':0.0},(326,396):{'3_1':0.0},(326,395):{'3_1':0.03},(326,394):{'3_1':0.0},(326,393):{'3_1':0.03},(326,392):{'3_1':0.0},(326,391):{'3_1':0.03},(326,390):{'3_1':0.0},(326,389):{'3_1':0.0},(326,388):{'3_1':0.0},(326,387):{'3_1':0.03},(326,386):{'3_1':0.0},(326,385):{'3_1':0.0},(326,384):{'3_1':0.0},(326,383):{'3_1':0.03},(326,382):{'3_1':0.03},(326,381):{'3_1':0.0},(326,380):{'3_1':0.03},(326,379):{'3_1':0.03},(326,378):{'3_1':0.06},(326,377):{'3_1':0.0},(326,376):{'3_1':0.0},(326,374):{'3_1':0.0},(326,373):{'3_1':0.0},(326,369):{'3_1':0.0},(326,363):{'3_1':0.0},(326,361):{'3_1':0.0},(326,359):{'3_1':0.0},(326,347):{'3_1':0.0},(326,344):{'3_1':0.0,'5_2':0.0},(326,343):{'3_1':0.0},(326,342):{'3_1':0.0},(327,453):{'3_1':0.0},(327,449):{'3_1':0.0},(327,448):{'3_1':0.0},(327,447):{'3_1':0.0},(327,445):{'4_1':0.0},(327,441):{'3_1':0.0},(327,439):{'3_1':0.0},(327,435):{'3_1':0.0},(327,433):{'4_1':0.0},(327,429):{'3_1':0.0},(327,428):{'4_1':0.0},(327,424):{'3_1':0.0,'4_1':0.0},(327,423):{'3_1':0.0},(327,420):{'3_1':0.0},(327,418):{'3_1':0.0},(327,416):{'3_1':0.0},(327,415):{'3_1':0.0},(327,413):{'3_1':0.0},(327,412):{'3_1':0.0},(327,411):{'3_1':0.0},(327,409):{'3_1':0.0,'4_1':0.0},(327,408):{'3_1':0.0},(327,407):{'3_1':0.0},(327,406):{'3_1':0.0},(327,405):{'3_1':0.0},(327,404):{'3_1':0.03},(327,403):{'3_1':0.0,'6_2':0.0},(327,402):{'3_1':0.0},(327,401):{'5_1':0.0},(327,400):{'3_1':0.0},(327,399):{'3_1':0.0,'4_1':0.0},(327,397):{'3_1':0.0},(327,396):{'3_1':0.0},(327,395):{'3_1':0.0},(327,394):{'3_1':0.0},(327,393):{'3_1':0.03},(327,392):{'3_1':0.0},(327,391):{'3_1':0.0},(327,390):{'3_1':0.03},(327,389):{'3_1':0.03},(327,388):{'3_1':0.03},(327,387):{'3_1':0.0},(327,386):{'3_1':0.06},(327,385):{'3_1':0.0},(327,384):{'3_1':0.0},(327,383):{'3_1':0.0},(327,381):{'3_1':0.03},(327,380):{'3_1':0.06},(327,379):{'3_1':0.03},(327,378):{'3_1':0.0},(327,377):{'3_1':0.0},(327,376):{'3_1':0.0},(327,375):{'3_1':0.0},(327,374):{'3_1':0.0},(327,369):{'3_1':0.0},(327,365):{'3_1':0.0},(327,363):{'3_1':0.0},(327,355):{'3_1':0.0},(327,346):{'3_1':0.0},(327,345):{'3_1':0.0},(327,344):{'3_1':0.0},(327,340):{'3_1':0.0},(328,454):{'3_1':0.0},(328,451):{'3_1':0.0},(328,446):{'4_1':0.0},(328,440):{'3_1':0.0},(328,438):{'3_1':0.0},(328,437):{'3_1':0.0},(328,436):{'3_1':0.0},(328,435):{'3_1':0.0},(328,431):{'3_1':0.0},(328,429):{'3_1':0.0},(328,426):{'3_1':0.0},(328,425):{'3_1':0.0},(328,423):{'3_1':0.0},(328,421):{'3_1':0.0},(328,420):{'3_1':0.0},(328,418):{'3_1':0.0},(328,417):{'4_1':0.0},(328,416):{'3_1':0.0},(328,415):{'3_1':0.0},(328,414):{'3_1':0.0},(328,413):{'3_1':0.0},(328,412):{'3_1':0.0},(328,411):{'3_1':0.0},(328,410):{'3_1':0.0},(328,409):{'3_1':0.0},(328,408):{'3_1':0.0},(328,407):{'3_1':0.0},(328,405):{'3_1':0.0},(328,404):{'3_1':0.0},(328,403):{'3_1':0.0},(328,402):{'3_1':0.03},(328,401):{'3_1':0.03},(328,398):{'3_1':0.0},(328,397):{'3_1':0.0},(328,396):{'3_1':0.0},(328,395):{'3_1':0.0},(328,394):{'3_1':0.0},(328,393):{'3_1':0.03},(328,392):{'3_1':0.06},(328,391):{'3_1':0.0},(328,388):{'3_1':0.0},(328,387):{'3_1':0.03},(328,386):{'3_1':0.03},(328,385):{'3_1':0.03},(328,384):{'3_1':0.03},(328,383):{'3_1':0.03},(328,382):{'3_1':0.0},(328,381):{'3_1':0.03},(328,380):{'3_1':0.0},(328,379):{'3_1':0.03},(328,378):{'3_1':0.0},(328,377):{'3_1':0.03},(328,376):{'3_1':0.0},(328,375):{'3_1':0.0},(328,374):{'3_1':0.0},(328,369):{'3_1':0.0},(328,368):{'3_1':0.0},(328,363):{'3_1':0.0},(328,358):{'3_1':0.0},(328,356):{'3_1':0.0},(328,343):{'3_1':0.0},(328,342):{'3_1':0.0},(328,341):{'3_1':0.0},(328,340):{'3_1':0.0},(329,455):{'3_1':0.0},(329,451):{'4_1':0.0},(329,450):{'3_1':0.0},(329,443):{'3_1':0.0,'4_1':0.0},(329,440):{'3_1':0.0},(329,437):{'3_1':0.0},(329,435):{'-3':0.0},(329,432):{'3_1':0.0},(329,431):{'3_1':0.0},(329,430):{'3_1':0.0},(329,429):{'3_1':0.0},(329,427):{'3_1':0.0},(329,425):{'3_1':0.0},(329,424):{'3_1':0.0},(329,423):{'3_1':0.0},(329,421):{'3_1':0.0},(329,417):{'3_1':0.0},(329,416):{'3_1':0.0},(329,415):{'3_1':0.0},(329,413):{'4_1':0.0},(329,411):{'4_1':0.0},(329,410):{'3_1':0.0},(329,409):{'3_1':0.0},(329,407):{'3_1':0.03},(329,406):{'3_1':0.0},(329,405):{'3_1':0.0},(329,404):{'3_1':0.0},(329,403):{'3_1':0.0},(329,402):{'3_1':0.0},(329,401):{'3_1':0.0},(329,400):{'3_1':0.0},(329,399):{'3_1':0.0},(329,397):{'3_1':0.0},(329,396):{'3_1':0.0,'5_1':0.0},(329,395):{'3_1':0.03},(329,394):{'3_1':0.03},(329,393):{'3_1':0.03},(329,392):{'3_1':0.0},(329,390):{'3_1':0.0},(329,389):{'3_1':0.0},(329,388):{'3_1':0.06},(329,387):{'3_1':0.0},(329,386):{'3_1':0.03},(329,385):{'3_1':0.03},(329,384):{'3_1':0.12},(329,383):{'3_1':0.03},(329,382):{'3_1':0.0},(329,381):{'3_1':0.06},(329,380):{'3_1':0.0},(329,379):{'3_1':0.0},(329,377):{'3_1':0.0},(329,376):{'3_1':0.0},(329,374):{'3_1':0.0},(329,372):{'3_1':0.0},(329,371):{'3_1':0.0},(329,359):{'3_1':0.0},(329,343):{'3_1':0.0},(329,342):{'3_1':0.0},(329,340):{'3_1':0.0},(330,455):{'3_1':0.0},(330,438):{'4_1':0.0},(330,436):{'3_1':0.0},(330,435):{'3_1':0.0},(330,434):{'3_1':0.0},(330,432):{'3_1':0.0,'5_1':0.0},(330,431):{'3_1':0.0},(330,430):{'3_1':0.0},(330,429):{'3_1':0.0},(330,426):{'3_1':0.0},(330,425):{'3_1':0.0},(330,424):{'3_1':0.0},(330,422):{'3_1':0.0},(330,421):{'3_1':0.0},(330,417):{'3_1':0.0},(330,415):{'4_1':0.0},(330,414):{'3_1':0.0},(330,412):{'3_1':0.0},(330,411):{'3_1':0.0},(330,410):{'3_1':0.0},(330,409):{'3_1':0.0},(330,407):{'3_1':0.0},(330,406):{'3_1':0.0},(330,405):{'3_1':0.0},(330,404):{'3_1':0.0},(330,403):{'3_1':0.03},(330,402):{'3_1':0.0},(330,401):{'3_1':0.0},(330,400):{'3_1':0.0},(330,399):{'3_1':0.0},(330,398):{'3_1':0.0},(330,397):{'3_1':0.0,'5_1':0.0},(330,395):{'3_1':0.0},(330,394):{'3_1':0.03},(330,393):{'3_1':0.03},(330,392):{'3_1':0.0},(330,391):{'3_1':0.0},(330,390):{'3_1':0.0},(330,389):{'3_1':0.0},(330,388):{'3_1':0.0},(330,387):{'3_1':0.03},(330,386):{'3_1':0.03},(330,385):{'3_1':0.03},(330,384):{'3_1':0.0},(330,383):{'3_1':0.06},(330,382):{'3_1':0.0},(330,381):{'3_1':0.06},(330,380):{'3_1':0.0},(330,379):{'3_1':0.0},(330,378):{'3_1':0.03},(330,376):{'3_1':0.03},(330,375):{'3_1':0.0},(330,374):{'3_1':0.0},(330,373):{'3_1':0.0},(330,371):{'3_1':0.0},(330,369):{'3_1':0.0},(330,368):{'3_1':0.0},(330,367):{'3_1':0.0},(330,365):{'3_1':0.0},(330,363):{'3_1':0.0},(331,454):{'3_1':0.0},(331,451):{'3_1':0.0},(331,449):{'3_1':0.0},(331,447):{'3_1':0.0},(331,439):{'3_1':0.0},(331,437):{'3_1':0.0},(331,435):{'3_1':0.0},(331,428):{'3_1':0.0},(331,427):{'3_1':0.0},(331,426):{'3_1':0.0},(331,424):{'4_1':0.0},(331,423):{'3_1':0.0},(331,422):{'3_1':0.0},(331,417):{'3_1':0.0},(331,416):{'3_1':0.0,'4_1':0.0},(331,415):{'3_1':0.0},(331,414):{'3_1':0.0},(331,413):{'3_1':0.0},(331,412):{'5_2':0.0},(331,411):{'3_1':0.0},(331,410):{'3_1':0.0,'6_2':0.0},(331,408):{'3_1':0.0},(331,407):{'3_1':0.0},(331,405):{'3_1':0.0},(331,404):{'3_1':0.0},(331,403):{'3_1':0.0},(331,401):{'3_1':0.0},(331,399):{'3_1':0.0},(331,398):{'3_1':0.0},(331,395):{'3_1':0.0},(331,394):{'3_1':0.0},(331,393):{'3_1':0.0},(331,391):{'3_1':0.03},(331,390):{'3_1':0.0},(331,389):{'3_1':0.0},(331,388):{'3_1':0.0},(331,387):{'3_1':0.0},(331,386):{'3_1':0.03},(331,385):{'3_1':0.03},(331,384):{'3_1':0.0},(331,383):{'3_1':0.03},(331,382):{'3_1':0.03},(331,381):{'3_1':0.03},(331,380):{'3_1':0.03},(331,373):{'3_1':0.03},(331,372):{'3_1':0.0},(331,370):{'3_1':0.0},(331,369):{'3_1':0.0},(331,367):{'3_1':0.0},(331,364):{'3_1':0.0},(332,455):{'3_1':0.0},(332,454):{'3_1':0.0},(332,453):{'3_1':0.0},(332,452):{'3_1':0.0},(332,441):{'3_1':0.0},(332,437):{'3_1':0.0},(332,436):{'3_1':0.0,'5_2':0.0},(332,435):{'3_1':0.0},(332,432):{'3_1':0.0},(332,431):{'3_1':0.0},(332,430):{'3_1':0.0},(332,427):{'4_1':0.0},(332,425):{'3_1':0.0},(332,423):{'4_1':0.0},(332,420):{'3_1':0.0},(332,418):{'3_1':0.0},(332,417):{'3_1':0.0},(332,416):{'3_1':0.0},(332,415):{'5_2':0.0},(332,411):{'3_1':0.0},(332,410):{'3_1':0.03},(332,409):{'3_1':0.0},(332,408):{'3_1':0.0},(332,407):{'3_1':0.0},(332,406):{'3_1':0.0},(332,405):{'3_1':0.0},(332,404):{'3_1':0.0},(332,403):{'3_1':0.0},(332,402):{'3_1':0.0},(332,401):{'3_1':0.0},(332,400):{'3_1':0.0},(332,399):{'3_1':0.0},(332,398):{'3_1':0.0},(332,397):{'3_1':0.0},(332,396):{'3_1':0.0},(332,394):{'3_1':0.0},(332,392):{'3_1':0.03},(332,391):{'3_1':0.0},(332,390):{'3_1':0.0},(332,389):{'3_1':0.0},(332,388):{'3_1':0.0},(332,387):{'3_1':0.03},(332,386):{'3_1':0.0},(332,385):{'3_1':0.03},(332,384):{'3_1':0.03},(332,383):{'3_1':0.03},(332,382):{'3_1':0.03},(332,381):{'3_1':0.06},(332,380):{'3_1':0.06},(332,379):{'3_1':0.03},(332,378):{'3_1':0.03},(332,377):{'3_1':0.0},(332,376):{'3_1':0.0},(332,375):{'3_1':0.0},(332,373):{'3_1':0.03},(332,372):{'3_1':0.0},(332,371):{'3_1':0.0},(332,365):{'3_1':0.0},(332,364):{'3_1':0.0},(332,362):{'3_1':0.0},(333,452):{'3_1':0.0},(333,449):{'3_1':0.0},(333,446):{'7_2':0.0},(333,441):{'3_1':0.0},(333,440):{'3_1':0.0},(333,438):{'3_1':0.0},(333,437):{'3_1':0.0},(333,436):{'3_1':0.0},(333,432):{'4_1':0.0},(333,430):{'3_1':0.0},(333,427):{'3_1':0.0},(333,426):{'3_1':0.0},(333,425):{'3_1':0.0},(333,421):{'3_1':0.0},(333,418):{'3_1':0.0},(333,417):{'3_1':0.0},(333,413):{'3_1':0.0},(333,411):{'3_1':0.0},(333,410):{'3_1':0.0},(333,408):{'3_1':0.0,'5_2':0.0},(333,407):{'3_1':0.0,'5_1':0.0},(333,405):{'3_1':0.0},(333,404):{'3_1':0.0},(333,402):{'3_1':0.0,'5_1':0.0},(333,401):{'3_1':0.0},(333,400):{'3_1':0.0},(333,399):{'3_1':0.0},(333,398):{'3_1':0.0},(333,396):{'3_1':0.0},(333,395):{'3_1':0.03},(333,394):{'3_1':0.0},(333,393):{'3_1':0.03},(333,392):{'3_1':0.0},(333,390):{'3_1':0.0},(333,389):{'3_1':0.0},(333,388):{'3_1':0.03},(333,387):{'3_1':0.0},(333,386):{'3_1':0.0},(333,385):{'3_1':0.03},(333,384):{'3_1':0.03},(333,383):{'3_1':0.0},(333,382):{'3_1':0.0},(333,381):{'3_1':0.0},(333,380):{'3_1':0.0},(333,379):{'3_1':0.09},(333,378):{'3_1':0.03},(333,377):{'3_1':0.0},(333,376):{'3_1':0.03},(333,375):{'3_1':0.03},(333,370):{'3_1':0.0},(333,368):{'3_1':0.0},(333,363):{'4_1':0.0},(333,361):{'3_1':0.0},(333,360):{'3_1':0.0},(334,454):{'3_1':0.0},(334,453):{'3_1':0.0},(334,451):{'3_1':0.0},(334,448):{'3_1':0.0},(334,447):{'3_1':0.0},(334,437):{'3_1':0.0},(334,436):{'3_1':0.0},(334,435):{'3_1':0.0},(334,433):{'3_1':0.0},(334,432):{'3_1':0.0},(334,431):{'3_1':0.0},(334,430):{'3_1':0.0},(334,428):{'3_1':0.0},(334,426):{'3_1':0.0},(334,425):{'3_1':0.0},(334,424):{'3_1':0.0},(334,423):{'3_1':0.0},(334,422):{'3_1':0.0},(334,421):{'3_1':0.0},(334,418):{'3_1':0.0,'6_1':0.0},(334,417):{'3_1':0.0,'4_1':0.0},(334,416):{'3_1':0.0},(334,415):{'3_1':0.0},(334,414):{'3_1':0.0},(334,413):{'4_1':0.0},(334,412):{'3_1':0.0},(334,411):{'3_1':0.0},(334,410):{'3_1':0.0},(334,409):{'3_1':0.0},(334,407):{'3_1':0.0},(334,405):{'3_1':0.0},(334,404):{'3_1':0.0},(334,401):{'3_1':0.0},(334,400):{'3_1':0.0},(334,399):{'3_1':0.0},(334,397):{'3_1':0.03},(334,395):{'3_1':0.0},(334,392):{'3_1':0.0},(334,390):{'3_1':0.0},(334,389):{'3_1':0.0},(334,388):{'3_1':0.03,'4_1':0.0},(334,387):{'3_1':0.0},(334,386):{'3_1':0.0},(334,385):{'3_1':0.0},(334,384):{'3_1':0.0},(334,383):{'3_1':0.03},(334,382):{'3_1':0.0},(334,381):{'3_1':0.0},(334,380):{'3_1':0.03},(334,379):{'3_1':0.0},(334,378):{'3_1':0.0},(334,377):{'3_1':0.0},(334,375):{'3_1':0.0},(334,373):{'3_1':0.0},(334,371):{'3_1':0.0},(334,370):{'3_1':0.0},(334,369):{'3_1':0.0},(334,368):{'3_1':0.0},(334,367):{'3_1':0.0},(335,453):{'3_1':0.0},(335,452):{'3_1':0.0},(335,451):{'3_1':0.0},(335,450):{'3_1':0.0},(335,447):{'3_1':0.0},(335,443):{'5_2':0.0},(335,435):{'7_2':0.0},(335,433):{'3_1':0.0},(335,432):{'3_1':0.0},(335,430):{'3_1':0.0},(335,428):{'3_1':0.0},(335,427):{'3_1':0.0},(335,426):{'3_1':0.0},(335,425):{'3_1':0.0},(335,424):{'3_1':0.0},(335,422):{'3_1':0.0},(335,416):{'3_1':0.0,'4_1':0.0},(335,414):{'3_1':0.0},(335,413):{'3_1':0.0},(335,412):{'3_1':0.0},(335,410):{'3_1':0.0},(335,408):{'3_1':0.0},(335,407):{'3_1':0.03},(335,406):{'3_1':0.0},(335,405):{'3_1':0.0},(335,403):{'3_1':0.0},(335,402):{'3_1':0.0},(335,401):{'3_1':0.03},(335,400):{'3_1':0.0},(335,399):{'3_1':0.0},(335,397):{'3_1':0.0},(335,396):{'3_1':0.0},(335,394):{'3_1':0.0},(335,393):{'3_1':0.0},(335,392):{'3_1':0.03},(335,391):{'3_1':0.06},(335,390):{'3_1':0.0},(335,389):{'3_1':0.0},(335,388):{'3_1':0.0},(335,387):{'3_1':0.0},(335,386):{'3_1':0.03},(335,385):{'3_1':0.0},(335,384):{'3_1':0.0},(335,383):{'3_1':0.0},(335,382):{'3_1':0.06},(335,381):{'3_1':0.0},(335,380):{'3_1':0.03},(335,379):{'3_1':0.0},(335,378):{'3_1':0.03},(335,377):{'3_1':0.0},(335,376):{'3_1':0.0},(335,375):{'3_1':0.0},(335,373):{'3_1':0.0},(335,372):{'3_1':0.0,'5_2':0.0},(335,367):{'3_1':0.0},(335,361):{'3_1':0.0},(336,453):{'3_1':0.0},(336,450):{'3_1':0.0},(336,449):{'3_1':0.0},(336,446):{'3_1':0.0},(336,436):{'3_1':0.0},(336,435):{'3_1':0.0},(336,434):{'3_1':0.0},(336,433):{'3_1':0.0},(336,431):{'3_1':0.0},(336,430):{'3_1':0.0},(336,413):{'4_1':0.0},(336,411):{'4_1':0.0},(336,410):{'3_1':0.0},(336,409):{'3_1':0.0,'5_2':0.0},(336,408):{'3_1':0.0,'4_1':0.0},(336,407):{'3_1':0.03},(336,406):{'3_1':0.03},(336,405):{'3_1':0.0},(336,404):{'3_1':0.0},(336,403):{'3_1':0.0},(336,402):{'3_1':0.0},(336,401):{'3_1':0.0,'5_1':0.0},(336,400):{'3_1':0.0},(336,399):{'3_1':0.0},(336,397):{'3_1':0.0},(336,395):{'3_1':0.0},(336,394):{'3_1':0.0},(336,393):{'3_1':0.0},(336,392):{'3_1':0.0},(336,390):{'3_1':0.03},(336,388):{'3_1':0.0},(336,387):{'3_1':0.03},(336,386):{'3_1':0.06},(336,385):{'3_1':0.0},(336,384):{'3_1':0.0},(336,383):{'3_1':0.0},(336,382):{'3_1':0.0},(336,381):{'3_1':0.03},(336,380):{'3_1':0.03},(336,379):{'3_1':0.0},(336,377):{'3_1':0.03},(336,376):{'3_1':0.0},(336,375):{'3_1':0.0},(337,449):{'3_1':0.0},(337,438):{'3_1':0.0},(337,437):{'3_1':0.0},(337,433):{'3_1':0.0},(337,429):{'3_1':0.0},(337,428):{'3_1':0.0},(337,425):{'3_1':0.0},(337,424):{'3_1':0.0},(337,423):{'3_1':0.0},(337,422):{'3_1':0.0},(337,421):{'4_1':0.0},(337,412):{'3_1':0.0},(337,411):{'3_1':0.0,'5_2':0.0},(337,409):{'5_2':0.0},(337,408):{'3_1':0.0},(337,407):{'3_1':0.0,'5_2':0.0},(337,404):{'3_1':0.0},(337,402):{'3_1':0.0},(337,401):{'3_1':0.0},(337,398):{'3_1':0.0},(337,397):{'3_1':0.0},(337,396):{'3_1':0.03},(337,395):{'3_1':0.0},(337,394):{'3_1':0.0},(337,393):{'3_1':0.0},(337,392):{'3_1':0.03},(337,390):{'3_1':0.0},(337,389):{'3_1':0.0},(337,387):{'3_1':0.03},(337,386):{'3_1':0.0},(337,385):{'3_1':0.03},(337,384):{'3_1':0.03},(337,382):{'3_1':0.0},(337,381):{'3_1':0.06},(337,380):{'3_1':0.0},(337,379):{'3_1':0.0},(337,378):{'3_1':0.03},(337,377):{'3_1':0.0},(337,376):{'3_1':0.0},(337,375):{'3_1':0.0},(338,452):{'3_1':0.0},(338,450):{'3_1':0.0},(338,438):{'3_1':0.0},(338,437):{'3_1':0.0},(338,435):{'3_1':0.0},(338,434):{'3_1':0.0},(338,432):{'3_1':0.0},(338,429):{'3_1':0.0},(338,428):{'3_1':0.0},(338,427):{'3_1':0.0},(338,426):{'3_1':0.0},(338,425):{'3_1':0.0},(338,424):{'3_1':0.0},(338,422):{'3_1':0.0},(338,421):{'3_1':0.0},(338,413):{'3_1':0.0},(338,409):{'3_1':0.0},(338,407):{'3_1':0.0},(338,406):{'3_1':0.0},(338,405):{'3_1':0.0},(338,404):{'3_1':0.0},(338,403):{'3_1':0.0},(338,402):{'3_1':0.0},(338,401):{'3_1':0.03},(338,400):{'3_1':0.0},(338,396):{'3_1':0.0},(338,395):{'3_1':0.0},(338,394):{'3_1':0.0},(338,393):{'3_1':0.0},(338,392):{'3_1':0.03},(338,391):{'3_1':0.03},(338,389):{'3_1':0.0},(338,388):{'3_1':0.0},(338,387):{'3_1':0.03},(338,386):{'3_1':0.03},(338,385):{'3_1':0.03},(338,384):{'3_1':0.0},(338,383):{'3_1':0.0},(338,382):{'3_1':0.0},(338,381):{'3_1':0.0},(338,380):{'3_1':0.0},(338,379):{'3_1':0.0},(338,378):{'3_1':0.03},(338,377):{'3_1':0.0},(338,375):{'3_1':0.0},(339,451):{'3_1':0.0},(339,450):{'3_1':0.0},(339,449):{'3_1':0.0},(339,438):{'3_1':0.0},(339,436):{'4_1':0.0},(339,435):{'3_1':0.0},(339,431):{'3_1':0.0},(339,429):{'3_1':0.0},(339,427):{'3_1':0.0},(339,426):{'3_1':0.0},(339,424):{'3_1':0.0},(339,421):{'3_1':0.0},(339,413):{'3_1':0.0},(339,410):{'3_1':0.0},(339,409):{'3_1':0.0},(339,405):{'3_1':0.0},(339,402):{'3_1':0.0},(339,401):{'3_1':0.0},(339,400):{'3_1':0.0},(339,399):{'3_1':0.0},(339,398):{'3_1':0.0},(339,396):{'3_1':0.0},(339,395):{'3_1':0.0},(339,394):{'3_1':0.0},(339,393):{'3_1':0.0},(339,392):{'3_1':0.0},(339,391):{'3_1':0.0},(339,390):{'3_1':0.0},(339,389):{'3_1':0.0},(339,388):{'3_1':0.03},(339,387):{'3_1':0.0},(339,386):{'3_1':0.06},(339,385):{'3_1':0.03},(339,382):{'3_1':0.0},(339,381):{'3_1':0.0},(339,380):{'3_1':0.0},(339,379):{'3_1':0.0},(339,378):{'3_1':0.0},(339,377):{'3_1':0.0},(340,453):{'3_1':0.0},(340,438):{'3_1':0.0},(340,437):{'3_1':0.0},(340,436):{'3_1':0.0,'8_11':0.0},(340,434):{'3_1':0.0},(340,433):{'3_1':0.0},(340,432):{'3_1':0.0},(340,428):{'4_1':0.0},(340,427):{'3_1':0.0},(340,426):{'4_1':0.0},(340,424):{'3_1':0.0},(340,423):{'3_1':0.0},(340,422):{'3_1':0.0},(340,412):{'3_1':0.0,'4_1':0.0},(340,410):{'3_1':0.0},(340,408):{'3_1':0.0},(340,407):{'3_1':0.0},(340,406):{'3_1':0.0},(340,404):{'3_1':0.0},(340,403):{'3_1':0.0},(340,402):{'3_1':0.0},(340,401):{'3_1':0.0},(340,398):{'3_1':0.0},(340,397):{'3_1':0.0,'4_1':0.0},(340,396):{'3_1':0.0},(340,395):{'3_1':0.0},(340,392):{'3_1':0.0},(340,391):{'3_1':0.0},(340,390):{'3_1':0.0},(340,389):{'3_1':0.0,'4_1':0.0},(340,388):{'3_1':0.03},(340,387):{'3_1':0.0},(340,386):{'3_1':0.03},(340,385):{'3_1':0.0},(340,384):{'3_1':0.0},(340,383):{'3_1':0.03},(340,382):{'3_1':0.0},(340,381):{'3_1':0.0},(340,380):{'3_1':0.0},(340,379):{'3_1':0.0},(340,378):{'3_1':0.0},(341,434):{'3_1':0.0},(341,432):{'3_1':0.0},(341,431):{'3_1':0.0},(341,429):{'3_1':0.0},(341,428):{'3_1':0.0},(341,424):{'3_1':0.0},(341,423):{'3_1':0.0},(341,421):{'3_1':0.0},(341,420):{'4_1':0.0},(341,407):{'3_1':0.0},(341,406):{'3_1':0.0},(341,405):{'3_1':0.0},(341,404):{'3_1':0.0},(341,403):{'3_1':0.0},(341,401):{'3_1':0.0},(341,399):{'3_1':0.0},(341,397):{'3_1':0.0},(341,395):{'3_1':0.03},(341,394):{'3_1':0.03},(341,393):{'3_1':0.0},(341,392):{'3_1':0.0},(341,391):{'3_1':0.0},(341,390):{'3_1':0.0},(341,389):{'3_1':0.03},(341,388):{'3_1':0.03},(341,387):{'3_1':0.03},(341,386):{'3_1':0.0},(341,385):{'3_1':0.0},(341,384):{'3_1':0.0},(341,383):{'3_1':0.0},(341,381):{'3_1':0.0},(341,379):{'3_1':0.0},(341,377):{'3_1':0.0},(341,376):{'3_1':0.0},(341,375):{'3_1':0.0},(342,438):{'3_1':0.0},(342,437):{'3_1':0.0},(342,436):{'3_1':0.0},(342,433):{'3_1':0.0},(342,431):{'3_1':0.0},(342,429):{'3_1':0.0},(342,426):{'3_1':0.0,'4_1':0.0},(342,423):{'3_1':0.0},(342,422):{'3_1':0.0},(342,420):{'3_1':0.0},(342,416):{'3_1':0.0},(342,414):{'3_1':0.0},(342,413):{'3_1':0.0,'4_1':0.0},(342,411):{'3_1':0.0},(342,408):{'3_1':0.0},(342,407):{'3_1':0.0},(342,404):{'3_1':0.0},(342,403):{'3_1':0.0},(342,402):{'3_1':0.0},(342,400):{'3_1':0.0},(342,399):{'3_1':0.03},(342,398):{'3_1':0.0},(342,397):{'3_1':0.0},(342,396):{'3_1':0.0},(342,395):{'3_1':0.0},(342,394):{'3_1':0.0},(342,393):{'3_1':0.06},(342,392):{'3_1':0.0},(342,391):{'3_1':0.0},(342,390):{'3_1':0.0},(342,389):{'3_1':0.03},(342,388):{'3_1':0.0},(342,387):{'3_1':0.03},(342,386):{'3_1':0.03},(342,385):{'3_1':0.06},(342,384):{'3_1':0.0},(342,382):{'3_1':0.0},(342,379):{'3_1':0.0},(342,377):{'3_1':0.0},(342,376):{'3_1':0.0},(343,438):{'3_1':0.0},(343,437):{'3_1':0.0},(343,435):{'3_1':0.0},(343,434):{'3_1':0.0},(343,433):{'3_1':0.0},(343,430):{'4_1':0.0},(343,429):{'3_1':0.0},(343,427):{'3_1':0.0},(343,424):{'3_1':0.0},(343,421):{'3_1':0.0},(343,420):{'3_1':0.0},(343,416):{'3_1':0.0},(343,415):{'4_1':0.0},(343,414):{'3_1':0.0},(343,412):{'3_1':0.0},(343,406):{'3_1':0.0},(343,405):{'3_1':0.0},(343,403):{'3_1':0.0},(343,402):{'3_1':0.0},(343,400):{'3_1':0.0},(343,399):{'3_1':0.0},(343,397):{'3_1':0.03},(343,396):{'3_1':0.03},(343,393):{'3_1':0.0},(343,392):{'3_1':0.0},(343,391):{'3_1':0.0},(343,390):{'3_1':0.0},(343,389):{'3_1':0.0},(343,388):{'3_1':0.0},(343,386):{'3_1':0.0},(343,385):{'3_1':0.0},(343,382):{'3_1':0.0},(343,381):{'3_1':0.0},(343,378):{'3_1':0.0},(343,376):{'3_1':0.0},(344,438):{'3_1':0.0,'4_1':0.0},(344,435):{'3_1':0.0},(344,434):{'3_1':0.0},(344,433):{'3_1':0.0},(344,430):{'4_1':0.0},(344,426):{'3_1':0.0},(344,425):{'4_1':0.0},(344,424):{'3_1':0.0},(344,423):{'3_1':0.0},(344,416):{'4_1':0.0},(344,414):{'3_1':0.0},(344,413):{'3_1':0.0},(344,412):{'3_1':0.0},(344,411):{'4_1':0.0},(344,408):{'3_1':0.0},(344,404):{'3_1':0.0},(344,403):{'3_1':0.0},(344,402):{'3_1':0.0},(344,401):{'3_1':0.0},(344,400):{'3_1':0.0},(344,399):{'3_1':0.0},(344,398):{'3_1':0.03},(344,396):{'3_1':0.0},(344,395):{'3_1':0.0},(344,394):{'3_1':0.03},(344,393):{'3_1':0.0},(344,392):{'3_1':0.0},(344,390):{'3_1':0.0},(344,389):{'3_1':0.0},(344,388):{'3_1':0.0},(344,387):{'3_1':0.0},(344,386):{'3_1':0.0},(344,385):{'3_1':0.0},(344,384):{'3_1':0.0},(344,378):{'3_1':0.0},(345,433):{'3_1':0.0},(345,431):{'3_1':0.0},(345,420):{'3_1':0.0},(345,414):{'3_1':0.0},(345,413):{'4_1':0.0,'3_1':0.0},(345,412):{'4_1':0.0},(345,411):{'3_1':0.0},(345,406):{'3_1':0.0},(345,404):{'3_1':0.0},(345,403):{'3_1':0.0},(345,402):{'3_1':0.0},(345,401):{'3_1':0.0},(345,400):{'4_1':0.0},(345,399):{'3_1':0.0},(345,398):{'3_1':0.0},(345,397):{'3_1':0.0},(345,395):{'3_1':0.0},(345,394):{'3_1':0.0},(345,393):{'3_1':0.0},(345,390):{'3_1':0.0},(345,385):{'3_1':0.0},(345,381):{'3_1':0.0},(345,375):{'3_1':0.0},(346,416):{'4_1':0.0},(346,414):{'3_1':0.0},(346,412):{'3_1':0.0},(346,411):{'4_1':0.0},(346,403):{'3_1':0.0},(346,402):{'3_1':0.0},(346,401):{'3_1':0.0},(346,400):{'3_1':0.0},(346,399):{'3_1':0.0},(346,398):{'3_1':0.0},(346,397):{'3_1':0.0},(346,395):{'3_1':0.0},(346,394):{'3_1':0.0},(346,393):{'3_1':0.03},(346,392):{'3_1':0.0},(346,391):{'3_1':0.0},(346,390):{'3_1':0.0},(346,389):{'3_1':0.0},(346,386):{'3_1':0.0},(347,423):{'4_1':0.0},(347,422):{'3_1':0.0},(347,419):{'3_1':0.0},(347,415):{'4_1':0.0},(347,414):{'3_1':0.0},(347,413):{'3_1':0.0},(347,412):{'3_1':0.0,'4_1':0.0},(347,403):{'3_1':0.0},(347,402):{'3_1':0.0},(347,401):{'3_1':0.0},(347,400):{'3_1':0.0},(347,399):{'3_1':0.0},(347,398):{'3_1':0.0},(347,396):{'3_1':0.0},(347,393):{'3_1':0.0},(347,392):{'3_1':0.0},(347,391):{'3_1':0.0},(348,423):{'3_1':0.0},(348,421):{'3_1':0.0},(348,419):{'3_1':0.0},(348,418):{'3_1':0.0},(348,415):{'3_1':0.0},(348,414):{'3_1':0.0},(348,413):{'3_1':0.0},(348,412):{'3_1':0.0},(348,402):{'3_1':0.0},(348,401):{'3_1':0.0},(348,397):{'3_1':0.0},(348,396):{'3_1':0.03},(348,395):{'3_1':0.0},(348,393):{'3_1':0.0},(348,392):{'3_1':0.0},(348,391):{'3_1':0.0},(348,358):{'3_1':0.0},(348,357):{'3_1':0.0},(349,419):{'3_1':0.0},(349,417):{'4_1':0.0},(349,413):{'3_1':0.0},(349,411):{'3_1':0.0},(349,401):{'3_1':0.0},(349,400):{'3_1':0.0},(349,393):{'3_1':0.0},(349,374):{'3_1':0.0},(349,373):{'3_1':0.0},(349,372):{'3_1':0.0},(350,411):{'3_1':0.0},(350,402):{'3_1':0.0},(350,401):{'3_1':0.0},(350,387):{'3_1':0.0},(350,385):{'3_1':0.0},(350,372):{'3_1':0.0},(350,363):{'5_2':0.0},(351,419):{'3_1':0.0},(351,418):{'4_1':0.0},(351,378):{'3_1':0.0},(351,374):{'3_1':0.0},(351,373):{'3_1':0.0},(351,359):{'3_1':0.0},(351,356):{'3_1':0.0},(352,421):{'4_1':0.0},(352,418):{'3_1':0.0},(352,411):{'3_1':0.0,'4_1':0.0},(352,377):{'3_1':0.0},(352,376):{'3_1':0.0},(352,359):{'3_1':0.0,'5_1':0.0},(352,355):{'3_1':0.0},(353,419):{'3_1':0.0},(353,418):{'4_1':0.0},(353,416):{'3_1':0.0,'4_1':0.0},(353,413):{'3_1':0.0},(353,377):{'4_1':0.0},(353,375):{'3_1':0.0},(353,361):{'3_1':0.0},(353,357):{'3_1':0.0},(354,414):{'3_1':0.0},(354,413):{'3_1':0.0},(354,411):{'3_1':0.0},(354,370):{'3_1':0.0},(354,360):{'3_1':0.0},(354,358):{'3_1':0.0},(354,357):{'3_1':0.0},(355,419):{'3_1':0.0},(355,416):{'4_1':0.0},(355,359):{'3_1':0.0},(356,415):{'3_1':0.0,'4_1':0.0},(356,414):{'4_1':0.0},(356,411):{'3_1':0.0},(356,410):{'3_1':0.0},(357,414):{'4_1':0.0},(357,413):{'3_1':0.0},(357,412):{'4_1':0.0},(357,411):{'4_1':0.0},(357,402):{'3_1':0.0},(357,400):{'3_1':0.0},(357,397):{'3_1':0.0},(357,374):{'3_1':0.0},(358,418):{'4_1':0.0},(358,411):{'4_1':0.0},(358,410):{'4_1':0.0},(358,403):{'3_1':0.0},(358,400):{'3_1':0.0},(358,375):{'3_1':0.0},(358,374):{'3_1':0.0},(358,370):{'3_1':0.0},(359,412):{'3_1':0.0,'4_1':0.0},(359,411):{'3_1':0.0},(359,403):{'3_1':0.0},(359,400):{'3_1':0.0},(359,399):{'3_1':0.0},(359,398):{'3_1':0.0},(359,374):{'3_1':0.0},(359,373):{'3_1':0.0},(359,372):{'3_1':0.0},(360,412):{'3_1':0.0},(360,376):{'3_1':0.0},(360,374):{'3_1':0.0},(360,373):{'3_1':0.0},(360,370):{'3_1':0.0},(360,369):{'3_1':0.0},(361,416):{'4_1':0.0},(361,414):{'4_1':0.0},(361,402):{'4_1':0.0},(361,401):{'3_1':0.0},(361,399):{'3_1':0.0},(361,374):{'3_1':0.0},(361,369):{'3_1':0.0},(362,415):{'3_1':0.0},(362,413):{'3_1':0.0},(362,412):{'4_1':0.0},(362,411):{'3_1':0.0},(362,402):{'3_1':0.0},(362,375):{'3_1':0.0},(362,374):{'3_1':0.0},(362,372):{'4_1':0.0},(363,413):{'3_1':0.0},(363,412):{'4_1':0.0},(363,374):{'3_1':0.0},(363,371):{'3_1':0.0},(363,370):{'3_1':0.0},(363,369):{'3_1':0.0},(364,433):{'3_1':0.0,'5_2':0.0},(364,431):{'3_1':0.0},(364,403):{'3_1':0.0},(364,401):{'3_1':0.0},(364,400):{'3_1':0.0},(364,395):{'3_1':0.0},(364,376):{'3_1':0.0},(364,374):{'3_1':0.0},(364,372):{'3_1':0.0},(364,371):{'3_1':0.0},(364,370):{'3_1':0.0},(365,411):{'3_1':0.0},(365,397):{'3_1':0.0},(365,376):{'3_1':0.0},(365,375):{'3_1':0.0},(366,434):{'3_1':0.0},(367,438):{'5_2':0.0},(367,397):{'3_1':0.0},(367,392):{'3_1':0.0},(369,433):{'3_1':0.0},(369,431):{'3_1':0.0},(369,394):{'3_1':0.0},(369,390):{'3_1':0.0},(371,397):{'3_1':0.0},(371,396):{'3_1':0.0},(371,394):{'3_1':0.0},(372,411):{'4_1':0.0},(372,410):{'3_1':0.0},(372,406):{'3_1':0.0},(372,398):{'3_1':0.0},(373,413):{'4_1':0.0},(373,412):{'4_1':0.0},(373,407):{'3_1':0.0},(373,406):{'3_1':0.0},(373,397):{'3_1':0.0},(373,394):{'3_1':0.0},(373,391):{'3_1':0.0},(374,411):{'4_1':0.0},(374,395):{'3_1':0.0},(375,413):{'3_1':0.0},(375,411):{'4_1':0.0},(375,408):{'3_1':0.0},(375,406):{'3_1':0.0},(376,410):{'3_1':0.0},(376,409):{'3_1':0.0},(376,407):{'3_1':0.0},(376,405):{'3_1':0.0},(377,434):{'3_1':0.0},(377,427):{'3_1':0.0},(377,426):{'7_2':0.0},(377,413):{'3_1':0.0},(377,411):{'4_1':0.0},(377,407):{'3_1':0.0},(377,406):{'3_1':0.0},(377,405):{'3_1':0.0},(378,436):{'4_1':0.0},(378,425):{'3_1':0.0},(378,413):{'4_1':0.0},(378,412):{'4_1':0.0},(378,410):{'3_1':0.0,'4_1':0.0},(378,409):{'3_1':0.0},(378,407):{'3_1':0.0},(378,405):{'3_1':0.0},(379,448):{'3_1':0.0},(379,445):{'3_1':0.0},(379,433):{'4_1':0.0},(379,428):{'3_1':0.0},(379,407):{'3_1':0.0},(380,444):{'3_1':0.0},(380,440):{'4_1':0.0},(380,438):{'3_1':0.0},(380,427):{'3_1':0.0},(380,425):{'3_1':0.0},(380,413):{'3_1':0.0},(380,412):{'4_1':0.0},(380,410):{'3_1':0.0},(380,409):{'3_1':0.0},(380,406):{'3_1':0.0},(381,445):{'3_1':0.0},(381,444):{'3_1':0.0},(381,436):{'4_1':0.0},(381,434):{'3_1':0.0},(381,431):{'4_1':0.0},(381,429):{'3_1':0.0},(381,425):{'3_1':0.0},(381,413):{'3_1':0.0},(381,412):{'3_1':0.0},(381,411):{'3_1':0.0},(381,410):{'3_1':0.0},(381,409):{'3_1':0.03},(381,408):{'3_1':0.0},(381,407):{'3_1':0.0},(381,406):{'3_1':0.03},(381,405):{'3_1':0.0},(382,446):{'3_1':0.0},(382,440):{'3_1':0.0},(382,434):{'3_1':0.0},(382,429):{'3_1':0.0},(382,428):{'4_1':0.0},(382,422):{'3_1':0.0,'4_1':0.0},(382,420):{'3_1':0.0},(382,419):{'3_1':0.0},(382,417):{'3_1':0.0},(382,413):{'3_1':0.0},(382,411):{'3_1':0.0},(382,410):{'3_1':0.0},(382,409):{'3_1':0.0},(382,408):{'3_1':0.0},(382,407):{'3_1':0.0},(382,406):{'3_1':0.03},(382,405):{'3_1':0.0},(383,445):{'4_1':0.0},(383,442):{'3_1':0.0},(383,440):{'3_1':0.0},(383,433):{'3_1':0.0},(383,430):{'3_1':0.0},(383,428):{'4_1':0.0},(383,426):{'3_1':0.0},(383,425):{'3_1':0.0},(383,421):{'4_1':0.0},(383,418):{'3_1':0.0},(383,417):{'3_1':0.0},(383,416):{'3_1':0.0},(383,415):{'3_1':0.0},(383,413):{'3_1':0.0},(383,412):{'3_1':0.03},(383,411):{'3_1':0.0},(383,410):{'3_1':0.03},(383,409):{'3_1':0.03},(383,408):{'3_1':0.06},(383,407):{'3_1':0.0},(383,405):{'3_1':0.0},(384,445):{'3_1':0.0},(384,426):{'3_1':0.0},(384,425):{'3_1':0.0},(384,423):{'3_1':0.0},(384,422):{'3_1':0.0},(384,421):{'3_1':0.0},(384,420):{'3_1':0.0},(384,418):{'3_1':0.0},(384,417):{'3_1':0.0,'4_1':0.0},(384,411):{'3_1':0.0},(384,410):{'3_1':0.0},(384,409):{'3_1':0.03},(384,408):{'3_1':0.0},(384,407):{'3_1':0.03},(384,406):{'3_1':0.0},(385,448):{'3_1':0.0},(385,436):{'3_1':0.0},(385,429):{'4_1':0.0},(385,427):{'3_1':0.0},(385,426):{'3_1':0.0},(385,421):{'3_1':0.0},(385,419):{'3_1':0.0},(385,416):{'3_1':0.0},(385,412):{'3_1':0.0},(385,411):{'3_1':0.0},(385,410):{'3_1':0.0},(385,409):{'3_1':0.0},(385,408):{'3_1':0.0},(385,407):{'3_1':0.03},(385,406):{'3_1':0.0},(385,405):{'3_1':0.0},(386,431):{'3_1':0.0},(386,429):{'3_1':0.0},(386,427):{'3_1':0.0},(386,425):{'3_1':0.0},(386,420):{'3_1':0.0},(386,419):{'3_1':0.0},(386,418):{'3_1':0.0},(386,410):{'3_1':0.0},(386,409):{'3_1':0.03},(386,406):{'3_1':0.0},(387,425):{'3_1':0.0},(387,421):{'3_1':0.0},(387,416):{'3_1':0.0},(387,413):{'3_1':0.0},(387,412):{'3_1':0.0},(387,411):{'3_1':0.0},(387,410):{'3_1':0.0},(387,409):{'3_1':0.0},(387,408):{'3_1':0.0},(387,407):{'3_1':0.0},(387,406):{'3_1':0.0},(388,428):{'4_1':0.0},(388,425):{'3_1':0.0},(388,423):{'3_1':0.0},(388,412):{'3_1':0.0},(388,410):{'3_1':0.0},(388,409):{'3_1':0.0},(388,408):{'3_1':0.0},(388,407):{'3_1':0.0},(388,406):{'3_1':0.0},(389,426):{'3_1':0.0},(389,421):{'3_1':0.0},(389,406):{'3_1':0.0},(390,430):{'3_1':0.0},(407,423):{'3_1':0.0},(407,420):{'3_1':0.0},(407,419):{'3_1':0.0},(407,418):{'3_1':0.0},(409,416):{'3_1':0.0},(409,415):{'3_1':0.0},(410,417):{'3_1':0.0},(410,415):{'3_1':0.0},(411,423):{'3_1':0.0},(411,421):{'3_1':0.0},(411,419):{'3_1':0.0},(412,417):{'3_1':0.0},(412,416):{'3_1':0.0},(413,417):{'3_1':0.0},(414,420):{'3_1':0.0},(414,418):{'3_1':0.0},(415,421):{'3_1':0.0},(415,420):{'3_1':0.0},(415,419):{'3_1':0.0},(416,423):{'3_1':0.0},(416,421):{'3_1':0.0},(416,420):{'3_1':0.0},(416,419):{'3_1':0.0},(417,422):{'3_1':0.0},(417,421):{'3_1':0.0},(418,422):{'3_1':0.0},(419,423):{'3_1':0.0},(421,449):{'3_1':0.0},(425,448):{'3_1':0.0},(427,438):{'3_1':0.0},(427,436):{'5_2':0.0},(428,437):{'3_1':0.0},(428,435):{'3_1':0.0},(429,437):{'3_1':0.0},(430,438):{'5_2':0.0},(430,436):{'3_1':0.0},(431,441):{'3_1':0.0},(431,439):{'3_1':0.0},(431,438):{'3_1':0.0},(432,443):{'3_1':0.0},(433,442):{'3_1':0.0},(433,441):{'3_1':0.0},(434,438):{'3_1':0.0},}